US20050009322A1 - Slurry for CMP, and method of manufacturing semiconductor device - Google Patents

Slurry for CMP, and method of manufacturing semiconductor device Download PDF

Info

Publication number
US20050009322A1
US20050009322A1 US10/909,287 US90928704A US2005009322A1 US 20050009322 A1 US20050009322 A1 US 20050009322A1 US 90928704 A US90928704 A US 90928704A US 2005009322 A1 US2005009322 A1 US 2005009322A1
Authority
US
United States
Prior art keywords
cmp slurry
slurry according
group
film
silicone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/909,287
Inventor
Yukiteru Matsui
Gaku Minamihaba
Hiroyuki Yano
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to US10/909,287 priority Critical patent/US20050009322A1/en
Publication of US20050009322A1 publication Critical patent/US20050009322A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Definitions

  • the present invention relates to a slurry for CMP and a method for manufacturing a semiconductor device.
  • the present invention relates to a slurry for CMP which can be employed in the formation of a damascene wiring employed for mounting a high-speed logic LSI, a system LSI, a memory/logic hybrid LSI, etc., and to the method for manufacturing a semiconductor device.
  • the Cu wiring is mainly employed by forming it as a damascene wiring by using CMP (Chemical Mechanical Polishing).
  • CMP Chemical Mechanical Polishing
  • a conductive material existing between wirings can be effectively removed, thus making it possible to obtain a wiring which is less likely to cause short-circuit, as compared with the wiring formed by RIE, such as the conventional Al wirings. Therefore, CMP is considered advantageous in the process of forming fine wirings.
  • the method be capable of providing the wiring with various properties, such as excellent surface planarity, high polishing rate, low density in surface defects, low density in residual impurities and sufficient resistance to film peeling.
  • an organic insulating film Low-k film
  • the employment of the organic insulating film would be encountered with many difficulties, as compared with the case where the conventional inorganic SiO 2 film is employed in realizing all of the aforementioned properties except the high polishing rate.
  • the loss of the Low-k film (erosion) due to the employment of CMP would become serious.
  • the main reason of this may be attributed to the fact that the mechanical strength of the organic Low-k film is much poorer as compared with the inorganic SiO 2 film.
  • the surface thereof is hydrophobic, which means that the hydrophobic surface is poor in compatibility with hydrophilic abrasive grains, thus resulting in the generation of non-uniformity in density of abrasive grains within a wiring pattern.
  • the surface of the Low-k film is hydrophobic and hence poor in compatibility to water. Therefore, dust is more likely to be adsorbed onto the surface of the insulating film during the treatment thereof using the CMP or during the washing treatment thereof. Moreover, since the dust adsorbed onto the insulating film cannot be removed, it may become a main cause for preventing the formation of wirings which are electrically isolated from each other normally. Furthermore, the Low-k film is accompanied with the drawback that it is vulnerable to scratches.
  • the Low-k film is inherently poor in adhesive strength, it is impossible in the employment of the Low-k film to secure a sufficiently high degree of resistance to the film peeling, thus making it one of the important and difficult problems that has to be coped with in the integration of Cu/Low-k film.
  • a silicone-based surfactant having an HLB value ranging from 7 to 20.
  • the CMP slurry comprising a solvent, abrasive grains and a silicone-based surfactant having an HLB value ranging from 7 to 20.
  • treating surfaces of the buried wiring layer and of the insulating film by using a treating solution comprising a silicone-based surfactant having an HLB value ranging from 7 to 20 and dissolved in water.
  • FIGS. 1A to 1 E are cross-sectional views each illustrating, in stepwise, the process for forming a Cu contact plug
  • FIGS. 2A and 2B are cross-sectional views illustrating a model of generating a neck portion formed in the prior art
  • FIG. 3 is a graph illustrating the relationship between the touch-up CMP time and the magnitude of the neck portion
  • FIG. 4 is a schematic view illustrating a model of suppressing the generation of the neck portion, which can be realized according to embodiments of the present invention
  • FIG. 5 is a graph illustrating the relationship between the concentration of resin particles and the ratio of peeled area and also the relationship between the concentration of resin particles and magnitude of the neck portion;
  • FIGS. 6A to 6 C are cross-sectional views each illustrating, in stepwise, the method of manufacturing a semiconductor device according to one embodiment of the present invention.
  • a dual damascene (DD) process where via-contact portions and wiring portions are concurrently formed is generally employed.
  • DD dual damascene
  • another method is adopted wherein contact plugs (via-plugs) are individually formed at first, and thereafter, wiring portions are formed.
  • FIGS. 1A to 1 E are cross-sectional views illustrating one example of forming such a Cu contact plug.
  • a first low dielectric constant insulating film 11 and a second low dielectric constant insulating film 12 are successively formed on the surface of a semiconductor substrate 10 formed, for example, of silicon and having semiconductor element(s) (not shown) formed thereon.
  • the first low dielectric constant insulating film 11 may be constituted by a low dielectric constant insulating material having a relative dielectric constant of as low as less than 2.5.
  • this first low dielectric constant insulating film 11 may be formed of at least one film selected from the group consisting of a film having a siloxane skeleton such as polysiloxane, hydrogen silsesquioxane, polyethyl siloxane, methylsilsesquioxane, etc.; a film having, as a major component, an organic resin such as polyarylene ether, polybenzoxazole, polybenzocyclobutene, etc.; and a porous film such as porous silica film.
  • the first insulating film 11 formed of any of these films is fragile in physical strength.
  • the second insulating film 12 formed on the first insulating film 11 functions as a capping insulating film and may be formed of an insulating material having a larger relative dielectric constant than that of the first insulating film 11 .
  • the second insulating film 12 may be formed of at least one insulating material having a relative dielectric constant of 2.5 or more and selected from the group consisting of SiC, SiCH, SiCN, SiOC and SiOCH.
  • the surface of the second low dielectric constant insulating film 12 formed of any of these materials is hydrophobic.
  • the second insulating film functioned as a capping film may be formed of a hydrophilic material such as SiO, SiOP, SiOF, SiON and SiN, or of any film relatively high in dielectric constant.
  • the first insulating film 11 was formed by a spin-coating method to thickness of 400 nm
  • the second insulating film 12 was formed by the CVD method to thickness of 100 nm.
  • contact holes 13 were respectively formed to a depth of 500 nm as shown in FIG. 1B .
  • a barrier metal film 14 and a wiring material film 15 both being formed of a conductive material, were successively deposited the entire surfaces of the first and second insulating films 11 and 12 .
  • the barrier metal film 14 was formed by using TaN which was deposited to a thickness of about 10 nm by sputtering.
  • the barrier metal film 14 may be formed of WN, etc., which may be also deposited so as to have almost the same thickness as the aforementioned TaN film.
  • the wiring material film 15 was formed in such a manner that, at first, a Cu seed layer was formed by sputtering method and then, a Cu film was formed thereon to a thickness of about 120 nm by plating method.
  • the insulating film on which the barrier metal film 14 and the wiring material film 15 are formed is formed of a laminate structure containing the first insulating film 11 and the second insulating film 12 .
  • the insulating film may not be such a laminate, but may be formed of a single layer of insulating film.
  • the insulating film formed of a single layer in this case may be formed, for example, of black diamond (Applied Materials Co., Ltd.). The surface of the insulating film formed of black diamond also is hydrophobic.
  • a contact plug 16 is formed in the contact holes 13 through the barrier metal 14 formed of TaN.
  • the CMP in this case is performed in two steps, i.e. the removal of the wiring material layer 15 (a first polishing) and the removal of the barrier film 14 (a second polishing).
  • the wiring material layer 15 is polished by using a slurry which makes it possible to realize a high-polishing rate of Cu and a slow-polishing rate of TaN, i.e. a slurry which is large in selective ratio between Cu and TaN, in order to secure the planarity of the resultant polished surface. More specifically, the first polishing was performed under the conditions described below.
  • Rotational speed of carrier and turntable were both set to 100 rpm, and the polishing was performed for one minute, thereby removing Cu located on the field and allowing the surface of the barrier metal 14 to expose as shown in FIG. 1D .
  • the surface of the wafer after the first polishing was covered by the TaN film as the barrier metal film 14 and hence was hydrophilic. Therefore, it was possible to prevent the generation of selective excessive polishing (neck) at the periphery of the pattern of the contact plug 16 .
  • the barrier metal film 14 was removed to expose the surface of the second insulating film 12 (touch-up CMP), thus finishing the polishing.
  • the slurry employed in this step is required capable of polishing the TaN constituting the barrier metal film 14 at a sufficiently high speed.
  • the conventional slurry employed for the touch-up was used to perform the touch-up CMP under the following conditions.
  • the slurry employed in this case was formed of an aqueous dispersion comprising 5% by weight of colloidal silica, 1% by weight of quinolinic acid, and 0.1% by weight of hydrogen peroxide, the pH of the dispersion being controlled to 10 by using KOH;
  • Rotational speed of carrier and turntable was set to 100 rpm, and the polishing was performed for 75 seconds.
  • the barrier metal film 14 was removed from the second insulating film 12 .
  • the edge portions of the via-chain pattern where the contact plugs were gathering closely were selectively polished, thereby erosion (neck portion) having a depth of about 45.5 nm generated.
  • the over-polishing was further continued for 15 seconds, the depth of the erosion was enlarged up to about 78 nm.
  • the neck formed at the edge portions of the pattern may become a cause for deteriorating the yield of the via-chain and also may give rise to the generation of residual metal on the occasion of performing the CMP of the upper wiring layer, thus inviting the generation of short-circuit due to this residual metal. Therefore, the generation of this neck portion should desirably be prevented as much as possible.
  • This neck portion is considered to be generated mainly due to a couple of reasons.
  • the hydrophilic abrasive grains are incompatible with the Low-k material. Therefore, as shown in FIG. 2A , the abrasive. grains 18 are caused to move from the surface of the second insulating film 12 to the surface of the hydrophilic Cu contact plug 16 , thereby generating a difference in density of the abrasive grains 18 between the region over the field and the edge portions of the pattern.
  • the abrasive grains 18 are selectively retained at the edge portions of the pattern, thus allowing the polishing to proceed locally and hence resulting in the formation of the neck portion.
  • the Low-k film insulating film is enabled to polish at a polishing rate of about 76 nm/min, i.e. twice or more as high as that of the inorganic TEOS insulating film.
  • the polishing rate of the Low-k film it is possible to solve the aforementioned problem by lowering the polishing rate of the Low-k film.
  • the polishing rate of the barrier metal film is also concurrently caused to greatly decrease.
  • the polishing time of the barrier metal film will be prolonged to four times or more, thus making these measures undesirable from a viewpoint of practicality.
  • the present inventors have took notice of an idea of enhancing the hydrophilicity of the surface of the Low-k film, and have investigated the characteristics of various surfactants with a view to obtain an optimal surface activity of the Low-k film.
  • aqueous solutions of anionic surfactant, cationic surfactant and nonionic surfactant as shown in the following Table 1, each having a prescribed concentration, were prepared. Then, a semiconductor substrate having the Low-k film formed thereon was immersed in each of aqueous solutions of aforementioned surfactants to investigate the wettability of the surface of the Low-k film.
  • the wettability of the surface of the Low-k film was evaluated by measuring the contact angle ( ⁇ ) between the aqueous solution of surfactant and the Low-k film.
  • each of the surfactants was mixed into the slurry employed in the aforementioned touch-up step at a concentration shown in the following Table 1 to prepare samples of slurries. Then, touch-up CMP was performed under the same conditions as described above except that the samples of slurries prepared as described above were employed to investigate the wettability of the surface of the Low-k film subsequent to CMP.
  • a surfactant having fluoroalkyl group is capable of sufficiently lowering the surface tension of a solvent, this surfactant is known as having a large degree of surface activating effect.
  • the surfactant having fluoroalkyl group cannot be easily handled and hence is not suited for use, from a practical viewpoint.
  • the conventional surfactant having an alkyl group in its hydrophobic moiety thereof (for example, cetyltrimethylammonium chloride) is poor in wettability after CMP even though the wettability of the surface of Low-k film obtained after the immersion thereof is generally excellent.
  • the reason for this is assumedly attributed to the following facts. Namely, the conventional surfactant having an alkyl group in its hydrophobic moiety thereof is once adsorbed onto the surface of the Low-k film, thereby enabling the surface of the Low-k film to become hydrophilic.
  • the alkyl group is weak in hydrophobicity, the adsorptive strength thereof to the Low-k film is poor, thereby the surfactant easily desorbs as the surfactant is incapable of withstanding the mechanical stress during the CMP. Therefore, the surfactant having alkyl group in its hydrophobic moiety thereof is incapable of sufficiently hydrophilizing the surface of the Low-k film, thus assumedly making it difficult to sufficiently suppress the generation of the neck.
  • a surfactant having a sufficient degree of hydrophobicity which is higher than that of alkyl group and substantially the same as that of fluoro group and being easy in handling thereof can be selected from silicon-based surfactants.
  • silicon-based surfactants For example, when the touch-up CMP was performed by using a slurry containing 0.1% by weight of polyoxyethylene/methylpolysiloxane copolymer as a silicon-based surfactant, the surface of the Low-k film was enabled to maintain a hydrophilized state even after the CMP, as clearly demonstrated in the above Table 1.
  • a silicon-based surfactant such as polyoxyethylene/methylpolysiloxane copolymer is capable of exhibiting a high surface activity owing to the water repellency of silicon, and unhazardous to the environment and can be easily handled. Therefore, in the embodiments of the present invention, a slurry containing a silicon-based surfactant was employed. Namely, a slurry containing 0.1% by weight of polyoxyethylene/methylpolysiloxane copolymer was employed in Example 1.
  • the relationship between the time of the touch-up CMP and the magnitude of the neck portion, which was obtained through the employment of the slurry of Example 1 is represented by a curve “a”.
  • the magnitude of the neck portion was controlled to not more than 20 nm or so even if the polishing was continued for 120 seconds.
  • the magnitude of the neck portion is less than about 40 nm, the wiring is not substantially affected by the neck portion.
  • the changes in magnitude of the neck portion that may be exhibited when the conventional slurry was employed are represented by a curve “b”.
  • the touch-up CMP is generally performed for a period of about 60 seconds.
  • the polishing rate of the Low-k film was 10 nm/min or so, i.e. about 1 ⁇ 6 of the conventional slurry. Therefore, the Low-k film was inhibited from being preferentially polished, thereby making it possible to minimize the generation of the neck portion.
  • the silicone-based surfactant may be assumedly attributed to the following reasons. Since the silicone-based surfactant is provided with a hydrophobic moiety whose hydrophobicity is very strong, the surfactant strongly adsorbs on the surface of the Low-k film constituting the second insulating film 12 as shown in FIG. 4 , thus hydrophilizing the surface of the Low-k film.
  • the reference number 19 represents a silicon-based surfactant.
  • the surface of the Low-k film 12 can be sufficiently hydrophilized by the application of the silicon-based surfactant, the abrasive grains 18 are prevented from being locally gathered and retained but can be uniformly dispersed all over the Low-k film 12 as well as over the Cu contact plug 16 . Due to these reasons, it was possible to prevent the edge portions of the pattern from being preferentially polished, and hence to suppress the generation of the nicking portions.
  • the silicone-based surfactant 19 is also effective in protecting the surface of the Low-k film 12 and capable of forming a uniform covering layer since the silicone-based surfactant 19 is basically formed of silicon, i.e. the same as that of the substrate.
  • silicone-based surfactant can be fully exhibited even against hydrophilic insulating films as well as against non-low dielectric constant insulating films.
  • micelle is formed on the surfaces of these films to make it possible to enhance the hydrophilicity of these surfaces and hence to improve the wettability of these surfaces.
  • the HLB value is less than 7, it may become difficult to dissolve the surfactant in an aqueous solution.
  • the HLB value exceeds over 20 , the solubility of the slurry would be increased when the surfactant is incorporated into the slurry, thereby deteriorating the planarity of the surface after polished.
  • the HLB value should be confined within the range of 10 to 17.
  • the structure of the hydrophobic moiety having a basic skeleton of silicone oil constituting the base in the silicone-based surfactant may be modified in various ways such as side-chain modification, single-terminal modification, both-terminal modification and both-terminal side-chain modification.
  • the hydrophilic moiety of the surfactant may be nonionic, anionic or cationic.
  • the hydrophilic moiety of the surfactant may be constituted by polyether, betain, sulfate, pyrrolidone or quaternary salt.
  • the content of the silicone-based surfactant should preferably be within the range of 0.001 wt % to 0.5 wt %. If the content of the silicone-based surfactant is less than 0.001 wt %, it may become difficult to sufficiently hydrophilize the surface of the Low-k film and hence may become difficult to confine the magnitude of the neck portion to the aforementioned acceptable range. On the other hand, if the content of the silicone-based surfactant exceeds over 0.5 wt %, the surfactant may strongly adhere also onto the TaN portion constituting the barrier metal film, thus possibly resulting in an extreme deterioration of the polishing rate of the TaN film.
  • silicone-based surfactant it is possible to employ any chemical compounds having, as a skeleton, siloxane straight chain, to which polyoxyalkylene group such as polyoxyethylene, polyoxypropylene, etc. is added.
  • polyoxyalkylene group such as polyoxyethylene, polyoxypropylene, etc.
  • Specific examples of such a compound include polyoxyethylene/methylpolysiloxane copolymer, poly(oxyethylene/oxypropylene) methylpolysiloxane copolymer, polyoxyethylene alkylpolysiloxane/polyoxypropylene alkylpolysiloxane/dimethylpolysiloxane copolymer, and methylpolysiloxane/alkylmethylpolysiloxane/poly(oxyethy lene/oxypropylene) methylpolysiloxane copolymer.
  • the abrasive grains employed in the slurry according to the embodiments of the present invention it is possible to employ, in addition to colloidal silica, at least one material selected from fumed silica, colloidal alumina, fumed alumina, ceria and titanium oxide.
  • the content of the abrasive grains should preferably be within the range of 0.1 wt % to 30 wt %. If the content of the abrasive grains is less than 0.1 wt %, it may become impossible to expect a sufficient degree of polishing action.
  • the rate of CMP against the insulating film may become extraordinarily accelerated, thus possibly resulting in difficulty in securing a sufficient selectivity ratio relative to the rate of CMP against Cu.
  • the oxidizing agent which can be incorporated into the slurry as required, it is possible, in addition to hydrogen peroxide, to use at least one material selected from ammonium persulfate, potassium persulfate, ferric nitrate and secondary ammonium cerium nitrate.
  • the content of the oxidizing agent may be selected from the range of 0.1 to 5 wt %.
  • the chelate complexing agent it is possible to incorporate at least one material selected from quinaldinic acid, quinolinic acid, nicotinic acid, picolinic acid, malonic acid, maleic acid, oxalic acid, succinic acid, citric acid, tartaric acid, malic acid, lactic acid, ammonia, ethylenediamine glycine, alanine and tryptophan.
  • the chelate complexing agent may be incorporated into the slurry at a ratio of about 0.01 wt % to 1 wt %.
  • non-silicone-based surfactant it is possible to incorporate a non-silicone-based surfactant.
  • the non-silicone-based surfactant it is possible to employ anionic surfactants such as polyacrylic acid, dodecylbenzene sulfonate, etc.; cationic surfactants such as cetyl ammonium salt, etc.; and nonionic surfactants such as polyoxyethyelene alkylether, etc.
  • anionic surfactants such as polyacrylic acid, dodecylbenzene sulfonate, etc.
  • cationic surfactants such as cetyl ammonium salt, etc.
  • nonionic surfactants such as polyoxyethyelene alkylether, etc.
  • these non-silicone-based surfactants should preferably be incorporated at a ratio of about 0.001 wt % to 1 wt % for instance.
  • the peeling of Low-k film can be mentioned as one of the important problems that should be overcome on the occasion of forming Cu/Low-k damascene wirings.
  • the Low-k material is generally poor in adhesive strength to other insulating films or barrier metal films. Therefore, on the occasion of CMP, in particular on the occasion of the touch-up CMP, the Low-k material is incapable of withstanding the mechanical stress, thereby the Low-k film easily peels off.
  • the peeling of the Low-k film would be increased more frequently and the scale of the peeling thereof would be also enlarged as the layer to undergo the CMP is placed higher in the laminate structure thereof.
  • an upper insulating film was formed by using LKD (available from JSR) on a lower insulating film which was formed in advance by using Black Diamond (available from AMJ), and then, the formation of double-layered Cu damascene wirings in these insulating films was tried.
  • LKD available from JSR
  • Black Diamond available from AMJ
  • PMMA polymet hylmethacrylate particles having a primary particle diameter of 200 nm was incorporated at a ratio of 0.1 wt % into the slurry of the aforementioned Example 1 to prepare the slurry of Example 2. Then, by using this slurry, the touch-up CMP was performed under the same conditions as described above. As a result, the area of film peeling was suppressed to 5% or less. Moreover, the portions where the peeling was generated were all limited to the cut portions of the wafer edges that is non-effective region.
  • the concentration of the resin particles should preferably be within the range of 0.05 wt % to 1 wt %.
  • the relationship between the concentration of resin particles and the ratio in area of the peeled portion is represented by a curve “c” and the relationship between the concentration of resin particles and the magnitude of neck portion is represented by a curve “d”.
  • the ratio in area of the peeled portion was sharply decreased as the concentration of resin particles was increased.
  • the magnitude of the neck portion it was increased in proportion to the increase in concentration of resin particles as shown in the curve “d”. If the ratio in area of the peeled portion can be confined to 5% or less, it can be disregarded.
  • the ratio in area of the peeled portion as well as the magnitude of neck portion can be suppressed within acceptable ranges, respectively.
  • methacrylic resin such as PMMA, phenol resin, urea resin, melamine resin, polystyrene resin, polyacetal resin, or polycarbonate resin, all of which having almost the same degree of hardness as that of methacrylic resin.
  • Abrasive grains may be flocculated or adsorbed onto the surface of the resin particles so as to enable them to be used as composite particles.
  • the resin particles should preferably be provided, on their surfaces, with an anionic, cationic, amphoteric or nonionic functional group.
  • these functional groups include hydroxyl group, epoxy group, carboxylic group, etc.
  • the resin particles it is possible to employ, other than those mentioned above, polymer particles made of thermoplastic resin such as polyvinyl chloride/styrene copolymer, saturated polyester, polyamide, polyimide, polyolefin or polyolefin copolymer such as polyethylene, polypropylene, poly-1-butene, poly-4-methyl-1-pentene, etc., phenoxy resin, acrylic copolymer, etc. It is also possible to employ polymer particles made of thermosetting resin, such as urethane resin, epoxy resin, alkyd resin, unsaturated polyester resin, etc.
  • thermoplastic resin such as polyvinyl chloride/styrene copolymer, saturated polyester, polyamide, polyimide, polyolefin or polyolefin copolymer such as polyethylene, polypropylene, poly-1-butene, poly-4-methyl-1-pentene, etc., phenoxy resin, acrylic copolymer, etc.
  • thermosetting resin such as urethane resin,
  • copolymers having a hydrophilic functional group in their constituent unit such as styrene/methacrylic copolymer, styrene/maleic anhydride copolymer, etc. are preferable for use, since they are excellent in dispersion in water and hence can be easily formed into an aqueous dispersion.
  • Polyethylene, polypropylene, polystyrene, etc. can be surface-treated by oxygen plasma, etc. to generate a hydrophilic functional group thereon, thereby making it possible to enhance the dispersibility thereof in water.
  • the aforementioned polymer particles can be synthesized by polymerizing monomers such as unsaturated aromatic compounds such as styrene, ⁇ -methyl styrene, styrene halide and divinyl benzene; unsaturated esters such as vinyl acetate, vinyl propionate; unsaturated nitrites such as acrylonitrile; acrylic esters; methacrylic esters; etc. Further, it is possible to employ monomers such as butadiene, isoprene, acrylic compounds, methacrylic compounds, acrylamide, methacrylamide, N-methylolacrylamide and N-methylolmethacrylamide. These monomers may be employed singly or in combination of two or more kinds.
  • the slurry for CMP comprises abrasive grains as an abrasive component, and a silicone-based surfactant having an HLB value ranging from 7 to 20. Due to the effects of the silicone-based surfactant, it is now possible to enhance the hydrophilicity of the surface of the insulating film and to suppress the generation of the neck during the process of the touch-up CMP.
  • the present inventors have considered that it is conventionally believed that the elimination of dust left behind on the surface of the wiring layer immediately after the CMP can be achieved by a combination of four basic effects, i.e. dissolution, chemical effects, mechanical effects and surface activity.
  • a treating solution comprising a chelate complexing agent for providing chemical effects, a surfactant for providing surface activities, and pure water for dissolving these agents, and owing to the polishing of a treating surface by using a polishing pad while feeding the aforementioned treating solution thereto, mechanical effects can be given to the residual dust.
  • the condition of the treating surface that will be obtained through the surface activation thereof is important. Namely, in order to reliably remove the dust left remained on the treating surface, the washing treatment should preferably be performed under the conditions wherein the hydrophobic treating surface is uniformly covered with a surfactant so as to enhance the hydrophilicity of the treating surface.
  • this washing treatment can be realized by using a treating solution comprising a silicone-based surfactant having an HLB value ranging from 7 to 20 and water dissolving the surfactant.
  • this washing treatment is to remove residual materials from the surfaces of wiring layer or of insulating film, so that the polishing of the insulating film such as SiO 2 and of a metallic film such as Cu and Ta is not intended to be achieved. Rather, since the insulating film and metallic film should not be polished during this washing treatment using the aforementioned treating solution, no abrasive grain is included in this treating solution. In other words, the polishing rate of the insulating film and metallic film by the effect of this treating solution should be confined to not higher than 10 nm/min.
  • the aforementioned treating solution can be prepared by dissolving the aforementioned silicone-based surfactant in water such as pure water or ionized water.
  • silicone-based surfactant it is possible to employ those already explained above at a ratio which is also already explained above. Further, if a chelate complexing agent and non-silicone-based surfactant are incorporated into the slurry together with the silicone-based surfactant, the aforementioned dust-removing effect can be further enhanced. These additives can be selected from those already explained above at a ratio which is also already explained above. Furthermore, it is also possible to incorporate resin particles into the slurry.
  • a treating solution containing the aforementioned silicone-based surfactant is applied to a treating surface subsequent to the treatment by CMP, and then, the treating surface is subjected to a mechanical action using a polishing pad, roll or pencil so as to remove residual materials from the surfaces of wiring layer and insulating film, thus making it possible to obtain a semiconductor device having excellent electric properties.
  • FIGS. 6A to 6 C are cross-sectional views each illustrating, stepwise, the method for manufacturing a semiconductor device according to one embodiment of the present invention.
  • a barrier metal film 105 and a wiring material film 106 are successively deposited, through an inorganic insulating film 101 and laminated insulating films 103 and 104 , on a semiconductor substrate 100 having semiconductor elements (not shown) formed thereon.
  • a plug 102 made of W (tungsten) is buried in advance in the inorganic insulating film 101 .
  • the laminated insulating films are constituted by a first insulating film 103 having a relative dielectric constant of less than 2.5, and a second insulating film 104 formed on the first insulating film 103 and having a relative dielectric constant which is higher than that of the first insulating film 103 .
  • the thickness of these first and second insulating films 103 and 104 may be both 100 nm.
  • the first insulating film 103 can be formed by using a low dielectric constant insulating material having a relative dielectric constant of less than 2.5, specific examples of which are already explained above.
  • the second insulating film 104 can be formed by using an insulating material having a relative dielectric constant of not less than 2.5, specific examples of which are already explained above.
  • This barrier metal film 105 may be formed of a Ta film having a thickness of 10 nm
  • the wiring material film 106 may be formed of a Cu film having a thickness of 400 nm.
  • the insulating layer on which the barrier metal film 105 and the wiring material film 106 are deposited is constituted by a 2-ply structure consisting of first and second insulating films 103 and 104 .
  • this insulating layer may be formed of a single insulating film.
  • this single insulating film can be formed by using black diamond (Applied Materials Co., Ltd.).
  • the insulating film formed of this material also has a hydrophobic surface.
  • CMP was performed in two steps, i.e. a step of removing the wiring material film 106 (1st polishing), and a step of removing the barrier metal film 105 (2nd polishing).
  • the conditions of the CMP were as follows.
  • Polyoxyethylene/methylpolysiloxane copolymer as a silicone-based surfactant was dispersed in pure water at a concentration of 0.5 wt % to prepare the treating solution 1.
  • Treating solution 2 A chelate complexing agent (citric acid) was incorporated into the aforementioned treating solution 1 at a concentration of 0.3 wt % to prepare the treating solution 2.
  • citric acid a chelate complexing agent
  • Treating solution 3 A non-silicone-based surfactant (polyacrylic acid) was incorporated into the aforementioned treating solution 1 at a concentration of 0.01 wt % to prepare the treating solution 3.
  • polyacrylic acid polyacrylic acid
  • the surface under the condition shown in FIG. 6B was washed.
  • the semiconductor substrate sustained on a carrier was permitted to contact a polishing pad (about 10000 nm in roughness; RODEL NITTA Co., Ltd.) placed on the turntable under a load of 300 gf/cm 2 and the carrier as well as the turntable were revolved at a rotational speed of 100 rpm.
  • Each of the treating solutions was fed to the surface of the polishing pad at a flow rate of 300 cc/min to perform the washing for a period of 15 to 60 seconds.
  • the washing should preferably be performed under the condition wherein the treating surface is always kept in a wet state. Namely, it is desirable that upon finishing the 2nd polishing, the washing should be successively performed by using the same apparatus.
  • the washing can be performed also by roll washing or pencil washing.
  • Treating solution 4 Only pure water.
  • Treating solution 5 Pure water containing 0.3 wt % of citric acid.
  • Treating solution 6 Pure water containing 0.01 wt % of polyacrylic acid.
  • the treating solution 6 corresponds to the conventional treating solution.
  • the washing using the treating solutions containing the aforementioned silicone-based surfactants can be also applied in the same manner as described above to a damascene wiring employing Al and W, thus obtaining almost the same effects as described above. Further, the treating solutions containing the aforementioned silicone-based surfactants can be also employed for the post treatment after CMP of poly-Si, SiO 2 , etc., wherein the treating surface can be effectively washed so as to provide a clean surface.
  • the washing using the treating solutions containing the aforementioned silicone-based surfactants can be also employed in combination with the touch-up CMP using the slurries, according the embodiments of the present invention.
  • the present invention it is now possible to manufacture a semiconductor device of high-performance and high-processing speed and having fine wirings of 0.1 ⁇ m of less in design rule which is to be demanded in the wirings of the next generation. Therefore, the present invention is highly valuable, from an industrial viewpoint.

Abstract

There is disclosed a CMP slurry which comprises a solvent, abrasive grains, and a silicone-based surfactant having an HLB value ranging from 7 to 20.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2003-037179, filed on Feb. 14, 2003, the entire contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a slurry for CMP and a method for manufacturing a semiconductor device. In particular, the present invention relates to a slurry for CMP which can be employed in the formation of a damascene wiring employed for mounting a high-speed logic LSI, a system LSI, a memory/logic hybrid LSI, etc., and to the method for manufacturing a semiconductor device.
  • 2. Description of the Related Art
  • Recent years, concomitant with the advancements in the integration of LSI, the wiring pattern is now increasingly miniaturized at a high speed. In particular, in the wiring of the next generation, where the design rule is 0.1 μm or less, it is considered imperative to develop new materials to prevent RC delay of wirings. Accordingly, it is now studied to employ low resistive Cu (ρ: 1.8μΩcm) as a wiring material and to employ a low dielectric constant (k:<2.5) insulating film as an insulating material.
  • The Cu wiring is mainly employed by forming it as a damascene wiring by using CMP (Chemical Mechanical Polishing). When the polishing of the Cu wiring is performed by CMP, a conductive material existing between wirings can be effectively removed, thus making it possible to obtain a wiring which is less likely to cause short-circuit, as compared with the wiring formed by RIE, such as the conventional Al wirings. Therefore, CMP is considered advantageous in the process of forming fine wirings.
  • On the occasion of forming the Cu damascene wiring by using the aforementioned CMP process, it is required that the method be capable of providing the wiring with various properties, such as excellent surface planarity, high polishing rate, low density in surface defects, low density in residual impurities and sufficient resistance to film peeling. If an organic insulating film (Low-k film) of low dielectric constant is employed as an interlayer insulating film, it would be possible to secure a high polishing rate. However, the employment of the organic insulating film would be encountered with many difficulties, as compared with the case where the conventional inorganic SiO2 film is employed in realizing all of the aforementioned properties except the high polishing rate.
  • In particular, with respect to the surface planarity, the loss of the Low-k film (erosion) due to the employment of CMP would become serious. The main reason of this may be attributed to the fact that the mechanical strength of the organic Low-k film is much poorer as compared with the inorganic SiO2 film. Furthermore, since most of the Low-k films contain organic components, the surface thereof is hydrophobic, which means that the hydrophobic surface is poor in compatibility with hydrophilic abrasive grains, thus resulting in the generation of non-uniformity in density of abrasive grains within a wiring pattern.
  • With a view to perform the polishing of wiring without causing damage to the Low-k film while maintaining a sufficient degree of polishing rate, there has been proposed to employ a slurry containing a fluorine-based surfactant. However, the fluorine-based surfactant is rather expensive and moreover may impose various burdens on the environment, thus rendering the fluorine-based surfactant inconvenient in its handling.
  • Further, due to the following reasons, it is difficult to sufficiently minimize the density of residual impurities on the surface of the Low-k film.
  • It is unavoidable to remain undesirable substances such as dusts (abrasive grains and cut pieces) and unreacted slurry on the surface of insulating film and wirings after the treatment using CMP. In the conventional method, these undesirable substances were removed by using a washing solution containing an organic acid or a surfactant. However, as the intervals between wirings are increasingly narrowed these days, such a washing method is no longer useful in removing these undesirable substances. Moreover, since the intervals between wirings demanded in the wirings of the next generation is as fine as 0.1 μm or less, a small amount of such a very small residual substances that have been disregarded in the prior art as not raising any substantial problem may now become a cause for the generation of wiring defects, such as short circuit between wirings, and the deterioration in voltage resistance of the insulating film.
  • Additionally, as described above, the surface of the Low-k film is hydrophobic and hence poor in compatibility to water. Therefore, dust is more likely to be adsorbed onto the surface of the insulating film during the treatment thereof using the CMP or during the washing treatment thereof. Moreover, since the dust adsorbed onto the insulating film cannot be removed, it may become a main cause for preventing the formation of wirings which are electrically isolated from each other normally. Furthermore, the Low-k film is accompanied with the drawback that it is vulnerable to scratches.
  • Additionally, since the Low-k film is inherently poor in adhesive strength, it is impossible in the employment of the Low-k film to secure a sufficiently high degree of resistance to the film peeling, thus making it one of the important and difficult problems that has to be coped with in the integration of Cu/Low-k film.
  • BRIEF SUMMARY OF THE INVENTION
  • A CMP slurry according to one embodiment of the present invention comprises:
  • a solvent;
  • abrasive grains; and
  • a silicone-based surfactant having an HLB value ranging from 7 to 20.
  • A method of manufacturing a semiconductor device according to one embodiment of present invention comprises:
  • forming an insulating film above a semiconductor substrate;
  • forming a recessed portion in the insulating film;
  • depositing a conductive material inside the recessed portion and on the insulating film to form a conductive layer; and
  • removing the conductive material deposited on the insulating film by CMP using a CMP slurry to expose the insulating film, the CMP slurry comprising a solvent, abrasive grains and a silicone-based surfactant having an HLB value ranging from 7 to 20.
  • A method of manufacturing a semiconductor device according to another embodiment of present invention comprises:
  • forming an insulating film above a semiconductor substrate;
  • forming a recessed portion in the insulating film;
  • depositing a conductive material inside the recessed portion and on the surface of the insulating film to form a conductive layer;
  • removing the conductive material deposited on the insulating film to expose the insulating film while selectively leaving the insulating film deposited inside the recessed portion, thereby forming a buried wiring layer; and
  • treating surfaces of the buried wiring layer and of the insulating film by using a treating solution comprising a silicone-based surfactant having an HLB value ranging from 7 to 20 and dissolved in water.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • FIGS. 1A to 1E are cross-sectional views each illustrating, in stepwise, the process for forming a Cu contact plug;
  • FIGS. 2A and 2B are cross-sectional views illustrating a model of generating a neck portion formed in the prior art;
  • FIG. 3 is a graph illustrating the relationship between the touch-up CMP time and the magnitude of the neck portion;
  • FIG. 4 is a schematic view illustrating a model of suppressing the generation of the neck portion, which can be realized according to embodiments of the present invention;
  • FIG. 5 is a graph illustrating the relationship between the concentration of resin particles and the ratio of peeled area and also the relationship between the concentration of resin particles and magnitude of the neck portion; and
  • FIGS. 6A to 6C are cross-sectional views each illustrating, in stepwise, the method of manufacturing a semiconductor device according to one embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Next, the specific embodiments of the present invention will be explained in detail with reference to drawings.
  • At first, one example of forming a Cu contact plug in an interlayer insulating film containing a hydrophobic Low-k film material by CMP using the conventional slurry will be described, for explaining problems involved in this conventional method.
  • On the occasion of forming a Cu multi-wiring layer, a dual damascene (DD) process where via-contact portions and wiring portions are concurrently formed is generally employed. In the case of an insulating film where it is difficult to apply the DD process, another method is adopted wherein contact plugs (via-plugs) are individually formed at first, and thereafter, wiring portions are formed.
  • In this process, when the CMP is performed for forming the plugs, the area of the insulating film is caused to increase as compared with that on the occasion of forming the wiring portions. Therefore, the wirings are greatly influenced by the insulating film, thereby making it very difficult to secure all of the features demanded of the wirings.
  • FIGS. 1A to 1E are cross-sectional views illustrating one example of forming such a Cu contact plug.
  • First of all, as shown in FIG. 1A, a first low dielectric constant insulating film 11 and a second low dielectric constant insulating film 12 are successively formed on the surface of a semiconductor substrate 10 formed, for example, of silicon and having semiconductor element(s) (not shown) formed thereon.
  • The first low dielectric constant insulating film 11 may be constituted by a low dielectric constant insulating material having a relative dielectric constant of as low as less than 2.5. For example, this first low dielectric constant insulating film 11 may be formed of at least one film selected from the group consisting of a film having a siloxane skeleton such as polysiloxane, hydrogen silsesquioxane, polyethyl siloxane, methylsilsesquioxane, etc.; a film having, as a major component, an organic resin such as polyarylene ether, polybenzoxazole, polybenzocyclobutene, etc.; and a porous film such as porous silica film. The first insulating film 11 formed of any of these films is fragile in physical strength.
  • The second insulating film 12 formed on the first insulating film 11 functions as a capping insulating film and may be formed of an insulating material having a larger relative dielectric constant than that of the first insulating film 11. For example, the second insulating film 12 may be formed of at least one insulating material having a relative dielectric constant of 2.5 or more and selected from the group consisting of SiC, SiCH, SiCN, SiOC and SiOCH. The surface of the second low dielectric constant insulating film 12 formed of any of these materials is hydrophobic.
  • Alternatively, the second insulating film functioned as a capping film may be formed of a hydrophilic material such as SiO, SiOP, SiOF, SiON and SiN, or of any film relatively high in dielectric constant. In this embodiment, the first insulating film 11 was formed by a spin-coating method to thickness of 400 nm, and the second insulating film 12 was formed by the CVD method to thickness of 100 nm.
  • To these first insulating film 11 and second insulating film 12, contact holes 13 were respectively formed to a depth of 500 nm as shown in FIG. 1B.
  • Then, as shown in FIG. 1C, a barrier metal film 14 and a wiring material film 15, both being formed of a conductive material, were successively deposited the entire surfaces of the first and second insulating films 11 and 12. In this embodiment, the barrier metal film 14 was formed by using TaN which was deposited to a thickness of about 10 nm by sputtering. The barrier metal film 14 may be formed of WN, etc., which may be also deposited so as to have almost the same thickness as the aforementioned TaN film. The wiring material film 15 was formed in such a manner that, at first, a Cu seed layer was formed by sputtering method and then, a Cu film was formed thereon to a thickness of about 120 nm by plating method.
  • Incidentally, in the embodiment shown in FIG. 1C, the insulating film on which the barrier metal film 14 and the wiring material film 15 are formed is formed of a laminate structure containing the first insulating film 11 and the second insulating film 12. The insulating film may not be such a laminate, but may be formed of a single layer of insulating film. The insulating film formed of a single layer in this case may be formed, for example, of black diamond (Applied Materials Co., Ltd.). The surface of the insulating film formed of black diamond also is hydrophobic.
  • When redundant portions of the conductive layer comprising the barrier film 14 and the wiring material film 15 constructed in this manner are removed by the CMP treatment, a contact plug 16 is formed in the contact holes 13 through the barrier metal 14 formed of TaN. The CMP in this case is performed in two steps, i.e. the removal of the wiring material layer 15 (a first polishing) and the removal of the barrier film 14 (a second polishing).
  • In the first polishing, the wiring material layer 15 is polished by using a slurry which makes it possible to realize a high-polishing rate of Cu and a slow-polishing rate of TaN, i.e. a slurry which is large in selective ratio between Cu and TaN, in order to secure the planarity of the resultant polished surface. More specifically, the first polishing was performed under the conditions described below.
      • Slurry: CMS7303/7304 (JSR Co., Ltd.)
      • Flow rate of slurry: 250 cc/min.
      • Polishing pad: IC1000 (tradename; RODEL NITTA Co., Ltd.);
      • Load: 300 gf/cm2.
  • Rotational speed of carrier and turntable were both set to 100 rpm, and the polishing was performed for one minute, thereby removing Cu located on the field and allowing the surface of the barrier metal 14 to expose as shown in FIG. 1D.
  • The surface of the wafer after the first polishing was covered by the TaN film as the barrier metal film 14 and hence was hydrophilic. Therefore, it was possible to prevent the generation of selective excessive polishing (neck) at the periphery of the pattern of the contact plug 16.
  • In the second polishing, the barrier metal film 14 was removed to expose the surface of the second insulating film 12 (touch-up CMP), thus finishing the polishing. The slurry employed in this step is required capable of polishing the TaN constituting the barrier metal film 14 at a sufficiently high speed. First of all, the conventional slurry employed for the touch-up was used to perform the touch-up CMP under the following conditions. The slurry employed in this case was formed of an aqueous dispersion comprising 5% by weight of colloidal silica, 1% by weight of quinolinic acid, and 0.1% by weight of hydrogen peroxide, the pH of the dispersion being controlled to 10 by using KOH;
      • Flow rate of slurry: 200 cc/min;
      • Polishing pad: IC1000 (tradename; RODEL NITTA Co., Ltd.);
      • Load: 200 gf/cm2.
  • Rotational speed of carrier and turntable was set to 100 rpm, and the polishing was performed for 75 seconds.
  • About 60 seconds later after the initiation of the polishing, the barrier metal film 14 was removed from the second insulating film 12. At this moment, the edge portions of the via-chain pattern where the contact plugs were gathering closely were selectively polished, thereby erosion (neck portion) having a depth of about 45.5 nm generated. When the over-polishing was further continued for 15 seconds, the depth of the erosion was enlarged up to about 78 nm.
  • The neck formed at the edge portions of the pattern may become a cause for deteriorating the yield of the via-chain and also may give rise to the generation of residual metal on the occasion of performing the CMP of the upper wiring layer, thus inviting the generation of short-circuit due to this residual metal. Therefore, the generation of this neck portion should desirably be prevented as much as possible.
  • This neck portion is considered to be generated mainly due to a couple of reasons.
  • One of the reasons is, due to the fact that since the Low-k material is hydrophobic, the hydrophilic abrasive grains are incompatible with the Low-k material. Therefore, as shown in FIG. 2A, the abrasive. grains 18 are caused to move from the surface of the second insulating film 12 to the surface of the hydrophilic Cu contact plug 16, thereby generating a difference in density of the abrasive grains 18 between the region over the field and the edge portions of the pattern.
  • As a result, as shown in FIG. 2B, the abrasive grains 18 are selectively retained at the edge portions of the pattern, thus allowing the polishing to proceed locally and hence resulting in the formation of the neck portion.
  • The other of the reasons is due to the fact that since the mechanical strength of the Low-k material is relatively poor as compared with the SiO2 film that has been formed by using the ordinary TEOS-based or SiH4-based gas, the polishing rate of the insulating film becomes relatively high.
  • In the case of the slurry employed in the aforementioned touch-up step, while the ordinary inorganic TEOS insulating film can be polished at a polishing rate of about 30 nm/min, the Low-k film insulating film is enabled to polish at a polishing rate of about 76 nm/min, i.e. twice or more as high as that of the inorganic TEOS insulating film.
  • Theoretically speaking, it is possible to solve the aforementioned problem by lowering the polishing rate of the Low-k film. In order to realize this, it may be possible to take measures to lower the concentration of abrasive grains in the slurry or to change the kind of polishing particles. In either of these measures, although it is possible to suppress the generation of the neck at the edge portions of the pattern, the polishing rate of the barrier metal film is also concurrently caused to greatly decrease. Specifically, the polishing time of the barrier metal film will be prolonged to four times or more, thus making these measures undesirable from a viewpoint of practicality.
  • The present inventors have took notice of an idea of enhancing the hydrophilicity of the surface of the Low-k film, and have investigated the characteristics of various surfactants with a view to obtain an optimal surface activity of the Low-k film.
  • More specifically, aqueous solutions of anionic surfactant, cationic surfactant and nonionic surfactant as shown in the following Table 1, each having a prescribed concentration, were prepared. Then, a semiconductor substrate having the Low-k film formed thereon was immersed in each of aqueous solutions of aforementioned surfactants to investigate the wettability of the surface of the Low-k film.
  • The wettability of the surface of the Low-k film was evaluated by measuring the contact angle (θ) between the aqueous solution of surfactant and the Low-k film.
  • Further, each of the surfactants was mixed into the slurry employed in the aforementioned touch-up step at a concentration shown in the following Table 1 to prepare samples of slurries. Then, touch-up CMP was performed under the same conditions as described above except that the samples of slurries prepared as described above were employed to investigate the wettability of the surface of the Low-k film subsequent to CMP.
  • The results measured of the wettability are summarized in the following Table 1 together with the kind and mixing ratios of the surfactants.
    TABLE 1
    Surfactants Wettability
    Contents After After
    Kind of surfactant (wt %) immersion CMP
    Anionic Potassium
    10 X
    dodecyl benzene
    sulfonate (DBS)
    Ammonium 30 X X
    polycarboxylate
    (TK-75, Kao Co.,
    Ltd.)
    Cationic Cetyltrimethyl 10 X
    ammonium
    chloride
    Polymer type 35 ⊚⊚ X
    cation
    (KD-84, Kao Co.,
    Ltd.)
    Nonionic Acetyldiol-based 10 X
    nonion (HLB18)
    Fluorine-based 1 X
    nonion
    (DS-401, Daikin
    Co., Ltd.)
    Polyoxyethylene 0.1
    methyl
    polysiloxane
    copolymer
    Polyvinyl 0.8 X
    pyrrolidone
    Not added X X

    Note:

    ⊚⊚: 0° ≦ θ < 45°;

    ⊚: 45° ≦ θ < 90°;

    ◯: 90° ≦ θ < 150°;

    X: 150° ≦ θ < 180°.
  • Generally, since a surfactant having fluoroalkyl group is capable of sufficiently lowering the surface tension of a solvent, this surfactant is known as having a large degree of surface activating effect. However, as already explained above, the surfactant having fluoroalkyl group cannot be easily handled and hence is not suited for use, from a practical viewpoint.
  • As shown in above Table 1, the conventional surfactant having an alkyl group in its hydrophobic moiety thereof (for example, cetyltrimethylammonium chloride) is poor in wettability after CMP even though the wettability of the surface of Low-k film obtained after the immersion thereof is generally excellent. The reason for this is assumedly attributed to the following facts. Namely, the conventional surfactant having an alkyl group in its hydrophobic moiety thereof is once adsorbed onto the surface of the Low-k film, thereby enabling the surface of the Low-k film to become hydrophilic. However, since the alkyl group is weak in hydrophobicity, the adsorptive strength thereof to the Low-k film is poor, thereby the surfactant easily desorbs as the surfactant is incapable of withstanding the mechanical stress during the CMP. Therefore, the surfactant having alkyl group in its hydrophobic moiety thereof is incapable of sufficiently hydrophilizing the surface of the Low-k film, thus assumedly making it difficult to sufficiently suppress the generation of the neck.
  • It has been found that a surfactant having a sufficient degree of hydrophobicity which is higher than that of alkyl group and substantially the same as that of fluoro group and being easy in handling thereof can be selected from silicon-based surfactants. For example, when the touch-up CMP was performed by using a slurry containing 0.1% by weight of polyoxyethylene/methylpolysiloxane copolymer as a silicon-based surfactant, the surface of the Low-k film was enabled to maintain a hydrophilized state even after the CMP, as clearly demonstrated in the above Table 1.
  • A silicon-based surfactant such as polyoxyethylene/methylpolysiloxane copolymer is capable of exhibiting a high surface activity owing to the water repellency of silicon, and unhazardous to the environment and can be easily handled. Therefore, in the embodiments of the present invention, a slurry containing a silicon-based surfactant was employed. Namely, a slurry containing 0.1% by weight of polyoxyethylene/methylpolysiloxane copolymer was employed in Example 1.
  • In the touch-up CMP using the slurry of Example 1, it was possible, through 60-second polishing, to remove TaN employed as a barrier metal film and to suppress the neck to a depth of not more than 10 nm at the edge portions of the pattern. Further, even if an over-etching was performed another 30 seconds, the neck was substantially prevented from being further enlarged in depth, thereby making it possible to maintain the planarity where irregularity thereof in depth was controlled to not more than 10 nm.
  • In the graph shown in FIG. 3, the relationship between the time of the touch-up CMP and the magnitude of the neck portion, which was obtained through the employment of the slurry of Example 1 is represented by a curve “a”. As shown in FIG. 3, when the slurry of Example 1 was employed, the magnitude of the neck portion was controlled to not more than 20 nm or so even if the polishing was continued for 120 seconds. Incidentally, if the magnitude of the neck portion is less than about 40 nm, the wiring is not substantially affected by the neck portion. In FIG. 3, the changes in magnitude of the neck portion that may be exhibited when the conventional slurry was employed are represented by a curve “b”. The touch-up CMP is generally performed for a period of about 60 seconds. As shown by the curve “b”, in the case of the conventional slurry, a neck having a depth of 50 nm was already generated before finishing the touch-up CMP. Moreover, the curve “b” clearly shows that the magnitude of the neck portion was prominently increased with the time of CMP.
  • Additionally, when the slurry of Example 1 was employed, the polishing rate of the Low-k film was 10 nm/min or so, i.e. about ⅙ of the conventional slurry. Therefore, the Low-k film was inhibited from being preferentially polished, thereby making it possible to minimize the generation of the neck portion.
  • These effects obtained from the employment of the silicone-based surfactant may be assumedly attributed to the following reasons. Since the silicone-based surfactant is provided with a hydrophobic moiety whose hydrophobicity is very strong, the surfactant strongly adsorbs on the surface of the Low-k film constituting the second insulating film 12 as shown in FIG. 4, thus hydrophilizing the surface of the Low-k film. In FIG. 4, the reference number 19 represents a silicon-based surfactant. Since the surface of the Low-k film 12 can be sufficiently hydrophilized by the application of the silicon-based surfactant, the abrasive grains 18 are prevented from being locally gathered and retained but can be uniformly dispersed all over the Low-k film 12 as well as over the Cu contact plug 16. Due to these reasons, it was possible to prevent the edge portions of the pattern from being preferentially polished, and hence to suppress the generation of the nicking portions.
  • Additionally, the silicone-based surfactant 19 is also effective in protecting the surface of the Low-k film 12 and capable of forming a uniform covering layer since the silicone-based surfactant 19 is basically formed of silicon, i.e. the same as that of the substrate.
  • These effects of the silicone-based surfactant can be fully exhibited even against hydrophilic insulating films as well as against non-low dielectric constant insulating films. In this case, micelle is formed on the surfaces of these films to make it possible to enhance the hydrophilicity of these surfaces and hence to improve the wettability of these surfaces.
  • However, the hydrophile-lipophile balance (HLB) value of the silicone-based surfactant is required to be confined within a predetermined range. Namely, unless the surfactant is capable of being dissolved into an aqueous solution, the surfactant cannot be used for a slurry. Further, even if the surfactant can be strongly adhered to a semiconductor substrate, the effects thereof cannot be derived if the surfactant is lipophile. Therefore, the HLB value of the silicone-based surfactant should specifically be confined within the range of 7 to 20. The HLB value in this case is determined based on Griffin's equation (HLB=20×(weight % of hydrophilic group)). If the HLB value is less than 7, it may become difficult to dissolve the surfactant in an aqueous solution. On the other hand, if the HLB value exceeds over 20, the solubility of the slurry would be increased when the surfactant is incorporated into the slurry, thereby deteriorating the planarity of the surface after polished. Preferably, the HLB value should be confined within the range of 10 to 17.
  • The HLB value of the polyoxyethylene/methylpolysiloxane copolymer incorporated into the slurry of Example 1 was found 16.
  • Further, the structure of the hydrophobic moiety having a basic skeleton of silicone oil constituting the base in the silicone-based surfactant may be modified in various ways such as side-chain modification, single-terminal modification, both-terminal modification and both-terminal side-chain modification. On the other hand, the hydrophilic moiety of the surfactant may be nonionic, anionic or cationic. For example, the hydrophilic moiety of the surfactant may be constituted by polyether, betain, sulfate, pyrrolidone or quaternary salt.
  • The content of the silicone-based surfactant should preferably be within the range of 0.001 wt % to 0.5 wt %. If the content of the silicone-based surfactant is less than 0.001 wt %, it may become difficult to sufficiently hydrophilize the surface of the Low-k film and hence may become difficult to confine the magnitude of the neck portion to the aforementioned acceptable range. On the other hand, if the content of the silicone-based surfactant exceeds over 0.5 wt %, the surfactant may strongly adhere also onto the TaN portion constituting the barrier metal film, thus possibly resulting in an extreme deterioration of the polishing rate of the TaN film.
  • As for the silicone-based surfactant, it is possible to employ any chemical compounds having, as a skeleton, siloxane straight chain, to which polyoxyalkylene group such as polyoxyethylene, polyoxypropylene, etc. is added. Specific examples of such a compound include polyoxyethylene/methylpolysiloxane copolymer, poly(oxyethylene/oxypropylene) methylpolysiloxane copolymer, polyoxyethylene alkylpolysiloxane/polyoxypropylene alkylpolysiloxane/dimethylpolysiloxane copolymer, and methylpolysiloxane/alkylmethylpolysiloxane/poly(oxyethy lene/oxypropylene) methylpolysiloxane copolymer.
  • In view of easily obtaining a stable slurry, it is especially preferable to employ polyoxyethylene/methylpolysiloxane copolymer among these copolymers.
  • As for the abrasive grains employed in the slurry according to the embodiments of the present invention, it is possible to employ, in addition to colloidal silica, at least one material selected from fumed silica, colloidal alumina, fumed alumina, ceria and titanium oxide. The content of the abrasive grains should preferably be within the range of 0.1 wt % to 30 wt %. If the content of the abrasive grains is less than 0.1 wt %, it may become impossible to expect a sufficient degree of polishing action. On the other hand, if the content of the abrasive grains exceeds over 30 wt %, the rate of CMP against the insulating film may become extraordinarily accelerated, thus possibly resulting in difficulty in securing a sufficient selectivity ratio relative to the rate of CMP against Cu.
  • As for the oxidizing agent which can be incorporated into the slurry as required, it is possible, in addition to hydrogen peroxide, to use at least one material selected from ammonium persulfate, potassium persulfate, ferric nitrate and secondary ammonium cerium nitrate. The content of the oxidizing agent may be selected from the range of 0.1 to 5 wt %.
  • As for the chelate complexing agent, it is possible to incorporate at least one material selected from quinaldinic acid, quinolinic acid, nicotinic acid, picolinic acid, malonic acid, maleic acid, oxalic acid, succinic acid, citric acid, tartaric acid, malic acid, lactic acid, ammonia, ethylenediamine glycine, alanine and tryptophan. The chelate complexing agent may be incorporated into the slurry at a ratio of about 0.01 wt % to 1 wt %.
  • Further, it is possible to incorporate a non-silicone-based surfactant. As for the non-silicone-based surfactant, it is possible to employ anionic surfactants such as polyacrylic acid, dodecylbenzene sulfonate, etc.; cationic surfactants such as cetyl ammonium salt, etc.; and nonionic surfactants such as polyoxyethyelene alkylether, etc. When these non-silicone-based surfactants are incorporated into a slurry, the covering state of the surfactant over the surface of the Low-k film would become more uniform, thereby making it possible to further enhance the hydrophilicity of the surface of the Low-k film. In order to sufficiently secure these effects, these non-silicone-based surfactants should preferably be incorporated at a ratio of about 0.001 wt % to 1 wt % for instance.
  • When resin particles are incorporated into the slurries according to the embodiments of the present invention, the effect of preventing the peeling of Low-k film can be further enhanced.
  • In addition to the aforementioned problem of neck, the peeling of Low-k film can be mentioned as one of the important problems that should be overcome on the occasion of forming Cu/Low-k damascene wirings. As compared with inorganic insulating films, the Low-k material is generally poor in adhesive strength to other insulating films or barrier metal films. Therefore, on the occasion of CMP, in particular on the occasion of the touch-up CMP, the Low-k material is incapable of withstanding the mechanical stress, thereby the Low-k film easily peels off. The peeling of the Low-k film would be increased more frequently and the scale of the peeling thereof would be also enlarged as the layer to undergo the CMP is placed higher in the laminate structure thereof.
  • For example, an upper insulating film was formed by using LKD (available from JSR) on a lower insulating film which was formed in advance by using Black Diamond (available from AMJ), and then, the formation of double-layered Cu damascene wirings in these insulating films was tried. When the touch-up CMP of the second-layered wiring was performed by using the conventional slurry, the peeling of film was recognized at about 80% of the entire area of wafer, thus making it difficult to form the wiring.
  • On the other hand, PMMA (polymet hylmethacrylate) particles having a primary particle diameter of 200 nm was incorporated at a ratio of 0.1 wt % into the slurry of the aforementioned Example 1 to prepare the slurry of Example 2. Then, by using this slurry, the touch-up CMP was performed under the same conditions as described above. As a result, the area of film peeling was suppressed to 5% or less. Moreover, the portions where the peeling was generated were all limited to the cut portions of the wafer edges that is non-effective region.
  • In order to enable the film peeling-suppressing effect to be sufficiently exhibited while securing a high polishing rate of the Low-k film and suppressing the generation of neck, the concentration of the resin particles should preferably be within the range of 0.05 wt % to 1 wt %.
  • In FIG. 5, the relationship between the concentration of resin particles and the ratio in area of the peeled portion is represented by a curve “c” and the relationship between the concentration of resin particles and the magnitude of neck portion is represented by a curve “d”. As shown in the curve “c”, the ratio in area of the peeled portion was sharply decreased as the concentration of resin particles was increased. With respect to the magnitude of the neck portion, it was increased in proportion to the increase in concentration of resin particles as shown in the curve “d”. If the ratio in area of the peeled portion can be confined to 5% or less, it can be disregarded.
  • On the other hand, with respect to the magnitude of the neck, if it can be confined to 40 nm or less, it can be disregarded as already explained above.
  • When the resin particles are incorporated at a concentration of 0.05 wt % to 1 wt % into the slurry, the ratio in area of the peeled portion as well as the magnitude of neck portion can be suppressed within acceptable ranges, respectively.
  • Incidentally, as for the materials for the resin particles, it is possible to employ, in addition to methacrylic resin such as PMMA, phenol resin, urea resin, melamine resin, polystyrene resin, polyacetal resin, or polycarbonate resin, all of which having almost the same degree of hardness as that of methacrylic resin.
  • Abrasive grains may be flocculated or adsorbed onto the surface of the resin particles so as to enable them to be used as composite particles.
  • If the resin particles are employed as composite particles, the resin particles should preferably be provided, on their surfaces, with an anionic, cationic, amphoteric or nonionic functional group. Specific examples of these functional groups include hydroxyl group, epoxy group, carboxylic group, etc.
  • As for the resin particles, it is possible to employ, other than those mentioned above, polymer particles made of thermoplastic resin such as polyvinyl chloride/styrene copolymer, saturated polyester, polyamide, polyimide, polyolefin or polyolefin copolymer such as polyethylene, polypropylene, poly-1-butene, poly-4-methyl-1-pentene, etc., phenoxy resin, acrylic copolymer, etc. It is also possible to employ polymer particles made of thermosetting resin, such as urethane resin, epoxy resin, alkyd resin, unsaturated polyester resin, etc.
  • Incidentally, copolymers having a hydrophilic functional group in their constituent unit such as styrene/methacrylic copolymer, styrene/maleic anhydride copolymer, etc. are preferable for use, since they are excellent in dispersion in water and hence can be easily formed into an aqueous dispersion. Polyethylene, polypropylene, polystyrene, etc. can be surface-treated by oxygen plasma, etc. to generate a hydrophilic functional group thereon, thereby making it possible to enhance the dispersibility thereof in water.
  • The aforementioned polymer particles can be synthesized by polymerizing monomers such as unsaturated aromatic compounds such as styrene, α-methyl styrene, styrene halide and divinyl benzene; unsaturated esters such as vinyl acetate, vinyl propionate; unsaturated nitrites such as acrylonitrile; acrylic esters; methacrylic esters; etc. Further, it is possible to employ monomers such as butadiene, isoprene, acrylic compounds, methacrylic compounds, acrylamide, methacrylamide, N-methylolacrylamide and N-methylolmethacrylamide. These monomers may be employed singly or in combination of two or more kinds.
  • When any of these resin particles are incorporated into the slurry at a ratio of 0.05 wt % to 1 wt %, the magnitude of the neck portion as well as the peeling can be suppressed within acceptable ranges, respectively.
  • As explained above, the slurry for CMP according to the embodiments of the present invention comprises abrasive grains as an abrasive component, and a silicone-based surfactant having an HLB value ranging from 7 to 20. Due to the effects of the silicone-based surfactant, it is now possible to enhance the hydrophilicity of the surface of the insulating film and to suppress the generation of the neck during the process of the touch-up CMP.
  • These effects of the silicone-based surfactant would be exhibited also during the process of removing residual dust left on the surface of the wiring layer immediately after CMP.
  • The present inventors have considered that it is conventionally believed that the elimination of dust left behind on the surface of the wiring layer immediately after the CMP can be achieved by a combination of four basic effects, i.e. dissolution, chemical effects, mechanical effects and surface activity. For example, it is believed that, owing to the employment of a treating solution comprising a chelate complexing agent for providing chemical effects, a surfactant for providing surface activities, and pure water for dissolving these agents, and owing to the polishing of a treating surface by using a polishing pad while feeding the aforementioned treating solution thereto, mechanical effects can be given to the residual dust.
  • In particular, if a hydrophobic material such as the Low-k film is treated, the condition of the treating surface that will be obtained through the surface activation thereof is important. Namely, in order to reliably remove the dust left remained on the treating surface, the washing treatment should preferably be performed under the conditions wherein the hydrophobic treating surface is uniformly covered with a surfactant so as to enhance the hydrophilicity of the treating surface.
  • As already explained above, this washing treatment can be realized by using a treating solution comprising a silicone-based surfactant having an HLB value ranging from 7 to 20 and water dissolving the surfactant.
  • However, the purpose of this washing treatment is to remove residual materials from the surfaces of wiring layer or of insulating film, so that the polishing of the insulating film such as SiO2 and of a metallic film such as Cu and Ta is not intended to be achieved. Rather, since the insulating film and metallic film should not be polished during this washing treatment using the aforementioned treating solution, no abrasive grain is included in this treating solution. In other words, the polishing rate of the insulating film and metallic film by the effect of this treating solution should be confined to not higher than 10 nm/min. Irrespective of the conditions and the kind of insulating film and metallic film, if the polishing rate of the insulating film and metallic film is confined to less than 10 nm/min, it will be assumed that the insulating film and metallic film are not polished in any substantial degree.
  • The aforementioned treating solution can be prepared by dissolving the aforementioned silicone-based surfactant in water such as pure water or ionized water.
  • As for the silicone-based surfactant, it is possible to employ those already explained above at a ratio which is also already explained above. Further, if a chelate complexing agent and non-silicone-based surfactant are incorporated into the slurry together with the silicone-based surfactant, the aforementioned dust-removing effect can be further enhanced. These additives can be selected from those already explained above at a ratio which is also already explained above. Furthermore, it is also possible to incorporate resin particles into the slurry.
  • A treating solution containing the aforementioned silicone-based surfactant is applied to a treating surface subsequent to the treatment by CMP, and then, the treating surface is subjected to a mechanical action using a polishing pad, roll or pencil so as to remove residual materials from the surfaces of wiring layer and insulating film, thus making it possible to obtain a semiconductor device having excellent electric properties.
  • FIGS. 6A to 6C are cross-sectional views each illustrating, stepwise, the method for manufacturing a semiconductor device according to one embodiment of the present invention.
  • First of all, as shown in FIG. 6A, a barrier metal film 105 and a wiring material film 106 are successively deposited, through an inorganic insulating film 101 and laminated insulating films 103 and 104, on a semiconductor substrate 100 having semiconductor elements (not shown) formed thereon.
  • A plug 102 made of W (tungsten) is buried in advance in the inorganic insulating film 101. The laminated insulating films are constituted by a first insulating film 103 having a relative dielectric constant of less than 2.5, and a second insulating film 104 formed on the first insulating film 103 and having a relative dielectric constant which is higher than that of the first insulating film 103. The thickness of these first and second insulating films 103 and 104 may be both 100 nm.
  • The first insulating film 103 can be formed by using a low dielectric constant insulating material having a relative dielectric constant of less than 2.5, specific examples of which are already explained above. The second insulating film 104 can be formed by using an insulating material having a relative dielectric constant of not less than 2.5, specific examples of which are already explained above.
  • After a wiring groove is formed in the aforementioned laminated insulating films, the barrier metal film 105 and the wiring material film 106 are deposited all over the resultant surface. This barrier metal film 105 may be formed of a Ta film having a thickness of 10 nm, and the wiring material film 106 may be formed of a Cu film having a thickness of 400 nm.
  • Incidentally, in the embodiment shown in FIG. 6A, the insulating layer on which the barrier metal film 105 and the wiring material film 106 are deposited is constituted by a 2-ply structure consisting of first and second insulating films 103 and 104. However, this insulating layer may be formed of a single insulating film. In such a case, this single insulating film can be formed by using black diamond (Applied Materials Co., Ltd.). The insulating film formed of this material also has a hydrophobic surface.
  • Then, the redundant portions of the barrier metal film 105 and the wiring material film 106 are removed by CMP until the surface of the second insulating film 104 is exposed, thereby forming a buried wiring layer as shown in FIG. 6B. In this case, CMP was performed in two steps, i.e. a step of removing the wiring material film 106 (1st polishing), and a step of removing the barrier metal film 105 (2nd polishing). The conditions of the CMP were as follows.
  • (1st Polishing)
      • Polishing slurry: CMS7303/7304 (tradename: JSR Co., Ltd.);
      • Flow rate of slurry: 250 cc/min;
      • Polishing pad: IC1000 (tradename; RODEL NITTA Co., Ltd.);
      • Load: 300 gf/cm2.
      • Rotational speed of carrier and turntable: 100 rpm.
      • The polishing was performed for one minute.
        (2nd Polishing)
      • Polishing slurry: CMS8301 (tradename: JSR Co., Ltd.);
      • Flow rate of slurry: 200 cc/min;
      • Polishing pad: IC1000 (tradename; RODEL NITTA Co., Ltd.);
      • Load: 300 gf/cm2.
      • Rotational speed of carrier and turntable: 100 rpm.
        The Polishing was Performed for 30 Seconds.
  • As shown in FIG. 6B, residual materials of abrasive grains 107, of metal oxide 108, and of unreacted slurry 109 were adhered on the surfaces of the second insulating film 104, of the barrier metal film 105 and of the wiring material film 106.
  • These residual materials were washed away by using a treating solution containing the aforementioned silicone-based surfactant to obtain a clean surface as shown in FIG. 6C.
  • The treating solutions employed herein were prepared according to the following procedures.
  • Treating solution 1:
  • Polyoxyethylene/methylpolysiloxane copolymer as a silicone-based surfactant was dispersed in pure water at a concentration of 0.5 wt % to prepare the treating solution 1.
  • Treating solution 2: A chelate complexing agent (citric acid) was incorporated into the aforementioned treating solution 1 at a concentration of 0.3 wt % to prepare the treating solution 2.
  • Treating solution 3: A non-silicone-based surfactant (polyacrylic acid) was incorporated into the aforementioned treating solution 1 at a concentration of 0.01 wt % to prepare the treating solution 3.
  • By using these treating solutions, the surface under the condition shown in FIG. 6B was washed. In this washing, the semiconductor substrate sustained on a carrier was permitted to contact a polishing pad (about 10000 nm in roughness; RODEL NITTA Co., Ltd.) placed on the turntable under a load of 300 gf/cm2 and the carrier as well as the turntable were revolved at a rotational speed of 100 rpm. Each of the treating solutions was fed to the surface of the polishing pad at a flow rate of 300 cc/min to perform the washing for a period of 15 to 60 seconds.
  • Since it becomes difficult to remove the residual materials once the treating surface is allowed to dry, the washing should preferably be performed under the condition wherein the treating surface is always kept in a wet state. Namely, it is desirable that upon finishing the 2nd polishing, the washing should be successively performed by using the same apparatus.
  • In place of the washing using a polishing pad, the washing can be performed also by roll washing or pencil washing.
  • Furthermore, the surface under the condition shown in FIG. 6B was washed in the same manner as described above except that the following treating solutions 4 to 6 were employed substituting for the aforementioned treating solutions 1 to 3.
  • Treating solution 4: Only pure water.
  • Treating solution 5: Pure water containing 0.3 wt % of citric acid.
  • Treating solution 6: Pure water containing 0.01 wt % of polyacrylic acid.
  • The treating solution 6 corresponds to the conventional treating solution.
  • After the washing treatment, the yield based on short-circuit of wiring (line/space: 0.08 μm/0.08 μm) was investigated, and at the same time, the I-V characteristics of the insulating film was measured from the value of leak current (applied electric field: 1MV/cm) of the wiring, the results obtained being summarized in the following Table 2.
    TABLE 2
    Yield based on Leak
    short-circuit current
    (%) (nA)
    Treating solution 1 95 8
    Treating solution 2 99 2
    Treating solution 3 99 3
    Treating solution 4 65 6548
    Treating solution 5 72 873
    Treating solution 6 75 664
  • As shown in Table 2, it was possible, through the washing using the treating solutions 1 to 3 each containing the aforementioned silicone-based surfactants respectively, to improve the electric characteristics of the resultant semiconductor devices. More specifically, the yield based on short-circuit of wiring was increased to 95% or more, and the leak current was reduced to 8 nA or less.
  • Incidentally, when the concentration of the silicone-based surfactants was altered within the range of 0.001 to 1.0 wt %, almost the same effects were obtained.
  • It is believed from these results that it was possible, through the washing using the treating solutions containing the aforementioned silicone-based surfactants respectively, to give mechanical action (without imposing any excessive load on the hydrophobic and fragile organic insulating film) to dust and residual matters that may become a cause for deterio-rating the electric characteristics of a semiconductor device, thereby making it possible to effectively remove the dust and residual matter without generating scratches on the treating surface.
  • Incidentally, in the generation where the design rule is in the order of 0.1 μm, it is required that the yield based on short-circuit of wiring is 95% or more, and the leak current is confined to less than 10 nA. It is now possible, through the employment of a treating solution containing the aforementioned silicone-based surfactants, to cope with the design rule of the next generation.
  • Whereas, when a treating solution containing no silicone-based surfactants was employed, the yield based on short-circuit of wiring was limited to at most 75%, and the leak current was increased up to 6500 nA at maximum. The reasons for this may be attributed to the fact that since dust, etc. was not completely removed, an insufficient performance was obtained.
  • The washing using the treating solutions containing the aforementioned silicone-based surfactants can be also applied in the same manner as described above to a damascene wiring employing Al and W, thus obtaining almost the same effects as described above. Further, the treating solutions containing the aforementioned silicone-based surfactants can be also employed for the post treatment after CMP of poly-Si, SiO2, etc., wherein the treating surface can be effectively washed so as to provide a clean surface.
  • The washing using the treating solutions containing the aforementioned silicone-based surfactants can be also employed in combination with the touch-up CMP using the slurries, according the embodiments of the present invention. In this case, it is possible to minimize the generation of the neck portions at the edges of pattern and to concurrently obtain a clean surface, thus making it possible to obtain excellent results.
  • As explained above, according to embodiments of the present invention, it is now possible to provide slurries for CMP and a method of manufacturing a semiconductor device, which make it possible to form a damascene wiring where the density of defects as well as the concentration of surface impurities can be minimized.
  • Further, according to the present invention, it is now possible to manufacture a semiconductor device of high-performance and high-processing speed and having fine wirings of 0.1 μm of less in design rule which is to be demanded in the wirings of the next generation. Therefore, the present invention is highly valuable, from an industrial viewpoint.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (21)

1. A CMP slurry comprising:
a solvent;
abrasive grains; and
a silicone-based surfactant having an HLB value ranging from 7 to 20.
2. The CMP slurry according to claim 1, wherein said silicone-based surfactant has an HLB value ranging from 10 to 17.
3. The CMP slurry according to claim 1, wherein said silicone-based surfactant comprises at least one copolymer selected from the group consisting of polyoxyethylene/methylpolysiloxane copolymer, poly(oxyethylene/oxypropylene) methylpolysiloxane copolymer, polyoxyethylene alkyl polysiloxane/polyoxypropylene alkylpolysiloxane/dimethylpolysiloxane copolymer, and methylpolysiloxane/alkylmethylpolysiloxane/poly(oxyethylene/oxypropylene) methylpolysiloxane copolymer.
4. The CMP slurry according to claim 1, wherein the content of said silicone-based surfactant is within the range of 0.001 wt % to 0.5 wt %.
5. The CMP slurry according to claim 1, further comprising resin particles.
6. The CMP slurry according to claim 5, wherein the content of said resin particles is within the range of 0.05 wt % to 1 wt %.
7. The CMP slurry according to claim 1, further comprising at least one component selected from the group consisting of an oxidizing agent, a chelate complexing agent and a non-silicone-based surfactant.
8-20. (Cancelled)
21. The CMP slurry according to claim 1, wherein the silicone-based surfactant has a hydrophobic moiety and a hydrophilic moiety, the hydrophilic moiety comprising at least one selected from the group consisting of polyether, betain, sulfate, pyrrolidone, and quarternary salt.
22. The CMP slurry according to claim 1, wherein the abrasive grains comprise at least one selected from the group consisting of colloidal silica, fumed silica, colloidal alumina, fumed alumina, ceria and titanium oxide.
23. The CMP slurry according to claim 1, wherein the content of the abrasive grains is within the range of 0.1 wt % to 30 wt %.
24. The CMP slurry according to claim 5, wherein the resin particles comprise at least one selected from the group consisting of methacrylic resin, phenol resin, urea resin, melamine resin, polystyrene resin, polyacetal resin, and polycarbonate resin.
25. The CMP slurry according to claim 5, wherein the abrasive grains are flocculated or adsorbed onto the surface of the resin particles to form composite particles.
26. The CMP slurry according to claim 25, wherein the resin particles have at least one functional group selected from the group consisting of an anionic functional group, cationic functional group, amphoteric functional group or nonionic functional group.
27. The CMP slurry according to claim 26, wherein the functional group is selected from the group consisting of hydroxyl group, epoxy group, and carboxylic group.
28. The CMP slurry according to claim 7, wherein the oxidizing agent comprises at least one selected from the group consisting of hydrogen peroxide, ammonium persulfate, potassium persulfate, ferric nitrate, and secondary ammonium cerium nitrate.
29. The CMP slurry according to claim 7, wherein the content of the oxidizing agent is within the range of 0.1 to 5 wt %.
30. The CMP slurry according to claim 7, wherein the chelate complexing agent comprises at least one selected from the group consisting of quinaldinic acid, quinolinic acid, nicotinic acid, picolinic acid, malonic acid, maleic acid, oxalic acid, succinic acid, citric acid, tartaric acid, malic acid, lactic acid, ammonia, ethylenediamine glycine, alanine, and tryptophan.
31. The CMP slurry according to claim 7, wherein the content of the chelate complexing agent is within the range of 0.01 wt % to 1 wt %.
32. The CMP slurry according to claim 7, wherein the non-silicone-based surfactant comprises at least one selected from the group consisting of anionic surfactants, cationic surfactants, and nonionic surfactants.
33. The CMP slurry according to claim 7, wherein the content of the non-silicone-based surfactant is within the range of 0.001 wt % to 1 wt %.
US10/909,287 2003-02-14 2004-08-03 Slurry for CMP, and method of manufacturing semiconductor device Abandoned US20050009322A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/909,287 US20050009322A1 (en) 2003-02-14 2004-08-03 Slurry for CMP, and method of manufacturing semiconductor device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003037179A JP2004247605A (en) 2003-02-14 2003-02-14 Cmp slurry and manufacturing method of semiconductor device
JP2003-037179 2003-02-14
US10/706,052 US6794285B2 (en) 2003-02-14 2003-11-13 Slurry for CMP, and method of manufacturing semiconductor device
US10/909,287 US20050009322A1 (en) 2003-02-14 2004-08-03 Slurry for CMP, and method of manufacturing semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/706,052 Division US6794285B2 (en) 2003-02-14 2003-11-13 Slurry for CMP, and method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
US20050009322A1 true US20050009322A1 (en) 2005-01-13

Family

ID=32844434

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/706,052 Expired - Fee Related US6794285B2 (en) 2003-02-14 2003-11-13 Slurry for CMP, and method of manufacturing semiconductor device
US10/909,287 Abandoned US20050009322A1 (en) 2003-02-14 2004-08-03 Slurry for CMP, and method of manufacturing semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/706,052 Expired - Fee Related US6794285B2 (en) 2003-02-14 2003-11-13 Slurry for CMP, and method of manufacturing semiconductor device

Country Status (4)

Country Link
US (2) US6794285B2 (en)
JP (1) JP2004247605A (en)
CN (1) CN1305985C (en)
TW (1) TWI238446B (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040224426A1 (en) * 2003-05-07 2004-11-11 Cooper Kevin E. Method of using an aqueous solution and composition thereof
US20060003586A1 (en) * 2004-06-30 2006-01-05 Matrix Semiconductor, Inc. Nonselective unpatterned etchback to expose buried patterned features
US20060096496A1 (en) * 2004-10-28 2006-05-11 Cabot Microelectronic Corporation CMP composition comprising surfactant
US20060243702A1 (en) * 2005-04-28 2006-11-02 Gaku Minamihaba CMP slurry for metallic film, polishing method and method of manufacturing semiconductor device
EP1770136A2 (en) * 2005-09-30 2007-04-04 Fujimi Incorporated Polishing composition and polishing method
KR20070057009A (en) * 2005-11-30 2007-06-04 제이에스알 가부시끼가이샤 Organic film chemical mechanical polishing slurry, chemical mechanical polishing method, and method of manufacturing semiconductor device
US20070190790A1 (en) * 2005-01-18 2007-08-16 Applied Materials, Inc. Fine grinding a low-k dielectric layer off a wafer
US20080060278A1 (en) * 2006-09-08 2008-03-13 White Michael L Onium-containing CMP compositions and methods of use thereof
CN100383209C (en) * 2006-05-31 2008-04-23 河北工业大学 Chemical and mechanical water-free polishing liquid for lithium-cessium borate crystal and leveling method
US20080111101A1 (en) * 2006-11-09 2008-05-15 Jason Keleher Compositions and methods for CMP of low-k-dielectric materials
US20080125017A1 (en) * 2006-11-24 2008-05-29 Fujimi Incorporated Polishing composition and polishing method
US20080221004A1 (en) * 2005-05-25 2008-09-11 Freescale Semiconductor, Inc. Cleaning Solution for a Semiconductor Wafer
WO2009107986A1 (en) * 2008-02-29 2009-09-03 Lg Chem, Ltd. An aqueous slurry composition for chemical mechanical polishing and chemical mechanical polishing method
US20100273330A1 (en) * 2006-08-23 2010-10-28 Citibank N.A. As Collateral Agent Rinse formulation for use in the manufacture of an integrated circuit
US7922926B2 (en) 2008-01-08 2011-04-12 Cabot Microelectronics Corporation Composition and method for polishing nickel-phosphorous-coated aluminum hard disks
US20110113699A1 (en) * 2009-06-12 2011-05-19 Nissin Chemical Industry Co., Ltd. Aqueous cutting fluid and slurry
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
US10301508B2 (en) 2016-01-25 2019-05-28 Cabot Microelectronics Corporation Polishing composition comprising cationic polymer additive
CN111527175A (en) * 2017-12-26 2020-08-11 霓达杜邦股份有限公司 Polishing composition
US11286402B2 (en) 2014-12-22 2022-03-29 Basf Se Use of a chemical mechanical polishing (CMP) composition for polishing of cobalt and / or cobalt alloy comprising substrates

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10160617A1 (en) * 2001-12-11 2003-06-12 Epcos Ag Acoustic mirror with improved reflection
US20050056810A1 (en) * 2003-09-17 2005-03-17 Jinru Bian Polishing composition for semiconductor wafers
JP3892846B2 (en) * 2003-11-27 2007-03-14 株式会社東芝 CMP slurry, polishing method, and semiconductor device manufacturing method
JP4012180B2 (en) 2004-08-06 2007-11-21 株式会社東芝 CMP slurry, polishing method, and semiconductor device manufacturing method
US7988878B2 (en) * 2004-09-29 2011-08-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier slurry for chemical mechanical polishing
US20060097219A1 (en) * 2004-11-08 2006-05-11 Applied Materials, Inc. High selectivity slurry compositions for chemical mechanical polishing
KR100607763B1 (en) * 2004-12-29 2006-08-01 동부일렉트로닉스 주식회사 Method for manufacturing semiconductor device including two-step process of polishing insulating layer
US8460414B2 (en) * 2005-04-14 2013-06-11 Mitsui Chemicals, Inc. Polishing slurry and polishing material using same
EP1928966A2 (en) * 2005-09-30 2008-06-11 Saint-Gobain Ceramics and Plastics, Inc. Polishing slurries and methods for utilizing same
JP5288697B2 (en) * 2005-10-14 2013-09-11 花王株式会社 Polishing liquid composition for semiconductor substrate
JP2007123759A (en) * 2005-10-31 2007-05-17 Nitta Haas Inc Semiconductor polishing composition and polishing method
TWI408739B (en) * 2005-12-21 2013-09-11 Anji Microelectronics Co Ltd Systems, methods and slurries for chemical mechanical polishing
JP2007220891A (en) * 2006-02-16 2007-08-30 Toshiba Corp Post cmp processing liquid and semiconductor device manufacturing method using the same
JP2008277723A (en) * 2007-03-30 2008-11-13 Fujifilm Corp Metal-polishing liquid and polishing method
KR101396853B1 (en) * 2007-07-06 2014-05-20 삼성전자주식회사 Slurry Composition for Polishing Silicon Nitride, Method of Polishing a Silicon Nitride Layer Using the Slurry Composition and Method of Manufacturing a Semiconductor Device Using the Slurry Composition
US20090053896A1 (en) * 2007-08-09 2009-02-26 Planar Solutions, Llc Copper polishing slurry
US20090090696A1 (en) * 2007-10-08 2009-04-09 Cabot Microelectronics Corporation Slurries for polishing oxide and nitride with high removal rates
JP5469809B2 (en) * 2007-12-26 2014-04-16 花王株式会社 Polishing liquid composition
JP2009158810A (en) * 2007-12-27 2009-07-16 Toshiba Corp Slurry for chemical-mechanical polishing and manufacturing method of semiconductor device
JP2009164186A (en) * 2007-12-28 2009-07-23 Fujimi Inc Polishing composition
US8247327B2 (en) * 2008-07-30 2012-08-21 Cabot Microelectronics Corporation Methods and compositions for polishing silicon-containing substrates
CN101928524A (en) * 2009-06-23 2010-12-29 安集微电子(上海)有限公司 Chemically mechanical polishing solution
TWI421334B (en) * 2010-12-21 2014-01-01 Uwiz Technology Co Ltd Slurry composition and use thereof
US8920667B2 (en) * 2013-01-30 2014-12-30 Cabot Microelectronics Corporation Chemical-mechanical polishing composition containing zirconia and metal oxidizer
US10570313B2 (en) * 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
CN108250972B (en) * 2016-12-28 2021-09-21 安集微电子科技(上海)股份有限公司 Chemical mechanical polishing solution for barrier layer planarization
US11078380B2 (en) * 2017-07-10 2021-08-03 Entegris, Inc. Hard abrasive particle-free polishing of hard materials
JP7141837B2 (en) * 2018-03-23 2022-09-26 株式会社フジミインコーポレーテッド Polishing composition, method for producing polishing composition, polishing method, and method for producing semiconductor substrate
JP6780800B1 (en) * 2020-04-09 2020-11-04 信越半導体株式会社 Wafer polishing method and polishing equipment
CN112872916B (en) * 2020-12-28 2023-03-10 富联裕展科技(深圳)有限公司 Polishing system and polishing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5246503A (en) * 1991-10-11 1993-09-21 Minnesota Mining And Manufacturing Company Aqueous based composition containing organic solvents for removing coatings
US6174533B1 (en) * 1997-05-23 2001-01-16 The Procter & Gamble Company Skin care compositions and method of improving skin appearance
US6270393B1 (en) * 1998-10-05 2001-08-07 Tdk Corporation Abrasive slurry and preparation process thereof
US20030228763A1 (en) * 2002-06-07 2003-12-11 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US6743078B2 (en) * 2000-11-07 2004-06-01 Micell Technologies, Inc. Methods, apparatus and slurries for chemical mechanical planarization
US20050056810A1 (en) * 2003-09-17 2005-03-17 Jinru Bian Polishing composition for semiconductor wafers

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0739835B2 (en) 1987-12-23 1995-05-01 株式会社日立製作所 Scroll compressor
US5645736A (en) * 1995-12-29 1997-07-08 Symbios Logic Inc. Method for polishing a wafer
CN1063205C (en) * 1998-04-16 2001-03-14 华东理工大学 Nanometer silicon dioxide polishing agent and its preparing method
DE19910330A1 (en) * 1999-03-09 2000-09-14 Bayerische Motoren Werke Ag Device for monitoring a starting device
JP3837277B2 (en) 2000-06-30 2006-10-25 株式会社東芝 Chemical mechanical polishing aqueous dispersion for use in polishing copper and chemical mechanical polishing method
US6646348B1 (en) * 2000-07-05 2003-11-11 Cabot Microelectronics Corporation Silane containing polishing composition for CMP
JP2002158194A (en) 2000-11-20 2002-05-31 Toshiba Corp Slurry for chemically and mechanically polishing and method for manufacturing semiconductor device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5246503A (en) * 1991-10-11 1993-09-21 Minnesota Mining And Manufacturing Company Aqueous based composition containing organic solvents for removing coatings
US6174533B1 (en) * 1997-05-23 2001-01-16 The Procter & Gamble Company Skin care compositions and method of improving skin appearance
US6270393B1 (en) * 1998-10-05 2001-08-07 Tdk Corporation Abrasive slurry and preparation process thereof
US6743078B2 (en) * 2000-11-07 2004-06-01 Micell Technologies, Inc. Methods, apparatus and slurries for chemical mechanical planarization
US20030228763A1 (en) * 2002-06-07 2003-12-11 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US20050056810A1 (en) * 2003-09-17 2005-03-17 Jinru Bian Polishing composition for semiconductor wafers

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040224426A1 (en) * 2003-05-07 2004-11-11 Cooper Kevin E. Method of using an aqueous solution and composition thereof
US7387970B2 (en) * 2003-05-07 2008-06-17 Freescale Semiconductor, Inc. Method of using an aqueous solution and composition thereof
US20060003586A1 (en) * 2004-06-30 2006-01-05 Matrix Semiconductor, Inc. Nonselective unpatterned etchback to expose buried patterned features
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US20060096496A1 (en) * 2004-10-28 2006-05-11 Cabot Microelectronic Corporation CMP composition comprising surfactant
US7524347B2 (en) * 2004-10-28 2009-04-28 Cabot Microelectronics Corporation CMP composition comprising surfactant
US20070190790A1 (en) * 2005-01-18 2007-08-16 Applied Materials, Inc. Fine grinding a low-k dielectric layer off a wafer
US8337715B2 (en) 2005-04-28 2012-12-25 Kabushiki Kaisha Toshiba CMP slurry for metallic film, polishing method and method of manufacturing semiconductor device
US7842191B2 (en) * 2005-04-28 2010-11-30 Kabushiki Kaisha Toshiba CMP slurry for metallic film, polishing method and method of manufacturing semiconductor device
US20110062374A1 (en) * 2005-04-28 2011-03-17 Gaku Minamihaba CMP slurry for metallic film, polishing method and method of manufacturing semiconductor device
US20060243702A1 (en) * 2005-04-28 2006-11-02 Gaku Minamihaba CMP slurry for metallic film, polishing method and method of manufacturing semiconductor device
US7939482B2 (en) 2005-05-25 2011-05-10 Freescale Semiconductor, Inc. Cleaning solution for a semiconductor wafer
US20080221004A1 (en) * 2005-05-25 2008-09-11 Freescale Semiconductor, Inc. Cleaning Solution for a Semiconductor Wafer
EP1770136A3 (en) * 2005-09-30 2009-04-08 Fujimi Incorporated Polishing composition and polishing method
US20070074457A1 (en) * 2005-09-30 2007-04-05 Takashi Ito Polishing composition and polishing method
EP1770136A2 (en) * 2005-09-30 2007-04-04 Fujimi Incorporated Polishing composition and polishing method
KR20070057009A (en) * 2005-11-30 2007-06-04 제이에스알 가부시끼가이샤 Organic film chemical mechanical polishing slurry, chemical mechanical polishing method, and method of manufacturing semiconductor device
CN100383209C (en) * 2006-05-31 2008-04-23 河北工业大学 Chemical and mechanical water-free polishing liquid for lithium-cessium borate crystal and leveling method
US20100273330A1 (en) * 2006-08-23 2010-10-28 Citibank N.A. As Collateral Agent Rinse formulation for use in the manufacture of an integrated circuit
US9129907B2 (en) * 2006-09-08 2015-09-08 Cabot Microelectronics Corporation Onium-containing CMP compositions and methods of use thereof
US20080060278A1 (en) * 2006-09-08 2008-03-13 White Michael L Onium-containing CMP compositions and methods of use thereof
US7456107B2 (en) * 2006-11-09 2008-11-25 Cabot Microelectronics Corporation Compositions and methods for CMP of low-k-dielectric materials
US20080111101A1 (en) * 2006-11-09 2008-05-15 Jason Keleher Compositions and methods for CMP of low-k-dielectric materials
EP1925647A3 (en) * 2006-11-24 2009-03-04 Fujimi Incorporated Polishing composition and polishing method
US20080125017A1 (en) * 2006-11-24 2008-05-29 Fujimi Incorporated Polishing composition and polishing method
US7922926B2 (en) 2008-01-08 2011-04-12 Cabot Microelectronics Corporation Composition and method for polishing nickel-phosphorous-coated aluminum hard disks
US20100184291A1 (en) * 2008-02-29 2010-07-22 Lg Chem, Ltd. Aqueous slurry composition for chemical mechanical polishing and chemical mechanical polishing method
WO2009107986A1 (en) * 2008-02-29 2009-09-03 Lg Chem, Ltd. An aqueous slurry composition for chemical mechanical polishing and chemical mechanical polishing method
US20110113699A1 (en) * 2009-06-12 2011-05-19 Nissin Chemical Industry Co., Ltd. Aqueous cutting fluid and slurry
US8591611B2 (en) * 2009-06-12 2013-11-26 Nissin Chemical Industry Co., Ltd. Aqueous cutting fluid and slurry
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
US11286402B2 (en) 2014-12-22 2022-03-29 Basf Se Use of a chemical mechanical polishing (CMP) composition for polishing of cobalt and / or cobalt alloy comprising substrates
US10301508B2 (en) 2016-01-25 2019-05-28 Cabot Microelectronics Corporation Polishing composition comprising cationic polymer additive
CN111527175A (en) * 2017-12-26 2020-08-11 霓达杜邦股份有限公司 Polishing composition

Also Published As

Publication number Publication date
US6794285B2 (en) 2004-09-21
JP2004247605A (en) 2004-09-02
TWI238446B (en) 2005-08-21
CN1521226A (en) 2004-08-18
TW200426894A (en) 2004-12-01
US20040161932A1 (en) 2004-08-19
CN1305985C (en) 2007-03-21

Similar Documents

Publication Publication Date Title
US6794285B2 (en) Slurry for CMP, and method of manufacturing semiconductor device
JP5329786B2 (en) Polishing liquid and method for manufacturing semiconductor device
EP2539411B1 (en) Chemical-mechanical planarization of substrates containing copper, ruthenium, and tantalum layers
US6858539B2 (en) Post-CMP treating liquid and method for manufacturing semiconductor device
US7332104B2 (en) Slurry for CMP, polishing method and method of manufacturing semiconductor device
US20070232068A1 (en) Slurry for touch-up CMP and method of manufacturing semiconductor device
US6995090B2 (en) Polishing slurry for use in CMP of SiC series compound, polishing method, and method of manufacturing semiconductor device
US7825028B2 (en) Method of manufacturing semiconductor device
US7700489B2 (en) Method of manufacturing a semiconductor device
US7655559B2 (en) Post-CMP treating liquid and manufacturing method of semiconductor device using the same
JP4864402B2 (en) Manufacturing method of semiconductor device
JP4987254B2 (en) Manufacturing method of semiconductor device
JP4922019B2 (en) Manufacturing method of semiconductor device
US11339308B2 (en) Chemical mechanical polishing method
KR20190057330A (en) Chemical mechanical polishing method of tungsten
US10557060B2 (en) Method of chemical mechanical polishing a substrate
JP2004022986A (en) Cleaning liquid used after chemomechanical polishing
JP2008305973A (en) Post-cmp treating liquid, and manufacturing method of semiconductor device using same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION