US20040102014A1 - Method for generating alignment marks for manufacturing mim capacitors - Google Patents

Method for generating alignment marks for manufacturing mim capacitors Download PDF

Info

Publication number
US20040102014A1
US20040102014A1 US10/303,462 US30346202A US2004102014A1 US 20040102014 A1 US20040102014 A1 US 20040102014A1 US 30346202 A US30346202 A US 30346202A US 2004102014 A1 US2004102014 A1 US 2004102014A1
Authority
US
United States
Prior art keywords
pattern
over
depositing
conductive material
depth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/303,462
Other versions
US6750115B1 (en
Inventor
Xian Ning
Keith Kwong Wong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
International Business Machines Corp
Original Assignee
Infineon Technologies AG
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG, International Business Machines Corp filed Critical Infineon Technologies AG
Priority to US10/303,462 priority Critical patent/US6750115B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WONG, KEITH KWONG HON
Assigned to INFINEON TECHNOLOGIES NORTH AMERICA CORP. reassignment INFINEON TECHNOLOGIES NORTH AMERICA CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NING, XIAN J.
Assigned to INFINEON TECHNOLOGIES AG reassignment INFINEON TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INFINEON TECHNOLOGIES NORTH AMERICA CORP.
Priority to TW092129833A priority patent/TW200418096A/en
Priority to PCT/EP2003/012654 priority patent/WO2004049407A2/en
Publication of US20040102014A1 publication Critical patent/US20040102014A1/en
Application granted granted Critical
Publication of US6750115B1 publication Critical patent/US6750115B1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Embodiments of the present invention relate generally to the fabrication of semiconductor devices, and more particularly to the fabrication of metal-insulator-metal capacitors (MIM capacitors).
  • MIM capacitors metal-insulator-metal capacitors
  • Integrated circuits are used in integrated circuits for electronic applications, including radios, televisions, cell phones, and personal computing devices, as examples.
  • Integrated circuits typically include multiple transistors fabricated in single crystal silicon. It is common for there to be millions of semiconductor devices on a single semiconductor product. Many integrated circuits now include multiple levels of metallization for interconnections.
  • Capacitors are elements that are used extensively in semiconductor devices for storing an electrical charge. Capacitors essentially comprise two conductive plates separated by an insulator. The capacitance, or amount of charge held by the capacitor per applied voltage, is measured in farads and depends upon a number of parameters such as the area of the plates, the distance between the plates, and the dielectric constant value for the insulator between the plates, as examples. Capacitors are used in filters, analog-to-digital converters, memory devices, control applications, and many other types of semiconductor devices.
  • MIM capacitor metal-insulator-metal capacitor
  • BEOL back-end-of-line
  • VLSI very large scale integrated logic
  • MIM capacitors are manufactured in the BEOL, a stage in semiconductor device fabrication that usually begins with the formation of the first metallization layer on the wafer.
  • MIM capacitors are typically formed in the BEOL by forming a bottom capacitive plate in a first or subsequently deposited horizontal metallization layer of a semiconductor wafer using a first lithography mask.
  • a first etch step such as a reactive ion etch (RIE) is used to transfer the mask pattern to the bottom plate.
  • RIE reactive ion etch
  • a capacitor dielectric is deposited over the bottom capacitive plate, and a second mask and RIE step is used to pattern the capacitor dielectric.
  • a top capacitive plate material is deposited over the capacitor dielectric, and a third mask and RIE step is used to form the top capacitive plate.
  • Each mask and RIE step adds labor and cost to the MIM capacitor fabrication process.
  • Alignment techniques are implemented during manufacturing processes to ensure correct alignment of the various layers with one another within semiconductor devices such as MIM capacitors.
  • alignment marks are utilized in the layers to assist in the alignment of features in different layers.
  • lithography of a MIM capacitor metal plate layer requires topographic features for alignment and overlay measurement marks.
  • this underlying MIM capacitor layer requires a chemical mechanical polish (CMP) process as a finish step.
  • CMP chemical mechanical polish
  • Alignment marks are usually formed using additional lithography and reactive ion etch (RIE) steps to generate marks on the CMP-finished surface that exposes the copper and dielectric patterns.
  • RIE reactive ion etch
  • forming alignment marks in this manner requires an additional RIE process step and subsequent cleaning steps, thus increasing the processing costs and also increasing the chance of leaving particles on the CMP finished level.
  • an additional lithography mask is required to pattern the alignment marks, and the additional lithography mask must be aligned to an underlying layer, which reduces the overall overlay tolerance.
  • Embodiments of the present invention achieve technical advantages as a method of forming MIM capacitor structures in which previous level alignment and overlay marks are preserved during the formation of the MIM capacitors, without requiring an additional reactive-ion etch (RIE) process to form alignment marks for the MIM capacitor level and subsequent layers.
  • RIE reactive-ion etch
  • a method of manufacturing a semiconductor device includes providing a semiconductor workpiece, forming an insulating layer over the workpiece, and defining a pattern for at least one alignment mark within the insulating layer, the alignment mark pattern comprising an alignment mark.
  • the method includes defining a pattern for a plurality of conductive lines within the insulating layer, defining a pattern for at least one metal-insulator-metal (MIM) capacitor within the insulating layer, and forming a resist over the alignment mark and MIM capacitor pattern.
  • a first conductive material is deposited over the insulating layer to fill the conductive line pattern and form conductive lines, leaving excess first conductive material disposed over the conductive lines.
  • the resist is removed from over the alignment mark pattern and MIM capacitor pattern and the excess first conductive material is removed from over the conductive line pattern, wherein the alignment mark pattern may be used for alignment of subsequently formed layers of the semiconductor device.
  • a method of manufacturing a semiconductor device includes providing a semiconductor workpiece, forming an insulating layer over the workpiece, and defining a pattern for a plurality of conductive lines within the insulating layer, the conductive line pattern comprising a first depth.
  • the method includes defining a pattern for at least one alignment mark within the insulating layer, the alignment mark pattern comprising an alignment mark, the alignment mark comprising a second depth, defining a pattern for at least one metal-insulator-metal (MIM) capacitor within the insulating layer, the MIM capacitor pattern having a third depth, and depositing a liner over the alignment mark and conductive line pattern.
  • MIM metal-insulator-metal
  • the method also includes forming a resist over the alignment mark and MIM capacitor pattern, depositing a first conductive material over the insulating layer to fill the conductive line pattern and form conductive lines, leaving excess first conductive material disposed over the insulating layer, and using a chemical-mechanical process to removing the resist from over the alignment mark pattern and MIM capacitor pattern and remove the excess first conductive material and liner from over the insulating layer.
  • MIM capacitor material layers are deposited over the insulating layer, and a chemical-mechanical process is used to remove the MIM capacitor material layers from over the insulating layer and form a MIM capacitor within the MIM capacitor pattern, wherein a topography of the alignment mark pattern is visible from the top surface of the workpiece.
  • Advantages of embodiments of the invention include forming a semiconductor device including MIM capacitors, wherein the same alignment and overlay measurement marks in a CMP-finished level (such as the process flow for forming conductive lines, to be described further herein) are used as the alignment and overlay measurement marks that are used to align a subsequent layer. Because the original alignment marks are preserved during the MIM capacitor formation, an additional patterning, etch and cleaning step is avoided. Furthermore, alignment is more accurate, because there is no need to align new alignment marks with already existing alignment marks. Overlay budget is increased, because an additional overlay is not required.
  • resist being used to block conductive material deposition within the alignment marks and MIM capacitor region, beneficial in that the resist may be left intact during the conductive material CMP process, preventing the CMP slurry from entering and becoming trapped within the alignment marks and MIM capacitor patterns, thus preserving the alignment mark and MIM capacitor pattern shape.
  • FIGS. 1 through 7 illustrate cross-sectional views of a MIM capacitor in various stages of manufacturing in accordance with a preferred embodiment of the present invention
  • FIG. 8 shows a top view of the alignment mark shown in FIG. 7;
  • FIG. 9 shows a more detailed view of the MIM capacitor shown in FIG. 7.
  • the present invention will be described with respect to preferred embodiments in a specific context, namely a semiconductor device comprising a MIM capacitor. Embodiments of the invention may also be applied, however, to other semiconductor devices. Only one MIM capacitor structure is shown in each figure, although many MIM capacitor structures, conductive lines and alignment marks may be present within each layer. Similarly, only one MIM capacitor region, conductive region and alignment mark region is shown in each figure, although many MIM capacitor regions, conductive regions and alignment mark regions may be present within each layer. Dielectric and conductive material layers are generally numbered (e.g., first, second, third) in order of introduction in the description for convenience; the numbering does not indicate a preferred order of deposition, processing, or removal, for example.
  • Embodiments of the present invention include a method of transferring previous level alignment and overlay marks directly into a MIM capacitor and subsequent levels, without requiring an additional RIE process step to create new alignment marks.
  • FIGS. 1 - 7 show cross-sectional views of a semiconductor device 10 including a MIM capacitor at various manufacturing stages, in accordance with a preferred embodiment of the present invention.
  • a workpiece 18 is provided.
  • the workpiece 18 preferably comprises silicon oxide or a low-K dielectric over, and possibly abutting, single-crystal silicon.
  • the workpiece 18 may include other conductive layers or other semiconductor elements, e.g. transistors, diodes, etc. Compound semiconductors such as GaAs, InP, Si/Ge, or SiC may alternatively be used in place of silicon, as examples.
  • the workpiece includes at least one conductive line region 12 , at least one alignment mark region 14 , and at least one MIM capacitor region 16 , as shown.
  • the insulating layer 20 is deposited over the workpiece 18 .
  • the insulating layer 20 preferably comprises an ILD layer comprising a high dielectric constant, such as silicon nitride, tantalum oxide or barium strontium titanate oxide (BSTO).
  • the insulating layer 20 may comprise silicon oxynitride, silicon dioxide or a low-dielectric constant material, having a dielectric constant k of 3.6 or less, for example. If a low-k material is used, it may be spun-on and then exposed to a heating step (baked) of about 400 degrees C. to remove the solvents, for example.
  • a low-k material may be deposited using a chemical vapor deposition (CVD) process.
  • CVD chemical vapor deposition
  • the insulating layer 20 is patterned and etched to form areas or trenches that define a pattern 22 for a plurality of conductive lines in the conductive line region 12 , a pattern 24 for at least one alignment mark 24 in the alignment mark region 14 , and a pattern 30 for at least one MIM capacitor in the MIM capacitor region 16 .
  • the depths of the patterned regions 12 , 14 , and 16 may be the same, or may be of different depths.
  • the conductive line pattern 22 , alignment mark pattern 24 and MIM capacitor pattern 30 have the same depth 26 within the insulating layer 20 . This is advantageous because one mask may be used to pattern the three regions 12 , 14 and 16 .
  • Depth 26 may comprise a depth within the range of approximately 0.25 ⁇ m to 1 ⁇ m, as an example.
  • the conductive line pattern 22 comprises depth 26 and the alignment mark pattern 24 and MIM capacitor pattern 30 have the same depth 28 (shown in phantom) within the insulating layer 20 .
  • two masks are used to pattern the three regions 12 , 14 and 16 .
  • a separate mask is required for each depth being patterned.
  • Depth 28 is preferably larger than depth 26 and may comprise a depth within a range of approximately 0.5 ⁇ m to several ⁇ m, as an example.
  • the conductive line pattern 22 comprises depth 26
  • the alignment mark pattern 24 comprises depth 28
  • MIM capacitor pattern 30 comprises depth 32 (shown in phantom).
  • three masks are used to pattern the three regions 12 , 14 and 16 .
  • Depth 32 is preferably larger than depth 28 and may comprise a depth within the range of 1 ⁇ m to several ⁇ m, as an example.
  • the alignment mark pattern 24 is formed first, so this pattern 24 may be used for alignment while forming the conductive line pattern 22 and MIM capacitor pattern 30 .
  • a lithography and an etch process such as a RIE is used to define the conductive line pattern 22 , alignment mark pattern 24 and MIM capacitor pattern 30 .
  • the process may be single damascene or dual damascene, for example.
  • the conductive line pattern 22 may include vias (not shown), in a dual damascene process, for example.
  • the depth of the MIM capacitor pattern 30 and/or alignment mark 24 may comprise the depth 26 of metal wiring plus the depth of vias, if present.
  • the depth of the MIM capacitor pattern 30 and/or alignment mark 24 may comprise the entire depth of the insulating layer 20 (not shown).
  • the MIM capacitor pattern 30 comprises trenches that are typically approximately 0.2 to 1 micrometers deep, and may be in the shape of a circle, rectangle, or square, for example.
  • the width of the trenches varies with the desired capacitance of the MIM capacitor and typically ranges from 2-3 square ⁇ m up to about 100 square ⁇ m. The larger the area of the MIM capacitor, the higher the capacitance.
  • the conductive line pattern 22 comprises a plurality of trenches.
  • the trenches and insulating layer between the trenches preferably comprises a minimum feature size of the semiconductor device, for example, submicron in dimension.
  • the conductive line pattern 22 may comprise trenches having a length and width in a range of 0.5 ⁇ m to several ⁇ m, as an example.
  • the alignment marks 24 are preferably about 0.5 to 20 ⁇ m in width, for example.
  • a liner 34 is formed over the surface of the insulating layer 20 , as shown in FIG. 2.
  • the liner 34 is preferably conductive, and preferably comprises a barrier layer deposited first over the insulating layer 20 , followed by a seed layer deposited over the barrier layer.
  • the barrier layer preferably comprises a material having a high conductivity that provides good adhesion to the underlying insulating layer 20 .
  • the barrier layer is preferably also adapted to act as a diffusion barrier, to prevent subsequently deposited materials such as copper from diffusing through the insulating layer 20 .
  • the barrier layer may comprise a copper barrier, for example. For example, if conductive lines 40 (see FIG.
  • the liner 34 comprises a copper barrier layer deposited over the insulating layer 20 surface within the trenches of the conductive line pattern 22 , including along the trench sidewalls.
  • the barrier layer may comprise TaN, TiN, WN, Ta, or combinations thereof, as examples.
  • the barrier layer may alternatively comprise other materials.
  • the barrier layer is preferably deposited in a thickness of about 5-100 nm.
  • the liner 34 preferably also comprises a seed layer comprising a copper seed layer, for example, formed over the barrier layer.
  • the seed layer is adapted to improve the deposition of subsequently-deposited conductive material 38 (see FIG. 4), for example, in an electroplating process.
  • the seed layer preferably comprises pure copper, a copper alloy comprising magnesium, indium, aluminum, or combinations thereof, as examples. Alternatively, the seed layer may comprise other conductive materials.
  • the seed layer is preferably deposited in a thickness of about 50-200 nm, for example.
  • a photoresist 36 is deposited or formed over the insulating layer 20 over the entire surface of the device 10 , which at this point in the manufacturing process includes the barrier layer 34 top surface.
  • the photoresist 36 may comprise a positive or negative resist, and preferably comprises a photosensitive polymer that may be spun-on, for example.
  • the photoresist 36 may comprise other resists, for example.
  • the photoresist 36 is patterned using lithographic techniques to leave the photoresist 36 over the MIM capacitor pattern 30 and alignment marks 24 , remove the photoresist 36 from over the conductive line pattern 22 , as shown in FIG. 3.
  • a conductive material 38 is deposited or formed over the insulating layer 20 in the conductive line pattern 22 , as shown in FIG. 4.
  • the conductive material 38 is also referred to herein as a first conductive layer.
  • the conductive material 38 may comprise a copper alloy such as copper combined with magnesium, aluminum, indium or a combination thereof, for example.
  • the use of copper is advantageous because of its superior conductivity and the ability to use smaller conductive lines because of the high conductivity of copper.
  • conductive material 38 comprises copper
  • a plating process is used to deposit the copper conductive material 38 within the conductive line pattern 22 to ensure a void-free fill, for example.
  • the liner 34 may be used to plate the conductive material 38 to form conductive lines 40 , for example.
  • the conductive material 38 may comprise other conductive materials such as Al, TiN, Ti, W, combinations thereof, or other conductive materials, deposited by physical vapor deposition (PVD) or chemical vapor deposition (CVD), as examples.
  • Conductive lines 40 include conductive material 38 and the liner 34 .
  • Conductive lines 40 may be part of an M1 or M2 metallization layer, as examples.
  • the conductive lines 40 may comprise minimum pitched lines (e.g., having the smallest feature size) or alternatively, the conductive lines 40 may comprise larger pitched lines.
  • the resist 36 strip and conductive material 38 CMP steps are reversed.
  • the conductive material 38 is exposed to a chemical-mechanical polish (CMP) process to remove the excess conductive material 38 , liner 34 and resist 36 from the top surface of the insulating material 20 to form conductive lines 40 .
  • CMP chemical-mechanical polish
  • the CMP may be designed such that the CMP process stops at the insulating material 20 , for example.
  • the resist 36 may be removed from within the alignment mark 24 and from within the MIM capacitor pattern 30 .
  • This embodiment is advantageous in that the presence of resist 36 material inside the alignment mark 24 prevents CMP slurry from becoming trapped in the alignment mark 24 and MIM capacitor pattern 30 , which can cause an irregular shape of the alignment mark 24 and MIM capacitor.
  • MIM capacitor material layers 42 are deposited over the wafer to line all exposed surfaces, such as the conductive lines 40 , the insulating layer 20 top surface, MIM capacitor pattern 30 , and alignment mark 24 , as shown in FIG. 6.
  • the MIM capacitor material layers 42 preferably comprise a conductive layer 46 , a dielectric layer 48 and a conductive layer 50 (not shown in FIG. 6; see FIG. 9.)
  • FIG. 9 illustrates a more detailed view of a MIM capacitor 44 in the MIM capacitor region 16 of the workpiece 18
  • first, conductive material 46 is deposited over the insulating layer 20 in the MIM capacitor pattern 30 and alignment mark 24 , and also over the conductive lines 40 in the conductive line region 12 .
  • the conductive material 46 is also referred to herein as a second conductive layer, and forms the bottom plate of the MIM capacitor 44 .
  • the second conductive layer 46 preferably comprises a conductive material such as W, Ti, TiW, TiN, Ta, TaN, Al, Cu or other conducting materials, or combinations thereof, as examples.
  • the second conductive layer 46 may be formed over the wafer using CVD, physical vapor deposition (PVD), evaporation, plating or a combination thereof, as examples.
  • the second conductive layer 46 preferably comprises a thickness of approximately 500 ⁇ to 4000 ⁇ , for example.
  • a dielectric layer 48 is deposited over the second conductive layer 46 .
  • the dielectric layer 48 preferably comprises silicon oxide, silicon nitride, Ta 2 O 5 , aluminum oxide, strontium titanate, BSTO, a combination thereof, or other dielectric materials, as examples.
  • the dielectric layer 48 may be deposited by CVD, PVD or a spin-on method, as examples.
  • the dielectric layer 48 preferably comprises a thickness ranging from 200 ⁇ to 2000 ⁇ , as examples.
  • follow-up processes such as cure, plasma treatment, and anneal of the dielectric layer 48 are made if needed.
  • a conductive layer 50 is deposited over the dielectric layer 48 .
  • the conductive layer 50 is also referred to herein as a third conductive layer, and forms a top plate of the MIM capacitor 44 .
  • the third conductive layer 50 may comprise a conductive material such as W, Ti, TiW, TiN, Ta, TaN, Al, Cu, other metals, or/and a combination thereof, as examples.
  • the third conductive layer 50 may be deposited by CVD, PVD, evaporation, plating or a combination thereof, as examples.
  • the thickness of the third conductive layer 50 preferably ranges from 200 ⁇ to 4000 ⁇ , as examples.
  • a planarization process such as a chemical-mechanical polish (CMP) process is performed on the surface of the wafer to remove the excess material layers 38 , 46 , 48 and 50 from over the insulating layer 20 top surface, as shown in FIG. 7.
  • the CMP process is preferably adapted to stop on the ILD insulating layer 20 .
  • the planarization process results in the formation of a horizontal (e.g. along the length of the wafer) MIM capacitor 44 having a top plate (third conductive layer 50 ), capacitor dielectric (dielectric layer 48 ) and a bottom plate (second conductive layer 46 ).
  • MIM capacitor 44 is formed in the MIM capacitor region 16 while preserving the topography of the alignment mark 24 , by the removal of the MIM capacitor material layer 42 from the surface of the insulating layer 20 .
  • Subsequent processing steps are then performed on the semiconductor device 10 . Vias from underlying and overlying subsequently deposited layers may be coupled to the top and bottom MIM capacitor plates 50 / 46 to electrically connect to the plates (not shown). Dielectric layers may be deposited over the top surface of the MIM capacitor 44 (also not shown). Other devices, components and metallization layers may be formed in subsequently deposited dielectric layers, for example.
  • the topography of the alignment marks 24 is transferred to the surface of the MIM capacitor 44 and is visible from the top surface of the wafer, as shown in FIG. 8.
  • the alignment marks 24 remain visible on the wafer because they are recessed below the wafer surface. Therefore, the alignment and overlay measurement marks 24 may be used to align subsequent layers.
  • Embodiments of the present invention achieve technical advantages as a process for forming an MIM capacitor 44 wherein the same alignment and overlay measurement marks 24 in a CMP-finished level (such as the process flow for forming MIM capacitor 44 described herein) are used as the alignment and overlay measurement marks 24 that are used to align a subsequently-deposited layers.
  • Resist 36 is used to block the conductive material 38 deposition within the alignment marks 24 and MIM capacitor pattern 30 .
  • the resist 36 is also beneficial in that it may be left intact during the conductive material 38 CMP process, preventing the CMP slurry from entering and becoming trapped within the alignment marks 24 and MIM capacitor pattern 30 , and thus preserving the alignment mark 24 and MIM capacitor pattern 30 shape. Because the original alignment and overlay measurement marks 24 are preserved, an additional patterning, etch and cleaning step is avoided. Furthermore, alignment is more accurate, because there is no need to align new alignment marks with already existing alignment marks. Overlay budget is increased, because an additional overlay is not required.
  • Embodiments of the invention are described with reference to a particular application for an MIM capacitor herein; however, embodiments of the invention also have application in other semiconductor devices.

Abstract

A method of manufacturing a semiconductor device, comprising depositing an insulating layer over a workpiece, and defining a pattern for at least one alignment marks, at least one MIM capacitor, and a plurality of conductive lines within the insulating layer. A resist is formed over the alignment marks and MIM capacitor pattern, and a conductive material is deposited over the wafer to fill the conductive pattern. The wafer is chemically-mechanically polished to remove excess conductive material from over the insulating layer and form conductive lines. The resist is removed from over the alignment mark and MIM capacitor pattern. MIM capacitor material layers are deposited over the wafer, and the wafer is chemically-mechanically polished to form a MIM capacitor, while leaving the topography of the alignment marks visible on the surface of the wafer, so that the alignment marks may be used for alignment of subsequently deposited layers of the semiconductor device.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application relates to the following co-pending patent applications: Ser. No. 10/252,476, filed on Sep. 23, 2002, entitled “MIM Capacitor Structures and Fabrication Methods in Dual-Damascene Structures” and Ser. No. 10/161,867, filed on Jun. 3, 2002, entitled “Lithography Alignment and Overlay Measurement Marks Formed by Resist Mask Blocking for MRAMs”, each of which is hereby incorporated herein by reference.[0001]
  • TECHNICAL FIELD
  • Embodiments of the present invention relate generally to the fabrication of semiconductor devices, and more particularly to the fabrication of metal-insulator-metal capacitors (MIM capacitors). [0002]
  • BACKGROUND
  • Semiconductors are used in integrated circuits for electronic applications, including radios, televisions, cell phones, and personal computing devices, as examples. Integrated circuits typically include multiple transistors fabricated in single crystal silicon. It is common for there to be millions of semiconductor devices on a single semiconductor product. Many integrated circuits now include multiple levels of metallization for interconnections. [0003]
  • Capacitors are elements that are used extensively in semiconductor devices for storing an electrical charge. Capacitors essentially comprise two conductive plates separated by an insulator. The capacitance, or amount of charge held by the capacitor per applied voltage, is measured in farads and depends upon a number of parameters such as the area of the plates, the distance between the plates, and the dielectric constant value for the insulator between the plates, as examples. Capacitors are used in filters, analog-to-digital converters, memory devices, control applications, and many other types of semiconductor devices. [0004]
  • One type of capacitor is a metal-insulator-metal capacitor (MIM capacitor), which is used often in mixed signal devices and logic devices, for example. MIM capacitors are used to store a charge in a variety of semiconductors. MIM capacitors typically require a much lower capacitance than deep trench memory capacitors, for example. A MIM capacitor may have a capacitance requirement of 1 fF/micrometer[0005] 2, for example. A MIM capacitor is typically formed horizontally on a semiconductor wafer, with two metal plates sandwiching a dielectric parallel to the wafer surface. At least one of the metal plates is usually formed in a metallization layer (metal interconnect layer) of the device. MIM capacitors embedded in the back-end-of-line (BEOL) structures have been used in many very large scale integrated logic (VLSI) devices in the past.
  • Horizontal MIM capacitors are manufactured in the BEOL, a stage in semiconductor device fabrication that usually begins with the formation of the first metallization layer on the wafer. MIM capacitors are typically formed in the BEOL by forming a bottom capacitive plate in a first or subsequently deposited horizontal metallization layer of a semiconductor wafer using a first lithography mask. A first etch step such as a reactive ion etch (RIE) is used to transfer the mask pattern to the bottom plate. A capacitor dielectric is deposited over the bottom capacitive plate, and a second mask and RIE step is used to pattern the capacitor dielectric. A top capacitive plate material is deposited over the capacitor dielectric, and a third mask and RIE step is used to form the top capacitive plate. Each mask and RIE step adds labor and cost to the MIM capacitor fabrication process. [0006]
  • Alignment techniques are implemented during manufacturing processes to ensure correct alignment of the various layers with one another within semiconductor devices such as MIM capacitors. Typically, alignment marks are utilized in the layers to assist in the alignment of features in different layers. [0007]
  • Because metal layers are not transparent to light, lithography of a MIM capacitor metal plate layer requires topographic features for alignment and overlay measurement marks. Typically this underlying MIM capacitor layer requires a chemical mechanical polish (CMP) process as a finish step. [0008]
  • Alignment marks are usually formed using additional lithography and reactive ion etch (RIE) steps to generate marks on the CMP-finished surface that exposes the copper and dielectric patterns. However, forming alignment marks in this manner requires an additional RIE process step and subsequent cleaning steps, thus increasing the processing costs and also increasing the chance of leaving particles on the CMP finished level. Also, an additional lithography mask is required to pattern the alignment marks, and the additional lithography mask must be aligned to an underlying layer, which reduces the overall overlay tolerance. [0009]
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention achieve technical advantages as a method of forming MIM capacitor structures in which previous level alignment and overlay marks are preserved during the formation of the MIM capacitors, without requiring an additional reactive-ion etch (RIE) process to form alignment marks for the MIM capacitor level and subsequent layers. [0010]
  • In accordance with a preferred embodiment of the present invention, a method of manufacturing a semiconductor device includes providing a semiconductor workpiece, forming an insulating layer over the workpiece, and defining a pattern for at least one alignment mark within the insulating layer, the alignment mark pattern comprising an alignment mark. The method includes defining a pattern for a plurality of conductive lines within the insulating layer, defining a pattern for at least one metal-insulator-metal (MIM) capacitor within the insulating layer, and forming a resist over the alignment mark and MIM capacitor pattern. A first conductive material is deposited over the insulating layer to fill the conductive line pattern and form conductive lines, leaving excess first conductive material disposed over the conductive lines. The resist is removed from over the alignment mark pattern and MIM capacitor pattern and the excess first conductive material is removed from over the conductive line pattern, wherein the alignment mark pattern may be used for alignment of subsequently formed layers of the semiconductor device. [0011]
  • In accordance with another preferred embodiment of the present invention, a method of manufacturing a semiconductor device, includes providing a semiconductor workpiece, forming an insulating layer over the workpiece, and defining a pattern for a plurality of conductive lines within the insulating layer, the conductive line pattern comprising a first depth. The method includes defining a pattern for at least one alignment mark within the insulating layer, the alignment mark pattern comprising an alignment mark, the alignment mark comprising a second depth, defining a pattern for at least one metal-insulator-metal (MIM) capacitor within the insulating layer, the MIM capacitor pattern having a third depth, and depositing a liner over the alignment mark and conductive line pattern. The method also includes forming a resist over the alignment mark and MIM capacitor pattern, depositing a first conductive material over the insulating layer to fill the conductive line pattern and form conductive lines, leaving excess first conductive material disposed over the insulating layer, and using a chemical-mechanical process to removing the resist from over the alignment mark pattern and MIM capacitor pattern and remove the excess first conductive material and liner from over the insulating layer. MIM capacitor material layers are deposited over the insulating layer, and a chemical-mechanical process is used to remove the MIM capacitor material layers from over the insulating layer and form a MIM capacitor within the MIM capacitor pattern, wherein a topography of the alignment mark pattern is visible from the top surface of the workpiece. [0012]
  • Advantages of embodiments of the invention include forming a semiconductor device including MIM capacitors, wherein the same alignment and overlay measurement marks in a CMP-finished level (such as the process flow for forming conductive lines, to be described further herein) are used as the alignment and overlay measurement marks that are used to align a subsequent layer. Because the original alignment marks are preserved during the MIM capacitor formation, an additional patterning, etch and cleaning step is avoided. Furthermore, alignment is more accurate, because there is no need to align new alignment marks with already existing alignment marks. Overlay budget is increased, because an additional overlay is not required. [0013]
  • Other advantages include a resist being used to block conductive material deposition within the alignment marks and MIM capacitor region, beneficial in that the resist may be left intact during the conductive material CMP process, preventing the CMP slurry from entering and becoming trapped within the alignment marks and MIM capacitor patterns, thus preserving the alignment mark and MIM capacitor pattern shape. [0014]
  • The foregoing has outlined rather broadly the features and technical advantages of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of the invention will be described hereinafter which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.[0015]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawing, in which: [0016]
  • FIGS. 1 through 7 illustrate cross-sectional views of a MIM capacitor in various stages of manufacturing in accordance with a preferred embodiment of the present invention; [0017]
  • FIG. 8 shows a top view of the alignment mark shown in FIG. 7; and [0018]
  • FIG. 9 shows a more detailed view of the MIM capacitor shown in FIG. 7. [0019]
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention. [0020]
  • The present invention will be described with respect to preferred embodiments in a specific context, namely a semiconductor device comprising a MIM capacitor. Embodiments of the invention may also be applied, however, to other semiconductor devices. Only one MIM capacitor structure is shown in each figure, although many MIM capacitor structures, conductive lines and alignment marks may be present within each layer. Similarly, only one MIM capacitor region, conductive region and alignment mark region is shown in each figure, although many MIM capacitor regions, conductive regions and alignment mark regions may be present within each layer. Dielectric and conductive material layers are generally numbered (e.g., first, second, third) in order of introduction in the description for convenience; the numbering does not indicate a preferred order of deposition, processing, or removal, for example. [0021]
  • Embodiments of the present invention include a method of transferring previous level alignment and overlay marks directly into a MIM capacitor and subsequent levels, without requiring an additional RIE process step to create new alignment marks. [0022]
  • FIGS. [0023] 1-7 show cross-sectional views of a semiconductor device 10 including a MIM capacitor at various manufacturing stages, in accordance with a preferred embodiment of the present invention. Referring to FIG. 1, a workpiece 18 is provided. The workpiece 18 preferably comprises silicon oxide or a low-K dielectric over, and possibly abutting, single-crystal silicon. The workpiece 18 may include other conductive layers or other semiconductor elements, e.g. transistors, diodes, etc. Compound semiconductors such as GaAs, InP, Si/Ge, or SiC may alternatively be used in place of silicon, as examples. The workpiece includes at least one conductive line region 12, at least one alignment mark region 14, and at least one MIM capacitor region 16, as shown.
  • An insulating [0024] layer 20 is deposited over the workpiece 18. The insulating layer 20 preferably comprises an ILD layer comprising a high dielectric constant, such as silicon nitride, tantalum oxide or barium strontium titanate oxide (BSTO). Alternatively, the insulating layer 20 may comprise silicon oxynitride, silicon dioxide or a low-dielectric constant material, having a dielectric constant k of 3.6 or less, for example. If a low-k material is used, it may be spun-on and then exposed to a heating step (baked) of about 400 degrees C. to remove the solvents, for example. Alternatively, a low-k material may be deposited using a chemical vapor deposition (CVD) process.
  • The insulating [0025] layer 20 is patterned and etched to form areas or trenches that define a pattern 22 for a plurality of conductive lines in the conductive line region 12, a pattern 24 for at least one alignment mark 24 in the alignment mark region 14, and a pattern 30 for at least one MIM capacitor in the MIM capacitor region 16.
  • The depths of the patterned [0026] regions 12, 14, and 16 may be the same, or may be of different depths. In one embodiment, the conductive line pattern 22, alignment mark pattern 24 and MIM capacitor pattern 30 have the same depth 26 within the insulating layer 20. This is advantageous because one mask may be used to pattern the three regions 12, 14 and 16. Depth 26 may comprise a depth within the range of approximately 0.25 μm to 1 μm, as an example.
  • In another embodiment, the [0027] conductive line pattern 22 comprises depth 26 and the alignment mark pattern 24 and MIM capacitor pattern 30 have the same depth 28 (shown in phantom) within the insulating layer 20. In this embodiment, two masks are used to pattern the three regions 12, 14 and 16. A separate mask is required for each depth being patterned. Depth 28 is preferably larger than depth 26 and may comprise a depth within a range of approximately 0.5 μm to several μm, as an example.
  • In yet another embodiment, the [0028] conductive line pattern 22 comprises depth 26, the alignment mark pattern 24 comprises depth 28, and MIM capacitor pattern 30 comprises depth 32 (shown in phantom). In this embodiment, three masks are used to pattern the three regions 12, 14 and 16. Depth 32 is preferably larger than depth 28 and may comprise a depth within the range of 1 μm to several μm, as an example. In this embodiment, preferably the alignment mark pattern 24 is formed first, so this pattern 24 may be used for alignment while forming the conductive line pattern 22 and MIM capacitor pattern 30.
  • Preferably, a lithography and an etch process such as a RIE is used to define the [0029] conductive line pattern 22, alignment mark pattern 24 and MIM capacitor pattern 30. The process may be single damascene or dual damascene, for example. The conductive line pattern 22 may include vias (not shown), in a dual damascene process, for example. The depth of the MIM capacitor pattern 30 and/or alignment mark 24 may comprise the depth 26 of metal wiring plus the depth of vias, if present. The depth of the MIM capacitor pattern 30 and/or alignment mark 24 may comprise the entire depth of the insulating layer 20 (not shown).
  • The [0030] MIM capacitor pattern 30 comprises trenches that are typically approximately 0.2 to 1 micrometers deep, and may be in the shape of a circle, rectangle, or square, for example. The width of the trenches varies with the desired capacitance of the MIM capacitor and typically ranges from 2-3 square μm up to about 100 square μm. The larger the area of the MIM capacitor, the higher the capacitance.
  • The [0031] conductive line pattern 22 comprises a plurality of trenches. The trenches and insulating layer between the trenches preferably comprises a minimum feature size of the semiconductor device, for example, submicron in dimension. Alternatively, the conductive line pattern 22 may comprise trenches having a length and width in a range of 0.5 μm to several μm, as an example. The alignment marks 24 are preferably about 0.5 to 20 μm in width, for example.
  • A [0032] liner 34 is formed over the surface of the insulating layer 20, as shown in FIG. 2. The liner 34 is preferably conductive, and preferably comprises a barrier layer deposited first over the insulating layer 20, followed by a seed layer deposited over the barrier layer. The barrier layer preferably comprises a material having a high conductivity that provides good adhesion to the underlying insulating layer 20. The barrier layer is preferably also adapted to act as a diffusion barrier, to prevent subsequently deposited materials such as copper from diffusing through the insulating layer 20. The barrier layer may comprise a copper barrier, for example. For example, if conductive lines 40 (see FIG. 5) comprise copper, preferably, the liner 34 comprises a copper barrier layer deposited over the insulating layer 20 surface within the trenches of the conductive line pattern 22, including along the trench sidewalls. The barrier layer may comprise TaN, TiN, WN, Ta, or combinations thereof, as examples. The barrier layer may alternatively comprise other materials. The barrier layer is preferably deposited in a thickness of about 5-100 nm.
  • The [0033] liner 34 preferably also comprises a seed layer comprising a copper seed layer, for example, formed over the barrier layer. The seed layer is adapted to improve the deposition of subsequently-deposited conductive material 38 (see FIG. 4), for example, in an electroplating process. The seed layer preferably comprises pure copper, a copper alloy comprising magnesium, indium, aluminum, or combinations thereof, as examples. Alternatively, the seed layer may comprise other conductive materials. The seed layer is preferably deposited in a thickness of about 50-200 nm, for example.
  • A [0034] photoresist 36 is deposited or formed over the insulating layer 20 over the entire surface of the device 10, which at this point in the manufacturing process includes the barrier layer 34 top surface. The photoresist 36 may comprise a positive or negative resist, and preferably comprises a photosensitive polymer that may be spun-on, for example. Alternatively, the photoresist 36 may comprise other resists, for example.
  • The [0035] photoresist 36 is patterned using lithographic techniques to leave the photoresist 36 over the MIM capacitor pattern 30 and alignment marks 24, remove the photoresist 36 from over the conductive line pattern 22, as shown in FIG. 3.
  • With the [0036] photoresist 36 covering and protecting the MIM capacitor pattern 30 and alignment marks 24 formed within the insulating layer 20, a conductive material 38 is deposited or formed over the insulating layer 20 in the conductive line pattern 22, as shown in FIG. 4. The conductive material 38 is also referred to herein as a first conductive layer. The conductive material 38 may comprise a copper alloy such as copper combined with magnesium, aluminum, indium or a combination thereof, for example. The use of copper is advantageous because of its superior conductivity and the ability to use smaller conductive lines because of the high conductivity of copper. When conductive material 38 comprises copper, preferably a plating process is used to deposit the copper conductive material 38 within the conductive line pattern 22 to ensure a void-free fill, for example. The liner 34 may be used to plate the conductive material 38 to form conductive lines 40, for example. Alternatively, the conductive material 38 may comprise other conductive materials such as Al, TiN, Ti, W, combinations thereof, or other conductive materials, deposited by physical vapor deposition (PVD) or chemical vapor deposition (CVD), as examples.
  • The resist [0037] 36 is cleaned from the top surface of the liner 34 in the alignment mark region 14 and the MIM capacitor region 16, as shown in FIG. 5, and a chemical-mechanical polish (CMP) process is used to remove the excess conductive material 38 and liner 34 from the top surface of the insulating material 20 and form conductive lines 40. Conductive lines 40 include conductive material 38 and the liner 34.
  • A damascene process described herein is preferably used to form [0038] conductive lines 40 comprising copper, because copper is difficult to etch. Conductive lines 40 may be part of an M1 or M2 metallization layer, as examples. The conductive lines 40 may comprise minimum pitched lines (e.g., having the smallest feature size) or alternatively, the conductive lines 40 may comprise larger pitched lines.
  • In one embodiment, the resist [0039] 36 strip and conductive material 38 CMP steps are reversed. With the resist 36 still residing over the alignment marks 24 and MIM capacitor pattern 30, the conductive material 38 is exposed to a chemical-mechanical polish (CMP) process to remove the excess conductive material 38, liner 34 and resist 36 from the top surface of the insulating material 20 to form conductive lines 40. The CMP may be designed such that the CMP process stops at the insulating material 20, for example. Then, the resist 36 may be removed from within the alignment mark 24 and from within the MIM capacitor pattern 30. This embodiment is advantageous in that the presence of resist 36 material inside the alignment mark 24 prevents CMP slurry from becoming trapped in the alignment mark 24 and MIM capacitor pattern 30, which can cause an irregular shape of the alignment mark 24 and MIM capacitor.
  • Next, MIM capacitor material layers [0040] 42 are deposited over the wafer to line all exposed surfaces, such as the conductive lines 40, the insulating layer 20 top surface, MIM capacitor pattern 30, and alignment mark 24, as shown in FIG. 6. The MIM capacitor material layers 42 preferably comprise a conductive layer 46, a dielectric layer 48 and a conductive layer 50 (not shown in FIG. 6; see FIG. 9.)
  • Referring to FIG. 9, which illustrates a more detailed view of a [0041] MIM capacitor 44 in the MIM capacitor region 16 of the workpiece 18, first, conductive material 46 is deposited over the insulating layer 20 in the MIM capacitor pattern 30 and alignment mark 24, and also over the conductive lines 40 in the conductive line region 12. The conductive material 46 is also referred to herein as a second conductive layer, and forms the bottom plate of the MIM capacitor 44. The second conductive layer 46 preferably comprises a conductive material such as W, Ti, TiW, TiN, Ta, TaN, Al, Cu or other conducting materials, or combinations thereof, as examples. The second conductive layer 46 may be formed over the wafer using CVD, physical vapor deposition (PVD), evaporation, plating or a combination thereof, as examples. The second conductive layer 46 preferably comprises a thickness of approximately 500 Å to 4000 Å, for example.
  • A [0042] dielectric layer 48 is deposited over the second conductive layer 46. The dielectric layer 48 preferably comprises silicon oxide, silicon nitride, Ta2O5, aluminum oxide, strontium titanate, BSTO, a combination thereof, or other dielectric materials, as examples. The dielectric layer 48 may be deposited by CVD, PVD or a spin-on method, as examples. The dielectric layer 48 preferably comprises a thickness ranging from 200 Å to 2000 Å, as examples. Follow-up processes such as cure, plasma treatment, and anneal of the dielectric layer 48 are made if needed.
  • A [0043] conductive layer 50 is deposited over the dielectric layer 48. The conductive layer 50 is also referred to herein as a third conductive layer, and forms a top plate of the MIM capacitor 44. The third conductive layer 50 may comprise a conductive material such as W, Ti, TiW, TiN, Ta, TaN, Al, Cu, other metals, or/and a combination thereof, as examples. The third conductive layer 50 may be deposited by CVD, PVD, evaporation, plating or a combination thereof, as examples. The thickness of the third conductive layer 50 preferably ranges from 200 Å to 4000 Å, as examples.
  • A planarization process such as a chemical-mechanical polish (CMP) process is performed on the surface of the wafer to remove the excess material layers [0044] 38, 46, 48 and 50 from over the insulating layer 20 top surface, as shown in FIG. 7. The CMP process is preferably adapted to stop on the ILD insulating layer 20. The planarization process results in the formation of a horizontal (e.g. along the length of the wafer) MIM capacitor 44 having a top plate (third conductive layer 50), capacitor dielectric (dielectric layer 48) and a bottom plate (second conductive layer 46). During the planarization process, MIM capacitor 44 is formed in the MIM capacitor region 16 while preserving the topography of the alignment mark 24, by the removal of the MIM capacitor material layer 42 from the surface of the insulating layer 20.
  • Subsequent processing steps are then performed on the [0045] semiconductor device 10. Vias from underlying and overlying subsequently deposited layers may be coupled to the top and bottom MIM capacitor plates 50/46 to electrically connect to the plates (not shown). Dielectric layers may be deposited over the top surface of the MIM capacitor 44 (also not shown). Other devices, components and metallization layers may be formed in subsequently deposited dielectric layers, for example.
  • The topography of the alignment marks [0046] 24 is transferred to the surface of the MIM capacitor 44 and is visible from the top surface of the wafer, as shown in FIG. 8. The alignment marks 24 remain visible on the wafer because they are recessed below the wafer surface. Therefore, the alignment and overlay measurement marks 24 may be used to align subsequent layers.
  • Embodiments of the present invention achieve technical advantages as a process for forming an [0047] MIM capacitor 44 wherein the same alignment and overlay measurement marks 24 in a CMP-finished level (such as the process flow for forming MIM capacitor 44 described herein) are used as the alignment and overlay measurement marks 24 that are used to align a subsequently-deposited layers. Resist 36 is used to block the conductive material 38 deposition within the alignment marks 24 and MIM capacitor pattern 30. The resist 36 is also beneficial in that it may be left intact during the conductive material 38 CMP process, preventing the CMP slurry from entering and becoming trapped within the alignment marks 24 and MIM capacitor pattern 30, and thus preserving the alignment mark 24 and MIM capacitor pattern 30 shape. Because the original alignment and overlay measurement marks 24 are preserved, an additional patterning, etch and cleaning step is avoided. Furthermore, alignment is more accurate, because there is no need to align new alignment marks with already existing alignment marks. Overlay budget is increased, because an additional overlay is not required.
  • Embodiments of the invention are described with reference to a particular application for an MIM capacitor herein; however, embodiments of the invention also have application in other semiconductor devices. [0048]
  • Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. For example, it will be readily understood by those skilled in the art that the order of the processing steps, materials, types of processes used, and dimensions may be varied while remaining within the scope of the present invention. [0049]
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. [0050]

Claims (27)

What is claimed is:
1. A method of manufacturing a semiconductor device, comprising:
providing a semiconductor workpiece;
forming an insulating layer over the workpiece;
defining a pattern for at least one alignment mark within the insulating layer, the alignment mark pattern comprising an alignment mark;
defining a pattern for a plurality of conductive lines within the insulating layer;
defining a pattern for at least one metal-insulator-metal (MIM) capacitor within the insulating layer;
forming a resist over the alignment mark and MIM capacitor pattern;
depositing a first conductive material over the insulating layer to fill the conductive line pattern and form conductive lines, leaving excess first conductive material disposed over the conductive lines; and
removing the resist from over the alignment mark pattern and MIM capacitor pattern and removing the excess first conductive material from over the conductive line pattern, wherein the alignment mark pattern may be used for alignment of subsequently formed layers of the semiconductor device.
2. The method according to claim 1, wherein removing the resist and excess first conductive material comprises:
first, removing the resist from over the alignment marks and MIM capacitor pattern; and
second, using a chemical-mechanical polish process to remove the excess first conductive material from over the conductive lines.
3. The method according to claim 1, wherein removing the resist and excess first conductive material comprises:
first, using a chemical-mechanical polish process to remove the excess first conductive material from over the first conductive lines; and
second, removing the resist from over the alignment marks and MIM capacitor pattern.
4. The method according to claim 1, further comprising:
depositing a liner over the alignment mark and conductive line pattern, prior to forming a resist over the alignment mark and MIM capacitor pattern; and
removing the liner from a top surface of the insulating layer while removing the excess first conductive material from over the conductive lines.
5. The method according to claim 4, wherein depositing a liner comprises:
depositing a barrier layer; and
depositing a seed layer over the barrier layer.
6. The method according to claim 5, wherein depositing a barrier layer comprises depositing 5-100 nm of TaN, TiN, WN, Ta, or combinations thereof; and wherein depositing a seed layer comprises depositing 50-200 nm of pure copper, a copper alloy comprising magnesium, indium, aluminum, or combinations thereof.
7. The method according to claim 1, wherein depositing a first conductive material comprises depositing a material including copper.
8. The method according to claim 1, wherein depositing a first conductive material comprises plating the first conductive material.
9. The method according to claim 1, wherein depositing the first conductive material comprises a single or dual Damascene process.
10. The method according to claim 1, wherein forming the resist comprises depositing a resist over the wafer, and removing a portion of the resist.
11. The method according to claim 1, wherein the alignment marks comprise overlay measurement marks.
12. The method according to claim 1, further comprising:
depositing a second conductive material over at least the insulating layer top surface;
depositing a dielectric material over the second conductive material;
depositing a third conductive material over the dielectric material; and
chemical-mechanically polishing the workpiece to remove the excess second conductive material, dielectric material and third conductive material from the top surface of the insulating layer, wherein the second conductive material remaining within the MIM capacitor pattern forms a bottom capacitor plate, the dielectric material remaining within the MIM capacitor pattern forms a capacitor dielectric, and the third conductive material remaining within the MIM capacitor pattern comprises a top capacitor plate.
13. The method according to claim 1, wherein a topography of the alignment mark is visible on a top surface of the insulating layer.
14. The method according to claim 1, wherein the pattern for the plurality of conductive lines comprises a first depth, wherein the pattern for the at least one alignment mark comprises the first depth.
15. The method according to claim 14, wherein the pattern for the at least one metal-insulator-metal (MIM) capacitor comprises the first depth.
16. The method according to claim 14, wherein the pattern for the at least one metal-insulator-metal (MIM) capacitor comprises a second depth, the second depth being greater than the first depth.
17. The method according to claim 1, wherein the pattern for the plurality of conductive lines comprises a first depth, and wherein the pattern for the at least one alignment mark comprises a second depth, the second depth being greater than the first depth.
18. The method according to claim 17, wherein the pattern for the at least one metal-insulator-metal (MIM) capacitor comprises a third depth, the third depth being greater than the second depth.
19. A method of manufacturing a semiconductor device, comprising:
providing a semiconductor workpiece;
forming an insulating layer over the workpiece;
defining a pattern for a plurality of conductive lines within the insulating layer, the conductive line pattern comprising a first depth;
defining a pattern for at least one alignment mark within the insulating layer, the alignment mark pattern comprising an alignment mark, the alignment mark comprising a second depth;
defining a pattern for at least one metal-insulator-metal (MIM) capacitor within the insulating layer, the MIM capacitor pattern having a third depth;
depositing a liner over the alignment mark and conductive line pattern;
forming a resist over the alignment mark and MIM capacitor pattern;
depositing a first conductive material over the insulating layer to fill the conductive line pattern and form conductive lines, leaving excess first conductive material disposed over the insulating layer;
using a chemical-mechanical process to removing the resist from over the alignment mark pattern and MIM capacitor pattern and remove the excess first conductive material and liner from over the insulating layer;
depositing MIM capacitor material layers over the insulating layer; and
using a chemical-mechanical process to remove the MIM capacitor material layers from over the insulating layer and form a MIM capacitor within the MIM capacitor pattern, wherein a topography of the alignment mark pattern is visible from the top surface of the workpiece.
20. The method according to claim 19, wherein removing the resist and excess first conductive material comprises:
first, removing the resist from over the alignment marks and MIM capacitor pattern; and
second, using a chemical-mechanical polish process to remove the excess first conductive material from over the conductive lines.
21. The method according to claim 19, wherein removing the resist and excess first conductive material comprises:
first, using a chemical-mechanical polish process to remove the excess first conductive material from over the first conductive lines; and
second, removing the resist from over the alignment marks and MIM capacitor pattern.
22. The method according to claim 19, wherein depositing a liner comprises:
depositing a barrier layer; and
depositing a seed layer over the barrier layer.
23. The method according to claim 22, wherein depositing a barrier layer comprises depositing 5-100 nm of TaN, TiN, WN, Ta, or combinations thereof; and wherein depositing a seed layer comprises depositing 50-200 nm of pure copper, a copper alloy comprising magnesium, indium, aluminum, or combinations thereof.
24. The method according to claim 19, wherein depositing a first conductive material comprises depositing a material including copper, and wherein depositing a first conductive material comprises plating the first conductive material.
25. The method according to claim 19, wherein the first depth, second depth and third depths are the same.
26. The method according to claim 19, wherein the second depth is greater than the first depth.
27. The method according to claim 26, wherein the third depth is greater than the second depth.
US10/303,462 2002-11-25 2002-11-25 Method for generating alignment marks for manufacturing MIM capacitors Expired - Fee Related US6750115B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/303,462 US6750115B1 (en) 2002-11-25 2002-11-25 Method for generating alignment marks for manufacturing MIM capacitors
TW092129833A TW200418096A (en) 2002-11-25 2003-10-27 Plate through mask for generating alignment marks of MIM capacitors
PCT/EP2003/012654 WO2004049407A2 (en) 2002-11-25 2003-11-12 Plate through mask for generating alignment marks of mim capacitors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/303,462 US6750115B1 (en) 2002-11-25 2002-11-25 Method for generating alignment marks for manufacturing MIM capacitors

Publications (2)

Publication Number Publication Date
US20040102014A1 true US20040102014A1 (en) 2004-05-27
US6750115B1 US6750115B1 (en) 2004-06-15

Family

ID=32325012

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/303,462 Expired - Fee Related US6750115B1 (en) 2002-11-25 2002-11-25 Method for generating alignment marks for manufacturing MIM capacitors

Country Status (3)

Country Link
US (1) US6750115B1 (en)
TW (1) TW200418096A (en)
WO (1) WO2004049407A2 (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030164353A1 (en) * 2002-03-01 2003-09-04 Nec Electronics Corporation Method for manufacturing semiconductor device
US20030224260A1 (en) * 2002-06-03 2003-12-04 Infineon Technologies North America Corp. Lithography alignment and overlay measurement marks formed by resist mask blocking for MRAMs
US20040043579A1 (en) * 2002-09-04 2004-03-04 Joachim Nuetzel MRAM MTJ stack to conductive line alignment method
US20050040443A1 (en) * 2003-08-21 2005-02-24 Andreas Michael T. Wafer cleaning method and resulting wafer
US20060017180A1 (en) * 2004-07-26 2006-01-26 Chandrasekhar Sarma Alignment of MTJ stack to conductive lines in the absence of topography
US20060024923A1 (en) * 2004-08-02 2006-02-02 Chandrasekhar Sarma Deep alignment marks on edge chips for subsequent alignment of opaque layers
US20070069384A1 (en) * 2005-09-29 2007-03-29 Fujitsu Limited Semiconductor device
US20080089007A1 (en) * 2006-10-12 2008-04-17 Atmel Corporation Method for fabricating conducting plates for a high-Q MIM capacitor
CN100390929C (en) * 2004-06-01 2008-05-28 国际商业机器公司 Method of forming semiconductor parts and semiconductor parts
US20080203590A1 (en) * 2003-06-13 2008-08-28 Kang Chang-Jin Integrated circuit semiconductor device with overlay key and alignment key and method of fabricating the same
US20090109598A1 (en) * 2007-10-30 2009-04-30 Spansion Llc Metal-insulator-metal (MIM) device and method of formation thereof
US20090148996A1 (en) * 2007-12-05 2009-06-11 Infineon Technologies Ag Method of making a semiconductor element
US20100320613A1 (en) * 2004-03-25 2010-12-23 Infineon Technologies Ag Integrated circuit arrangement with an auxiliary indentation, particularly with aligning marks
WO2014116496A1 (en) * 2013-01-23 2014-07-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US20190006329A1 (en) * 2016-01-12 2019-01-03 Apple Inc. Backplane led integration and functionalization structures
US10854555B1 (en) * 2019-07-05 2020-12-01 Powerchip Semiconductor Manufacturing Corporation Method of manufacturing mark
US11145602B2 (en) * 2020-02-10 2021-10-12 United Microelectronics Corp. Alignment mark structure and method of fabricating the same

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100508861B1 (en) * 2003-01-30 2005-08-17 동부아남반도체 주식회사 Thin film capacitor and fabrication method thereof
KR100505682B1 (en) * 2003-04-03 2005-08-03 삼성전자주식회사 Dual damascene interconnects including metal-insulator-metal capacitor and fabricating method thereof
DE102004039803B4 (en) * 2004-08-17 2006-12-07 Infineon Technologies Ag Method for producing a conductive path arrangement with increased capacitive coupling and associated interconnect arrangement
US8901715B1 (en) 2013-07-05 2014-12-02 Infineon Technologies Ag Method for manufacturing a marked single-crystalline substrate and semiconductor device with marking
US9806032B1 (en) * 2016-12-20 2017-10-31 Globalfoundries Inc. Integrated circuit structure with refractory metal alignment marker and methods of forming same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218262B1 (en) * 1997-09-09 2001-04-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100267093B1 (en) * 1997-04-29 2000-10-02 윤종용 Thin-film capacitor and manufacturing method thereof
US6452251B1 (en) * 2000-03-31 2002-09-17 International Business Machines Corporation Damascene metal capacitor
JP2002299588A (en) * 2001-04-02 2002-10-11 Mitsubishi Electric Corp Semiconductor device and method of manufacturing the same
US6391707B1 (en) * 2001-05-04 2002-05-21 Texas Instruments Incorporated Method of manufacturing a zero mask high density metal/insulator/metal capacitor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218262B1 (en) * 1997-09-09 2001-04-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6878506B2 (en) * 2002-03-01 2005-04-12 Nec Electronics Corporation Method for manufacturing semiconductor device
US20030164353A1 (en) * 2002-03-01 2003-09-04 Nec Electronics Corporation Method for manufacturing semiconductor device
US20030224260A1 (en) * 2002-06-03 2003-12-04 Infineon Technologies North America Corp. Lithography alignment and overlay measurement marks formed by resist mask blocking for MRAMs
US6979526B2 (en) 2002-06-03 2005-12-27 Infineon Technologies Ag Lithography alignment and overlay measurement marks formed by resist mask blocking for MRAMs
US6858441B2 (en) * 2002-09-04 2005-02-22 Infineon Technologies Ag MRAM MTJ stack to conductive line alignment method
US20040043579A1 (en) * 2002-09-04 2004-03-04 Joachim Nuetzel MRAM MTJ stack to conductive line alignment method
US8080886B2 (en) * 2003-06-13 2011-12-20 Samsung Electronics Co., Ltd. Integrated circuit semiconductor device with overlay key and alignment key and method of fabricating the same
US20080203590A1 (en) * 2003-06-13 2008-08-28 Kang Chang-Jin Integrated circuit semiconductor device with overlay key and alignment key and method of fabricating the same
US20050040443A1 (en) * 2003-08-21 2005-02-24 Andreas Michael T. Wafer cleaning method and resulting wafer
US7023099B2 (en) * 2003-08-21 2006-04-04 Micron Technology, Inc Wafer cleaning method and resulting wafer
US8901737B2 (en) * 2004-03-25 2014-12-02 Infineon Technologies Ag Integrated circuit arrangement with an auxiliary indentation, particularly with aligning marks
US20100320613A1 (en) * 2004-03-25 2010-12-23 Infineon Technologies Ag Integrated circuit arrangement with an auxiliary indentation, particularly with aligning marks
CN100390929C (en) * 2004-06-01 2008-05-28 国际商业机器公司 Method of forming semiconductor parts and semiconductor parts
US7223612B2 (en) * 2004-07-26 2007-05-29 Infineon Technologies Ag Alignment of MTJ stack to conductive lines in the absence of topography
US20060017180A1 (en) * 2004-07-26 2006-01-26 Chandrasekhar Sarma Alignment of MTJ stack to conductive lines in the absence of topography
US20060024923A1 (en) * 2004-08-02 2006-02-02 Chandrasekhar Sarma Deep alignment marks on edge chips for subsequent alignment of opaque layers
US7442624B2 (en) 2004-08-02 2008-10-28 Infineon Technologies Ag Deep alignment marks on edge chips for subsequent alignment of opaque layers
US8617980B2 (en) 2005-09-29 2013-12-31 Fujitsu Semiconductor Limited Semiconductor device including capacitor
US20070069384A1 (en) * 2005-09-29 2007-03-29 Fujitsu Limited Semiconductor device
EP1770764A3 (en) * 2005-09-29 2008-03-26 Fujitsu Limited Semiconductor device
US7586143B2 (en) 2005-09-29 2009-09-08 Fujitsu Microelectronics Limited Semiconductor device
US8592884B2 (en) 2005-09-29 2013-11-26 Fujitsu Semiconductor Limited Semiconductor device including capacitor
US20090294905A1 (en) * 2005-09-29 2009-12-03 Fujitsu Microelectronics Limited Semiconductor device
US8227848B2 (en) 2005-09-29 2012-07-24 Fujitsu Semiconductor Limited Semiconductor device
US8022548B2 (en) 2006-10-12 2011-09-20 Atmel Corporation Method for fabricating conducting plates for a high-Q MIM capacitor
US20080089007A1 (en) * 2006-10-12 2008-04-17 Atmel Corporation Method for fabricating conducting plates for a high-Q MIM capacitor
US7601604B2 (en) * 2006-10-12 2009-10-13 Atmel Corporation Method for fabricating conducting plates for a high-Q MIM capacitor
US20100019349A1 (en) * 2006-10-12 2010-01-28 Atmel Corporation Method for fabricating conducting plates for a high-q mim capacitor
US9012299B2 (en) 2007-10-30 2015-04-21 Spansion Llc Metal-insualtor-metal (MIM) device and method of formation thereof
US8445913B2 (en) * 2007-10-30 2013-05-21 Spansion Llc Metal-insulator-metal (MIM) device and method of formation thereof
US20090109598A1 (en) * 2007-10-30 2009-04-30 Spansion Llc Metal-insulator-metal (MIM) device and method of formation thereof
US8828837B2 (en) 2007-10-30 2014-09-09 Spansion Llc Metal-insulator-metal (MIM) device and method of formation thereof
US20090148996A1 (en) * 2007-12-05 2009-06-11 Infineon Technologies Ag Method of making a semiconductor element
US7611958B2 (en) * 2007-12-05 2009-11-03 Infineon Technologies Ag Method of making a semiconductor element
KR20150109340A (en) * 2013-01-23 2015-10-01 인텔 코포레이션 Metal-insulator-metal capacitor formation techniques
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
GB2523956A (en) * 2013-01-23 2015-09-09 Intel Corp Metal-insulator-metal capacitor formation techniques
DE112014000519B4 (en) 2013-01-23 2024-01-18 Intel Corporation Metal Insulator Metal Capacitor Manufacturing Techniques
US9443922B2 (en) 2013-01-23 2016-09-13 Intel Corporation Metal-insulator-metal capacitor formation techniques
GB2523956B (en) * 2013-01-23 2018-07-18 Intel Corp Metal-insulator-metal capacitor formation techniques
KR102179206B1 (en) * 2013-01-23 2020-11-16 인텔 코포레이션 Metal-insulator-metal capacitor formation techniques
WO2014116496A1 (en) * 2013-01-23 2014-07-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US20190006329A1 (en) * 2016-01-12 2019-01-03 Apple Inc. Backplane led integration and functionalization structures
US10497682B2 (en) * 2016-01-12 2019-12-03 Apple Inc. Backplane LED integration and functionalization structures
US10854555B1 (en) * 2019-07-05 2020-12-01 Powerchip Semiconductor Manufacturing Corporation Method of manufacturing mark
CN112185934A (en) * 2019-07-05 2021-01-05 力晶积成电子制造股份有限公司 Method for producing mark
US11145602B2 (en) * 2020-02-10 2021-10-12 United Microelectronics Corp. Alignment mark structure and method of fabricating the same

Also Published As

Publication number Publication date
WO2004049407A2 (en) 2004-06-10
TW200418096A (en) 2004-09-16
WO2004049407A3 (en) 2004-10-14
US6750115B1 (en) 2004-06-15

Similar Documents

Publication Publication Date Title
US6794262B2 (en) MIM capacitor structures and fabrication methods in dual-damascene structures
US6750115B1 (en) Method for generating alignment marks for manufacturing MIM capacitors
US6949442B2 (en) Methods of forming MIM capacitors
US6593185B1 (en) Method of forming embedded capacitor structure applied to logic integrated circuit
US6620701B2 (en) Method of fabricating a metal-insulator-metal (MIM) capacitor
US7235454B2 (en) MIM capacitor structure and method of fabrication
US20030011043A1 (en) MIM capacitor structure and process for making the same
US6498364B1 (en) Capacitor for integration with copper damascene processes
KR20040029106A (en) Process for making a MIM capacitor
US7586142B2 (en) Semiconductor device having metal-insulator-metal capacitor and method of fabricating the same
US8101985B2 (en) Capacitors and methods of manufacture thereof
US6960365B2 (en) Vertical MIMCap manufacturing method
US7050290B2 (en) Integrated capacitor
US6680542B1 (en) Damascene structure having a metal-oxide-metal capacitor associated therewith
US11688680B2 (en) MIM capacitor structures
GB2368721A (en) Integrated circuit with damascene structure and capacitor

Legal Events

Date Code Title Description
AS Assignment

Owner name: INFINEON TECHNOLOGIES NORTH AMERICA CORP., CALIFOR

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:NING, XIAN J.;REEL/FRAME:013544/0104

Effective date: 20021119

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:WONG, KEITH KWONG HON;REEL/FRAME:013544/0986

Effective date: 20021119

AS Assignment

Owner name: INFINEON TECHNOLOGIES AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES NORTH AMERICA CORP.;REEL/FRAME:013904/0796

Effective date: 20030812

CC Certificate of correction
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20160615