US20040060016A1 - Time synthesis for power optimization of high performance circuits - Google Patents

Time synthesis for power optimization of high performance circuits Download PDF

Info

Publication number
US20040060016A1
US20040060016A1 US10/665,521 US66552103A US2004060016A1 US 20040060016 A1 US20040060016 A1 US 20040060016A1 US 66552103 A US66552103 A US 66552103A US 2004060016 A1 US2004060016 A1 US 2004060016A1
Authority
US
United States
Prior art keywords
circuit
objective
parameter values
noise
further including
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/665,521
Inventor
Priyadarsan Patra
Barbara Chappell
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/665,521 priority Critical patent/US20040060016A1/en
Publication of US20040060016A1 publication Critical patent/US20040060016A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Definitions

  • the present invention generally relates to integrated circuit design. More particularly, the invention relates to a computer implemented method of modifying circuit characteristics that involves noise and power optimization.
  • CMOS complementary metal-oxide semiconductor
  • a particular difficulty relates to noise.
  • a major source of noise in an IC is capacitative and inductive coupling between two or more signal paths, and is often characterized as “crosstalk”.
  • noise coupling can have profound effects on timing and power considerations, and can lead to functional failure in domino logic, conventional approaches to circuit optimization often do not take into account these effects.
  • FIG. 1 is a flowchart of an example of a computer implemented method of modifying circuit characteristics in accordance with one embodiment of the present invention
  • FIG. 2 is a flowchart of an example of a computer implemented method of optimizing objective parameter values in accordance with one embodiment of the present invention
  • FIG. 3 is a flowchart of an example of a process for developing sensitivity factors in accordance with one embodiment with the present invention
  • FIG. 4 is a diagram illustrating an example of a set of objective parameters in accordance with one embodiment of the present invention.
  • FIG. 5 is a flowchart of an example of a process for minimizing power costs to a circuit in accordance of one embodiment of the present invention
  • FIG. 6 is a block diagram showing an example of a dual-nested optimization approach in accordance with one embodiment of the present invention.
  • FIG. 7 is a detailed diagram of an example of timed synthesis flow in accordance of the present invention.
  • a system and computer implemented method of modifying characteristics of a circuit provide enhanced performance.
  • One embodiment of the method provides for determining a set of objective parameters for the circuit and receiving noise constraints for the circuit. Values of the objective parameters are optimized based on the noise constraints. By using noise constraints in the optimization process, a number of performance issues can be addressed.
  • a computer implemented method of optimizing objective parameter values is provided.
  • a set of sensitivity factors is developed based on the objective parameters and noise margins in accordance with noise constraints for the circuits such that the sensitivity factors characterize a noise sensitivity of the circuit.
  • Objective parameter values and modified noise margins are selected based on the sensitivity factors such that the objective parameter values minimize power costs to the circuit.
  • the method further provides for repeating the developing and selecting until changes in the objective parameter values fall below a predetermined threshold.
  • a computer-readable storage medium stores a set of instructions, where the set of instructions are capable of being executed by a processor to form a method of optimizing values of objective parameters for a circuit.
  • FIG. 1 shows a computer implemented method 20 of modifying characteristics 22 of a circuit (not shown).
  • the circuit can be any type of integrated circuit, although the examples discussed herein will primarily refer to computer processors.
  • the format of the circuit characteristics 22 may also vary depending on the application, but is preferably a netlist, which can be generated by any number of commercially available software packages. Netlists are widely understood in the industry, as apparent from the discussion in U.S. Pat. No. 6,289,491 to Dupenloup.
  • the method 20 generally provides for determining a set of objective parameters 24 for the circuit at processing block 26 .
  • objective parameters 24 primarily relate to power consumption and the relationship can be either direct or indirect.
  • device power levels directly define the power costs associated with a given device, whereas device sizing indirectly defines power costs.
  • the objective parameters 24 include such power related components, where the parameters 24 can take on power level and sizing values in accordance with various constraints, user-defined inputs and optimization directives.
  • block 28 provides for receiving noise constraints 30 for the circuit. Timing constraints 32 and physical constraints 33 are also obtained in accordance with other established principles and techniques. Values of the objective parameters 24 are optimized at block 34 based on the noise constraints 30 and the result is a modified circuit characteristics 36 with optimized objective parameter values.
  • the modified circuit characteristics 36 provides a unique solution to the difficult problem of power versus delay optimization in high speed circuits.
  • the method 20 is used in conjunction with a larger system that includes library generation, logic synthesis, place-and-route, and physical compilation, and improves design productivity. As will be discussed in greater detail below, the method 20 provides shorter turn-around times for initial design, engineering change orders and other design processes. It should be noted that the method 20 is applicable to control applications as well as datapath applications and has been tested in both cases. Adaptation is provided to multiple types of circuit technologies and clocking methods.
  • FIG. 2 the preferred approach to optimizing objective parameter values 44 is shown in greater detail at block 34 .
  • a set of sensitivity factors 40 is developed at block 38 based on the objective parameters 24 and noise margins 42 in accordance with the noise constraints 30 .
  • the sensitivity factors 40 therefore characterize a noise sensitivity of the circuit.
  • timing constraints 32 and physical constraints 33 (FIG. are also used in the sensitivity factor development process.
  • Objective parameter values 44 and modified noise margins 42 are selected at block 46 based on the sensitivity factors 40 such that the objective parameter values 44 minimize power costs to the circuit.
  • Processing block 48 provides for repeating the developing and selecting until changes in the objective parameter values 44 fall below a predetermined threshold.
  • the sensitivity factors 40 therefore provide a unique approach to circuit optimization that enables the use of noise constraints 30 to iteratively apply noise margins 42 to the nodes of the circuit.
  • block 50 provides for allocating initial noise margins to a plurality of nodes in the circuit.
  • Initial objective parameter values are set at block 52 in accordance with the initial noise margins.
  • blocks 54 and 56 provide for repeating the allocating and setting for varied noise margins.
  • FIGS. 3 and 4 illustrate that discrete components 24 a of the objective parameters can be selected such that the objective parameter values define dynamic logic settings.
  • one or more dynamic logic families can be selected, where each dynamic logic family has dynamic gates with corresponding transistor widths and power levels.
  • continuously tunable components 24 b of the objective parameters can be selected such that the objective parameters define static logic settings.
  • One or more static logic gates may be selected where each static logic gate has corresponding widths and power levels.
  • FIG. 5 the preferred approach to selecting objective parameter values 44 and modified noise margins is shown in greater detail at block 46 .
  • an objective function 66 is constructed at block 64 based on the sensitivity factors 40 .
  • the objective function 66 is sent to a linear program (LP) solver such that the LP solver generates the objective parameter values 44 and the noise margins 42 .
  • LP solvers have been well documented and are commercially available from a number of sources.
  • FIG. 6 shows the key elements of the timed synthesis flow in greater detail.
  • processing blocks 38 ′, 46 ′ and 68 define three phases of the overall synthesis flow.
  • processing block 38 ′ provides for sizing and analysis
  • block 46 ′ provides for formulation of the objective function and revised constraints.
  • block 68 provides for network re-synthesis and critical path analysis.
  • Sizing and analysis is performed by analyzing the circuit topology and timing relative to block and technology file requirements. In particular, failures to meet limits such as maximum capacitances (CMAX), transition time (TT) targets, capacitive loading on inputs (CIN) or excesses on thresholds for fan-out or fan-in or capacitive loading on clocks are identified. These are input as penalty functions to the optimization phase.
  • CMAX maximum capacitances
  • TT transition time
  • CIN capacitive loading on inputs
  • CIN capacitive loading on inputs
  • excesses on thresholds for fan-out or fan-in or capacitive loading on clocks are input as penalty functions to the optimization phase.
  • standard timing analysis engines may be used for this step. The engine should be well calibrated with respect to the final verification engine and is preferably the same one.
  • a noise constraint space is derived for all cells in the library. It is preferred that the library characterization is expressed as a polynomial function.
  • first pass sizing uses default targets given by the designer, project, or library, in combination with the environmental information (EVR) for the functional block (FUB) and a wire load model.
  • ELR environmental information
  • delay targets after the first pass are fedback from the optimization phase; and the sizing module uses library characterization information for maximum delays, minimum delays, relative to hold time, contention, and other requirements as given by project or designer template inputs.
  • the sizing module also uses library characterization information, if available, as a function of fan-out characteristics, such as ratio of coupling capacitance to total capacitance, total capacitance, resistance values, and worst case input noise margin of a receiving gate in the fan-out.
  • library characterization information if available, as a function of fan-out characteristics, such as ratio of coupling capacitance to total capacitance, total capacitance, resistance values, and worst case input noise margin of a receiving gate in the fan-out.
  • the preferred approach is to extrapolate beyond any given maximum values.
  • maximum power levels or device sizes in the library are exceeded in order to get the delay, then the result is extrapolated for parallel re-powered gates.
  • phase three re-synthesis will force the meeting of any constraints that are exceeded during the sizing and optimization phases.
  • perturb delays are multiplied in positive and negative directions and a re-sizing is executed.
  • Nodal power/delay sensitivities and bounds on sensitivities are calculated based on the results, and re-calculated on every pass.
  • the costs of logic under the cone of influence of each node are included; and each sizing perturbation step includes the above sizing with respect to margins.
  • standard dynamic step-size techniques are applicable, where the step size for each pass is a function of the trajectory and rate-of-change of the prior results.
  • bounds on the sensitivities are also determined by default as a function (e.g. 2 ⁇ ) of step-size or by designer over-ride.
  • Phase one further provides for testing for escape from iteration according to whether changes in timing penalties or sizing result are small.
  • the change threshold for escape is set either by default, project, setup, or a designer over-ride. It can be seen that if a small sizing change is found, but cost thresholds or timing penalty thresholds are exceeded, the synthesis flow proceeds to design phase three for network re-synthesis. Furthermore, if the stopping criteria are met, phase one outputs a netlist, sensitivity parameters, timing analysis results, and results from the previous phase three pass for critical path analysis and wire re-design directives. This information can be vital to getting custom-class results in convergence with place-and-route optimization and with logic synthesis.
  • wiring input 80 such as wire data with coupling or wire load models can be provided to processing block 38 ′ to assist in the analysis of delays, noise and topologies.
  • the objective function is formed based on the above calculated sensitivity and penalty parameters and the total power-cost of the block, and the optimization variable is delay. Bounds for delay and for transition times for each node are formed from template inputs and from timing-analysis/EVR results and from the range set for the sensitivity. In general, the sensitivity range is the most constraining bound. All bounds are re-formed on each pass.
  • the LP solver outputs a set of nodal delays that are exactly optimal with respect to the given parameters and bounds.
  • the LP solver may exceed some bounds.
  • the LP solver is a standard product, which has seen many advances by vendors andTECH. The best available in these products and techniques can be leveraged based on the given application.
  • processing block 46 ′ illustrates that both minimum and maximum delays are found. In this regard, it should be noted that conventional approaches fail to determine minimum delays when constructing timing margins.
  • the phase three module analyzes the topological graph to find the highest pathwise cost and penalty totals.
  • the modified circuit characteristics 36 ′ includes the optimized parameter values, which are used in the critical paths.
  • block 68 further provides for correcting the objective parameters 24 ′ and noise constraints, which are included in the designer input 70 , for topological costs that are above a predetermined level.
  • Iteration loop 72 provides for repeating the optimizing with the corrected objective parameters and noise constraints.
  • block 68 provides for splitting nets, merging nets, adding buffers, and substituting cells in the circuit with library cells, where the library cells have extended noise characteristics.
  • the result is a modified netlist 74 , which is sized to meet block delay targets with minimized power costs and with correct margins.
  • node sensitivities 76 can be used in logic synthesis or place and route optimization.
  • physical data 78 such as wire sizes and shielding directives can be also provided for place-and-route operations.
  • final analysis results are output for use by logic synthesis if the designer elects logic synthesis re-structuring or transforms, such as phase optimization, critical path extraction and re-minimization, or mapping to a difference library. Furthermore, nodes that have exceed library or template maximums for power levels, noise, or other characterization parameters are found.
  • phase three splits the fan-out on nodes with exceeded maximums and distributes the loads represented by the receivers according to the pathwise cost information.
  • paths that are less critical are separated from more critical paths during this step; delays on these paths are reset to the maximum value for upsizing only as much as necessary in the net sizing and optimization phases.
  • net splitting can be invoked by directives from place-and-route in order to reduce congestion caused by high fan-out nodes.
  • high fan-out nodes occur more frequently in high speed logic with aggressively flattened levels.
  • a buffering stage is added, according to the given template or rules-set for available buffers and the type of nodes on which they can be used.
  • dynamic logic whether inverting or non-inverting buffers, or state-storage buffers need be used is a function of the type of node.
  • phase three provides for the addition of shielding or the reduction of wire lengths. It is preferred that the list of these changes becomes directives to next pass of place-and-route.
  • buffering is added according to the given template or rules set for available buffers and the type of node on which they can be used. It should be noted that designer over-rides are supported on all of the above functions.
  • FIG. 7 a detailed data flow of the preferred timed synthesis is shown.
  • a FUB-specific flow control script or graphical user interface (GUI) 82 manages the optimization process.
  • Designer input 70 can include a number of types of information. For example, flow overrides 70 a , timing overrides 70 b , wire load overrides 70 c , sensitivity delta and range 70 d , objective parameter overrides 70 e , and library net overrides 70 f are all useful in the optimization process.
  • Non-block-specific files are shown generally at 84 .
  • a topological analysis module 86 uses wiring input 80 and netlist 22 ′ to generate timing results 88 based on script output 92 a from GUI 82 and other iterative data. Specifically, sizing iterative data 94 is used for noise and delays.
  • An allocation module 90 allocates node delays based on script output 92 b from the GUI 82 , penalty parameters 96 , and data from the topological analysis module 86 .
  • a sizing module 98 generates an optimized, sized netlist 36 ′′ based on the penalty parameters 96 , GUI script output 92 c , and various other data as shown in FIG. 7.
  • LP iterative data 100 is used to size gate power levels or device widths.
  • a sensitivity module 102 uses GUI script output 92 d and a wide variety of data to generate node delays and power sensitivities 104 .
  • GUI script output 92 e feeds a bounding module 106
  • GUI script output 92 f feeds the LP solver 108 .
  • a critical path module 110 can use GUI script output 92 g to generate input/output (I/O) and clock criticalities 112 .
  • Shielding directives 114 result from re-synthesis module 116 , which uses GUI script output 92 h.

Abstract

A system and computer implemented method of modifying characteristics of a circuit provide enhanced performance. One embodiment of the method provides for determining a set of objective parameters for the circuit and receiving noise constraints for the circuit. Values of the objective parameters are optimized based on the noise constraints. By using noise constraints in the optimization process, a number of performance issues can be addressed.

Description

    BACKGROUND OF THE INVENTION
  • 1. Technical Field [0001]
  • The present invention generally relates to integrated circuit design. More particularly, the invention relates to a computer implemented method of modifying circuit characteristics that involves noise and power optimization. [0002]
  • 2. Discussion [0003]
  • 2. Integrated circuit (IC) design is a critical component to the development of personal computers (PCs), personal digital assistance (PDAs), wireless communication devices and many other systems. In order to achieve the desired functionality and speed, logic of varying complexity must often be developed for the IC. In the past, standard logic has been executed by complementary metal-oxide semiconductor (CMOS) circuitry, which is well documented and widely used in industry. More complex, high speed logic has used domino circuits, which include both dynamic and static gates. Domino circuitry is described in a number of sources as U.S. Pat. No. 6,275,071 to Ye et al. [0004]
  • It has been determined that a number of tradeoffs must be made when developing high speed circuits such as domino circuits. For example, power consumption is a particular parameter that is often at odds with timing constraints. As a general matter, in order to reduce delays, more robust, power consuming gates must be used. Other tradeoffs relate to noise reduction and real estate minimization. [0005]
  • Conventional approaches to modifying given circuit characteristics in accordance with the above-described tradeoffs involve determining a set of objective parameters such as available device sizes and power levels, and obtaining various constraints for the circuit. Typically, timing constraints and physical constraints are often used to provide practical limits on the reduction of power levels and real estate usage. Thus, signals must arrive “on time”, gates cannot be smaller than realistically possible, and the values of the objective parameters are optimized with these constraints in mind. While the above-described approach has been acceptable under certain circumstances, the increasing complexity of more recent logic architectures has brought to light a number of difficulties to be addressed. [0006]
  • A particular difficulty relates to noise. A major source of noise in an IC is capacitative and inductive coupling between two or more signal paths, and is often characterized as “crosstalk”. Although noise coupling can have profound effects on timing and power considerations, and can lead to functional failure in domino logic, conventional approaches to circuit optimization often do not take into account these effects. [0007]
  • Another concern with regard to IC design is the manner in which traditional technologies approach the actual optimization. For example, earlier designs worked with only one path at a time, rather than performing a simultaneous solution of tradeoffs in sizing across a multi-output block. It has been determined that such path-wise optimization methods can exhibit unreliable convergence even if margins and interaction with place-and-route is neglected. While certain attempts have been made at simultaneous optimization, the inability to consider noise constraints limits their practical usefulness. Furthermore, these approaches do not include a mechanism for employing realistic gate delay models, especially if these models are non-convex and discrete. [0008]
  • Additionally, reported methods do not describe a mechanism for leveraging the cost-function information from the results of an optimization step. Such a mechanism would enable re-synthesis of netlists in order to improve power versus delay optimization. Conventional methods also do not show wiring/shielding directives and power-cost sensitivities being used to facilitate convergence with logic synthesis and place-and-route operations. Furthermore, designer or project input templates cannot adequately be used to configure and control the optimization and synthesis processes. In addition, many conventional methods of modifying circuit characteristics do not demonstrate the ability to optimize a mix of fixed and continuously tunable gates.[0009]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The various advantages of the present invention will become apparent to one skilled in the art by reading the following specification and appended claims, and by referencing the following drawings, in which: [0010]
  • FIG. 1 is a flowchart of an example of a computer implemented method of modifying circuit characteristics in accordance with one embodiment of the present invention; [0011]
  • FIG. 2 is a flowchart of an example of a computer implemented method of optimizing objective parameter values in accordance with one embodiment of the present invention; [0012]
  • FIG. 3 is a flowchart of an example of a process for developing sensitivity factors in accordance with one embodiment with the present invention; [0013]
  • FIG. 4 is a diagram illustrating an example of a set of objective parameters in accordance with one embodiment of the present invention; [0014]
  • FIG. 5 is a flowchart of an example of a process for minimizing power costs to a circuit in accordance of one embodiment of the present invention; [0015]
  • FIG. 6 is a block diagram showing an example of a dual-nested optimization approach in accordance with one embodiment of the present invention; and [0016]
  • FIG. 7 is a detailed diagram of an example of timed synthesis flow in accordance of the present invention.[0017]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • A system and computer implemented method of modifying characteristics of a circuit provide enhanced performance. One embodiment of the method provides for determining a set of objective parameters for the circuit and receiving noise constraints for the circuit. Values of the objective parameters are optimized based on the noise constraints. By using noise constraints in the optimization process, a number of performance issues can be addressed. [0018]
  • Further in accordance with an embodiment of the present invention, a computer implemented method of optimizing objective parameter values is provided. A set of sensitivity factors is developed based on the objective parameters and noise margins in accordance with noise constraints for the circuits such that the sensitivity factors characterize a noise sensitivity of the circuit. Objective parameter values and modified noise margins are selected based on the sensitivity factors such that the objective parameter values minimize power costs to the circuit. The method further provides for repeating the developing and selecting until changes in the objective parameter values fall below a predetermined threshold. [0019]
  • In another aspect of the invention, a computer-readable storage medium stores a set of instructions, where the set of instructions are capable of being executed by a processor to form a method of optimizing values of objective parameters for a circuit. [0020]
  • It is to be understood that both the foregoing general description and the following detailed description are merely exemplary of the invention, and are intended to provide an overview or framework for understanding the nature and character of the invention as it is claimed. The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and of the invention, and together with the description serve to explain the principles and operation of the invention. [0021]
  • FIG. 1 shows a computer implemented [0022] method 20 of modifying characteristics 22 of a circuit (not shown). The circuit can be any type of integrated circuit, although the examples discussed herein will primarily refer to computer processors. The format of the circuit characteristics 22 may also vary depending on the application, but is preferably a netlist, which can be generated by any number of commercially available software packages. Netlists are widely understood in the industry, as apparent from the discussion in U.S. Pat. No. 6,289,491 to Dupenloup.
  • It can be seen that the [0023] method 20 generally provides for determining a set of objective parameters 24 for the circuit at processing block 26. As will be discussed in greater detail below, objective parameters 24 primarily relate to power consumption and the relationship can be either direct or indirect. For example, device power levels directly define the power costs associated with a given device, whereas device sizing indirectly defines power costs. The objective parameters 24 include such power related components, where the parameters 24 can take on power level and sizing values in accordance with various constraints, user-defined inputs and optimization directives. It can further be seen that block 28 provides for receiving noise constraints 30 for the circuit. Timing constraints 32 and physical constraints 33 are also obtained in accordance with other established principles and techniques. Values of the objective parameters 24 are optimized at block 34 based on the noise constraints 30 and the result is a modified circuit characteristics 36 with optimized objective parameter values.
  • It will be appreciated that the modified [0024] circuit characteristics 36 provides a unique solution to the difficult problem of power versus delay optimization in high speed circuits. The method 20 is used in conjunction with a larger system that includes library generation, logic synthesis, place-and-route, and physical compilation, and improves design productivity. As will be discussed in greater detail below, the method 20 provides shorter turn-around times for initial design, engineering change orders and other design processes. It should be noted that the method 20 is applicable to control applications as well as datapath applications and has been tested in both cases. Adaptation is provided to multiple types of circuit technologies and clocking methods.
  • Turning now to FIG. 2, the preferred approach to optimizing objective parameter values [0025] 44 is shown in greater detail at block 34. Specifically, it can be seen that a set of sensitivity factors 40 is developed at block 38 based on the objective parameters 24 and noise margins 42 in accordance with the noise constraints 30. The sensitivity factors 40 therefore characterize a noise sensitivity of the circuit. It should be noted that timing constraints 32 and physical constraints 33 (FIG. are also used in the sensitivity factor development process. Objective parameter values 44 and modified noise margins 42 are selected at block 46 based on the sensitivity factors 40 such that the objective parameter values 44 minimize power costs to the circuit. Processing block 48 provides for repeating the developing and selecting until changes in the objective parameter values 44 fall below a predetermined threshold. The sensitivity factors 40 therefore provide a unique approach to circuit optimization that enables the use of noise constraints 30 to iteratively apply noise margins 42 to the nodes of the circuit.
  • Turning now to FIG. 3, the preferred approach to developing sensitivity factors is shown in greater detail at [0026] block 38. Specifically, block 50 provides for allocating initial noise margins to a plurality of nodes in the circuit. Initial objective parameter values are set at block 52 in accordance with the initial noise margins. It can further be seen that blocks 54 and 56 provide for repeating the allocating and setting for varied noise margins. In particular, FIGS. 3 and 4 illustrate that discrete components 24 a of the objective parameters can be selected such that the objective parameter values define dynamic logic settings. As best shown in FIG. 4, one or more dynamic logic families can be selected, where each dynamic logic family has dynamic gates with corresponding transistor widths and power levels. In addition to discrete components, continuously tunable components 24 b of the objective parameters can be selected such that the objective parameters define static logic settings. One or more static logic gates may be selected where each static logic gate has corresponding widths and power levels. By partitioning the objective parameter value selection based on the tunability of the selected component, a more robust optimization system is achieved. This is particularly true with regard to high performance logic circuits such as domino circuits.
  • Returning now to FIG. 3, it can further be seen that during each pass, power costs to the full cone of logic behind each node in the circuit are measured at processing [0027] block 58. In addition, power costs to the full cone of logic ahead of each node in the circuit are calculated at block 60. These calculations and measurements are stored in an acceptable computer-readable memory (not shown) for summarization at block 62. Thus, by summarizing the measured and calculated power costs into a common sensitivity parameter custom-class results can be obtained with very little manual tuning.
  • Turning now to FIG. 5, the preferred approach to selecting objective parameter values [0028] 44 and modified noise margins is shown in greater detail at block 46. Specifically, it can be seen that an objective function 66 is constructed at block 64 based on the sensitivity factors 40. The objective function 66 is sent to a linear program (LP) solver such that the LP solver generates the objective parameter values 44 and the noise margins 42. LP solvers have been well documented and are commercially available from a number of sources.
  • FIG. 6 shows the key elements of the timed synthesis flow in greater detail. Generally, processing blocks [0029] 38′, 46′ and 68 define three phases of the overall synthesis flow. In particular, processing block 38′ provides for sizing and analysis, and block 46′ provides for formulation of the objective function and revised constraints. Furthermore, block 68 provides for network re-synthesis and critical path analysis. Each of these phases will now be addressed in detail.
  • Sizing and Analysis—Phase One [0030]
  • Sizing and analysis is performed by analyzing the circuit topology and timing relative to block and technology file requirements. In particular, failures to meet limits such as maximum capacitances (CMAX), transition time (TT) targets, capacitive loading on inputs (CIN) or excesses on thresholds for fan-out or fan-in or capacitive loading on clocks are identified. These are input as penalty functions to the optimization phase. It should be noted that, standard timing analysis engines may be used for this step. The engine should be well calibrated with respect to the final verification engine and is preferably the same one. Furthermore, a noise constraint space is derived for all cells in the library. It is preferred that the library characterization is expressed as a polynomial function. [0031]
  • Once the circuit topology and timing is analyzed, the circuit is sized to meet minimum and maximum delay targets and noise targets, working from outputs to inputs, using given library characterization data and given targets. In particular, first pass sizing uses default targets given by the designer, project, or library, in combination with the environmental information (EVR) for the functional block (FUB) and a wire load model. In addition, delay targets after the first pass are fedback from the optimization phase; and the sizing module uses library characterization information for maximum delays, minimum delays, relative to hold time, contention, and other requirements as given by project or designer template inputs. The sizing module also uses library characterization information, if available, as a function of fan-out characteristics, such as ratio of coupling capacitance to total capacitance, total capacitance, resistance values, and worst case input noise margin of a receiving gate in the fan-out. The preferred approach is to extrapolate beyond any given maximum values. Furthermore, if maximum power levels or device sizes in the library are exceeded in order to get the delay, then the result is extrapolated for parallel re-powered gates. As already discussed, phase three re-synthesis will force the meeting of any constraints that are exceeded during the sizing and optimization phases. [0032]
  • It can further be seen that perturb delays are multiplied in positive and negative directions and a re-sizing is executed. Nodal power/delay sensitivities and bounds on sensitivities are calculated based on the results, and re-calculated on every pass. In particular, the costs of logic under the cone of influence of each node are included; and each sizing perturbation step includes the above sizing with respect to margins. It should be noted that standard dynamic step-size techniques are applicable, where the step size for each pass is a function of the trajectory and rate-of-change of the prior results. Furthermore, bounds on the sensitivities are also determined by default as a function (e.g. 2×) of step-size or by designer over-ride. [0033]
  • Phase one further provides for testing for escape from iteration according to whether changes in timing penalties or sizing result are small. Specifically, the change threshold for escape is set either by default, project, setup, or a designer over-ride. It can be seen that if a small sizing change is found, but cost thresholds or timing penalty thresholds are exceeded, the synthesis flow proceeds to design phase three for network re-synthesis. Furthermore, if the stopping criteria are met, phase one outputs a netlist, sensitivity parameters, timing analysis results, and results from the previous phase three pass for critical path analysis and wire re-design directives. This information can be vital to getting custom-class results in convergence with place-and-route optimization and with logic synthesis. [0034]
  • It should be noted that wiring [0035] input 80 such as wire data with coupling or wire load models can be provided to processing block 38′ to assist in the analysis of delays, noise and topologies.
  • Obiective Function and Constraint Formulation—Phase Two [0036]
  • The objective function is formed based on the above calculated sensitivity and penalty parameters and the total power-cost of the block, and the optimization variable is delay. Bounds for delay and for transition times for each node are formed from template inputs and from timing-analysis/EVR results and from the range set for the sensitivity. In general, the sensitivity range is the most constraining bound. All bounds are re-formed on each pass. [0037]
  • The LP solver outputs a set of nodal delays that are exactly optimal with respect to the given parameters and bounds. In particular, it should be noted that although the LP solver always returns a result, the result may exceed some bounds. Furthermore, the LP solver is a standard product, which has seen many advances by vendors and academia. The best available in these products and techniques can be leveraged based on the given application. [0038]
  • As already discussed, optimized delays are fed back to the phase one modules for analysis and sizing. The iteration continues until stopping criteria are met following analysis in phase one. Furthermore, processing [0039] block 46′ illustrates that both minimum and maximum delays are found. In this regard, it should be noted that conventional approaches fail to determine minimum delays when constructing timing margins.
  • Network Re-synthesis and Critical Path Analysis—Phase Three [0040]
  • The phase three module analyzes the topological graph to find the highest pathwise cost and penalty totals. Generally, the modified [0041] circuit characteristics 36′, includes the optimized parameter values, which are used in the critical paths. Thus, block 68 further provides for correcting the objective parameters 24′ and noise constraints, which are included in the designer input 70, for topological costs that are above a predetermined level. Iteration loop 72 provides for repeating the optimizing with the corrected objective parameters and noise constraints. Specifically, block 68 provides for splitting nets, merging nets, adding buffers, and substituting cells in the circuit with library cells, where the library cells have extended noise characteristics. The result is a modified netlist 74, which is sized to meet block delay targets with minimized power costs and with correct margins. Additionally, node sensitivities 76 can be used in logic synthesis or place and route optimization. It can further be seen that physical data 78 such as wire sizes and shielding directives can be also provided for place-and-route operations.
  • In particular, final analysis results are output for use by logic synthesis if the designer elects logic synthesis re-structuring or transforms, such as phase optimization, critical path extraction and re-minimization, or mapping to a difference library. Furthermore, nodes that have exceed library or template maximums for power levels, noise, or other characterization parameters are found. [0042]
  • For fan-outs greater than one (or some other given threshold), phase three splits the fan-out on nodes with exceeded maximums and distributes the loads represented by the receivers according to the pathwise cost information. In particular paths that are less critical are separated from more critical paths during this step; delays on these paths are reset to the maximum value for upsizing only as much as necessary in the net sizing and optimization phases. Furthermore, net splitting can be invoked by directives from place-and-route in order to reduce congestion caused by high fan-out nodes. In this regard, it should be noted that high fan-out nodes occur more frequently in high speed logic with aggressively flattened levels. [0043]
  • For fan-outs of one or less than some other given threshold, a buffering stage is added, according to the given template or rules-set for available buffers and the type of nodes on which they can be used. In dynamic logic, whether inverting or non-inverting buffers, or state-storage buffers need be used is a function of the type of node. In particular, if maximums are exceeded due to noise on low-fan-out nodes, phase three provides for the addition of shielding or the reduction of wire lengths. It is preferred that the list of these changes becomes directives to next pass of place-and-route. [0044]
  • For excessive penalty functions due to delays that are too fast (min-delays), buffering is added according to the given template or rules set for available buffers and the type of node on which they can be used. It should be noted that designer over-rides are supported on all of the above functions. [0045]
  • Turning now to FIG. 7, a detailed data flow of the preferred timed synthesis is shown. Generally, a FUB-specific flow control script or graphical user interface (GUI) [0046] 82 manages the optimization process. Designer input 70 can include a number of types of information. For example, flow overrides 70 a, timing overrides 70 b, wire load overrides 70 c, sensitivity delta and range 70 d, objective parameter overrides 70 e, and library net overrides 70 f are all useful in the optimization process. Non-block-specific files are shown generally at 84. A topological analysis module 86 uses wiring input 80 and netlist 22′ to generate timing results 88 based on script output 92 a from GUI 82 and other iterative data. Specifically, sizing iterative data 94 is used for noise and delays. An allocation module 90 allocates node delays based on script output 92 b from the GUI 82, penalty parameters 96, and data from the topological analysis module 86.
  • A [0047] sizing module 98 generates an optimized, sized netlist 36″ based on the penalty parameters 96, GUI script output 92 c, and various other data as shown in FIG. 7. In particular, LP iterative data 100 is used to size gate power levels or device widths. It can further be seen that a sensitivity module 102 uses GUI script output 92 d and a wide variety of data to generate node delays and power sensitivities 104. In addition, GUI script output 92e feeds a bounding module 106, while GUI script output 92 f feeds the LP solver 108. As already discussed, a critical path module 110 can use GUI script output 92 g to generate input/output (I/O) and clock criticalities 112. Shielding directives 114 result from re-synthesis module 116, which uses GUI script output 92 h.
  • Those skilled in the art can now appreciate from the foregoing description that the broad teachings of the present invention can be implemented in a variety of forms. Therefore, while this invention has been described in connection with particular examples thereof, the true scope of the invention should not be so limited since other modifications will become apparent to the skilled practitioner upon a study of the drawings, specification, and following claims. [0048]

Claims (27)

We claim:
1. A computer implemented method of modifying characteristics of a circuit, the method comprising:
determining a set of objective parameters for the circuit;
receiving noise constraints for the circuit; and
optimizing values of the objective parameters based on the noise constraints.
2. The method of claim 1 further including:
developing a set of sensitivity factors based on the objective parameters and noise margins in accordance with the noise constraints such that the sensitivity factors characterize a noise sensitivity of the circuit;
selecting objective parameter values and modified noise margins based on the sensitivity factors such that the objective parameter values minimize power costs to the circuit; and
repeating the developing and selecting until changes in the objective parameter values fall below a predetermined threshold.
3. The method of claim 2 further including:
allocating initial noise margins to a plurality of nodes in the circuit;
setting initial objective parameter values in accordance with the initial noise margins; and
repeating the allocating and setting for varied noise margins.
4. The method of claim 3 further including selecting discrete components of the objective parameters such that the objective parameter values define dynamic logic settings.
5. The method of claim 4 further including selecting one or more dynamic logic families, each dynamic logic family having dynamic gates with corresponding transistor widths and power levels.
6. The method of claim 3 further including selecting continuously tunable components of the objective parameters such that the objective parameter values define static logic settings.
7. The method of claim 6 further including selecting one or more static logic gates, each static logic gate having corresponding widths and power levels.
8. The method of claim 2 further including:
measuring power costs to a full cone of logic behind each node in the circuit;
calculating power costs to a full cone of logic ahead of each node in the circuit;
summarizing the measured and calculated power costs into a common sensitivity parameter.
9. The method of claim 2 further including:
constructing an objective function based on the sensitivity factors; and
inputting the objective function to a linear program solver such that the linear program solver generates the objective parameter values and the noise margins.
10. The method of claim 1 further including:
receiving timing constraints for the circuit; and
optimizing the objective parameter values based on the timing constraints.
11. The method of claim 10 further including:
determining timing margins in accordance with the timing constraints;
said timing margins including minimum and maximum delays for a plurality of nodes in the circuit.
12. The method of claim 1 further including:
receiving physical constraints for the circuit; and
optimizing the objective parameter values based on the physical constraints.
13. The method of claim 1 further including:
conducting a topological analysis on critical paths of the circuit, where the optimized objective parameter values are used in the critical paths;
correcting the objective parameters and noise constraints for topological costs that are above a predetermined level; and
repeating the optimizing with the corrected objective parameters and noise constraints.
14. The method of claim 13 further including splitting nets in the circuit.
15. The method of claim 13 further including merging nets in the circuit.
16. The method of claim 13 further including adding buffers to the circuit.
17. The method of claim 13 further including substituting cells in the circuit with library cells where the library cells have extended noise characteristics.
18. A computer implemented method of optimizing values of objective parameters for a circuit, the method comprising:
developing a set of sensitivity factors based on the objective parameters and noise margins in accordance with noise constraints for the circuit such that the sensitivity factors characterize a noise sensitivity of the circuit;
selecting objective parameter values and modified noise margins based on the sensitivity factors such that the objective parameter values minimize power costs to the circuit; and
repeating the developing and selecting until changes in the objective parameter values fall below a predetermined threshold.
19. The method of claim 18 further including:
allocating initial noise margins to a plurality of nodes in the circuit;
setting initial objective parameter values in accordance with the initial noise margins; and
repeating the allocating and setting for adjusted noise margins.
20. The method of claim 19 further including selecting discrete components of the objective parameters such that the objective parameter values define dynamic logic settings.
21. The method of claim 20 further including selecting one or more dynamic logic families, each dynamic logic family having dynamic gates with corresponding transistor widths and power levels.
22. The method of claim 19 further including selecting continuously tunable components of the objective parameters such that the objective parameter values define static logic settings.
23. The method of claim 22 further including selecting one or more static logic gates, each static logic gate having corresponding widths and power levels.
24. A computer-readable storage medium storing a set of instructions, the set of instructions capable of being executed by a processor to perform a method of optimizing values of objective parameters for a circuit, the method comprising:
developing a set of sensitivity factors based on the objective parameters and noise margins in accordance with noise constraints for the circuit such that the sensitivity factors characterize a noise sensitivity of the circuit;
selecting objective parameter values and modified noise margins based on the sensitivity factors such that the objective parameter values minimize power costs to the circuit; and
repeating the developing and selecting until changes in the objective parameter values fall below a predetermined threshold.
25. The medium of claim 24 wherein the method further includes:
allocating initial noise margins to a plurality of nodes in the circuit;
setting initial objective parameter values in accordance with the initial noise margins; and
repeating the allocating and setting for adjusted noise margins.
26. The medium of claim 25 wherein the method further includes selecting discrete components of the objective parameters such that the objective parameter values define dynamic logic settings.
27. The medium of claim 25 wherein the method further includes selecting continuously tunable components of the objective parameters such that the objective parameter values define static logic settings.
US10/665,521 2001-09-28 2003-09-22 Time synthesis for power optimization of high performance circuits Abandoned US20040060016A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/665,521 US20040060016A1 (en) 2001-09-28 2003-09-22 Time synthesis for power optimization of high performance circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/964,803 US6721924B2 (en) 2001-09-28 2001-09-28 Noise and power optimization in high performance circuits
US10/665,521 US20040060016A1 (en) 2001-09-28 2003-09-22 Time synthesis for power optimization of high performance circuits

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/964,803 Continuation US6721924B2 (en) 2001-09-28 2001-09-28 Noise and power optimization in high performance circuits

Publications (1)

Publication Number Publication Date
US20040060016A1 true US20040060016A1 (en) 2004-03-25

Family

ID=25509024

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/964,803 Expired - Lifetime US6721924B2 (en) 2001-09-28 2001-09-28 Noise and power optimization in high performance circuits
US10/665,521 Abandoned US20040060016A1 (en) 2001-09-28 2003-09-22 Time synthesis for power optimization of high performance circuits

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/964,803 Expired - Lifetime US6721924B2 (en) 2001-09-28 2001-09-28 Noise and power optimization in high performance circuits

Country Status (1)

Country Link
US (2) US6721924B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040216060A1 (en) * 2003-04-28 2004-10-28 International Business Machines Corporation Method and system for low noise integrated circuit design
US20080059922A1 (en) * 2006-08-31 2008-03-06 Alexander Korobkov Graph Pruning Scheme for Sensitivity Analysis with Partitions
US20080215818A1 (en) * 2006-06-19 2008-09-04 Kornegay Marcus L Structure for silent invalid state transition handling in an smp environment
US20090222772A1 (en) * 2008-02-28 2009-09-03 Steven E Charlebois Power Gating Logic Cones
US20100199245A1 (en) * 2004-10-29 2010-08-05 Synopsys, Inc. Non-Linear Receiver Model For Gate-Level Delay Calculation
US20230113260A1 (en) * 2021-10-08 2023-04-13 International Business Machines Corporation Analyzing electrical usage in an electrical grid for facilitating management of electrical transmission

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6760893B2 (en) * 2001-12-12 2004-07-06 Agilent Technologies, Inc. Using transition time checks to determine noise problems on signal lines of an integrated circuit
US6880133B2 (en) * 2002-05-15 2005-04-12 Sonics, Inc. Method and apparatus for optimizing distributed multiplexed bus interconnects
US7844747B2 (en) * 2002-06-05 2010-11-30 Stmicroelectronics, Inc. Performance tuning using encoded performance parameter information
US6930510B2 (en) * 2003-03-03 2005-08-16 Xilinx, Inc. FPGA architecture with mixed interconnect resources optimized for fast and low-power routing and methods of utilizing the same
US7010763B2 (en) * 2003-05-12 2006-03-07 International Business Machines Corporation Method of optimizing and analyzing selected portions of a digital integrated circuit
US7003747B2 (en) * 2003-05-12 2006-02-21 International Business Machines Corporation Method of achieving timing closure in digital integrated circuits by optimizing individual macros
US6951002B2 (en) * 2003-06-05 2005-09-27 International Business Machines Corporation Design techniques for analyzing integrated circuit device characteristics
US7181703B1 (en) * 2003-07-22 2007-02-20 Altera Corporation Techniques for automated sweeping of parameters in computer-aided design to achieve optimum performance and resource usage
US20050049845A1 (en) * 2003-08-25 2005-03-03 Legend Design Technology, Inc. Verification and characterization of noise margin in integrated circuit designs
US7243312B1 (en) * 2003-10-24 2007-07-10 Xilinx, Inc. Method and apparatus for power optimization during an integrated circuit design process
US7376916B1 (en) * 2005-04-20 2008-05-20 Sun Microsystems, Inc. Performing a constrained optimization to determine circuit parameters
US7370295B1 (en) 2005-07-21 2008-05-06 Altera Corporation Directed design space exploration
US7389485B1 (en) 2006-03-28 2008-06-17 Xilinx, Inc. Methods of routing low-power designs in programmable logic devices having heterogeneous routing architectures
US7743270B2 (en) * 2006-09-11 2010-06-22 International Business Machines Corporation Assigning clock arrival time for noise reduction
US8987868B1 (en) 2009-02-24 2015-03-24 Xilinx, Inc. Method and apparatus for programmable heterogeneous integration of stacked semiconductor die
US7893712B1 (en) 2009-09-10 2011-02-22 Xilinx, Inc. Integrated circuit with a selectable interconnect circuit for low power or high performance operation
US9015023B2 (en) * 2010-05-05 2015-04-21 Xilinx, Inc. Device specific configuration of operating voltage
US8302063B2 (en) 2010-05-18 2012-10-30 International Business Machines Corporation Method and system to optimize semiconductor products for power, performance, noise, and cost through use of variable power supply voltage compression
US9223918B2 (en) 2012-04-16 2015-12-29 Globalfoundries Inc. Reducing repeater power
US9000490B2 (en) 2013-04-19 2015-04-07 Xilinx, Inc. Semiconductor package having IC dice and voltage tuners
US9245074B2 (en) 2014-02-20 2016-01-26 International Business Machines Corporation Boundary based power guidance for physical synthesis
DE102017127276A1 (en) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. STANDARD CELLS AND ADAPTATIONS FROM THEREOF WITHIN A STANDARD CELL LIBRARY
CN114818078B (en) * 2022-05-09 2023-07-25 东南大学 Clean zero-energy-consumption building energy system planning method considering long-term uncertainty and short-term uncertainty
CN114936524A (en) * 2022-05-27 2022-08-23 中国南方电网有限责任公司超高压输电公司昆明局 Storage battery internal resistance identification method, storage battery internal resistance identification device, storage battery internal resistance identification equipment, storage battery internal resistance identification medium and program product

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5999714A (en) * 1997-03-27 1999-12-07 International Business Machines Corporation Method for incorporating noise considerations in automatic circuit optimization
US6005416A (en) * 1997-05-02 1999-12-21 International Business Machines Corporation Compiled self-resetting CMOS logic array macros
US6493853B1 (en) * 1999-07-15 2002-12-10 Texas Instruments Incorporated Cell-based noise characterization and evaluation
US6532574B1 (en) * 2000-08-17 2003-03-11 International Business Machines Corporation Post-manufacture signal delay adjustment to solve noise-induced delay variations
US6665308B1 (en) * 1995-08-25 2003-12-16 Terayon Communication Systems, Inc. Apparatus and method for equalization in distributed digital data transmission systems

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6665308B1 (en) * 1995-08-25 2003-12-16 Terayon Communication Systems, Inc. Apparatus and method for equalization in distributed digital data transmission systems
US5999714A (en) * 1997-03-27 1999-12-07 International Business Machines Corporation Method for incorporating noise considerations in automatic circuit optimization
US6005416A (en) * 1997-05-02 1999-12-21 International Business Machines Corporation Compiled self-resetting CMOS logic array macros
US6493853B1 (en) * 1999-07-15 2002-12-10 Texas Instruments Incorporated Cell-based noise characterization and evaluation
US20030079191A1 (en) * 1999-07-15 2003-04-24 Savithri Nagaraj N. Cell-based noise characterization and evaluation
US6532574B1 (en) * 2000-08-17 2003-03-11 International Business Machines Corporation Post-manufacture signal delay adjustment to solve noise-induced delay variations

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040216060A1 (en) * 2003-04-28 2004-10-28 International Business Machines Corporation Method and system for low noise integrated circuit design
US6950997B2 (en) * 2003-04-28 2005-09-27 International Business Machines Corporation Method and system for low noise integrated circuit design
US20100199245A1 (en) * 2004-10-29 2010-08-05 Synopsys, Inc. Non-Linear Receiver Model For Gate-Level Delay Calculation
US8205177B2 (en) * 2004-10-29 2012-06-19 Synopsys, Inc. Non-linear receiver model for gate-level delay calculation
US20080215818A1 (en) * 2006-06-19 2008-09-04 Kornegay Marcus L Structure for silent invalid state transition handling in an smp environment
US8195892B2 (en) * 2006-06-19 2012-06-05 International Business Machines Corporation Structure for silent invalid state transition handling in an SMP environment
US20080059922A1 (en) * 2006-08-31 2008-03-06 Alexander Korobkov Graph Pruning Scheme for Sensitivity Analysis with Partitions
US7421671B2 (en) * 2006-08-31 2008-09-02 Sun Microsystems, Inc. Graph pruning scheme for sensitivity analysis with partitions
US20090222772A1 (en) * 2008-02-28 2009-09-03 Steven E Charlebois Power Gating Logic Cones
US7873923B2 (en) * 2008-02-28 2011-01-18 International Business Machines Corporation Power gating logic cones
US20230113260A1 (en) * 2021-10-08 2023-04-13 International Business Machines Corporation Analyzing electrical usage in an electrical grid for facilitating management of electrical transmission

Also Published As

Publication number Publication date
US20030066037A1 (en) 2003-04-03
US6721924B2 (en) 2004-04-13

Similar Documents

Publication Publication Date Title
US6721924B2 (en) Noise and power optimization in high performance circuits
US8160858B2 (en) Systems and methods of efficient library characterization for integrated circuit cell libraries
JP4061295B2 (en) System and method for statistical timing analysis of digital circuits
US7555740B2 (en) Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-corner static timing analysis
US8122404B2 (en) Performing a statistical timing abstraction for a hierarchical timing analysis of VLSI circuits
US20160018979A1 (en) Clock tree synthesis graphical user interface
US8141016B2 (en) Integrated design for manufacturing for 1×N VLSI design
US20100058272A1 (en) Compiler for Closed-Loop 1xN VLSI Design
US8856709B2 (en) Systems and methods for correlated parameters in statistical static timing analysis
Su et al. Performance optimization using variable-latency design style
US8713506B2 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce dynamic power in an electronic circuit and an apparatus incorporating the same
US20100058269A1 (en) Uniquification and Parent-Child Constructs for 1xN VLSI Design
Han et al. A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction
US11681842B2 (en) Latency offset in pre-clock tree synthesis modeling
Tehrani et al. Deep sub-micron static timing analysis in presence of crosstalk
US11003821B1 (en) Deterministic loop breaking in multi-mode multi-corner static timing analysis of integrated circuits
KR100482894B1 (en) How to Optimize Device Sizes in Semiconductor Devices
Sathyamurthy et al. Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization
US8818784B1 (en) Hardware description language (HDL) incorporating statistically derived data and related methods
Srinivasan et al. Accurate area and delay estimation from RTL descriptions
Wu et al. Two approaches for timing-driven placement by Lagrangian relaxation
KR19980079812A (en) How to optimize the circuit structure included in the circuit layout
US6507807B1 (en) Method and apparatus for determining which branch of a network of an integrated circuit has the largest total effective RC delay
US11270052B2 (en) System and method of timing characterization for semiconductor circuit
US20030159119A1 (en) Method for designing semiconductor integrated circuit and computing program for semiconductor integrated circuit

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION