US20030126410A1 - System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions - Google Patents

System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions Download PDF

Info

Publication number
US20030126410A1
US20030126410A1 US10/039,113 US3911302A US2003126410A1 US 20030126410 A1 US20030126410 A1 US 20030126410A1 US 3911302 A US3911302 A US 3911302A US 2003126410 A1 US2003126410 A1 US 2003126410A1
Authority
US
United States
Prior art keywords
register
real
alias
entry
valid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/039,113
Other versions
US6910121B2 (en
Inventor
Guillermo Savransky
Ronny Ronen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US10/039,113 priority Critical patent/US6910121B2/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SAVRANSKY, GUILLERMO, RONEN, RONNY
Priority to US10/183,096 priority patent/US7024542B2/en
Publication of US20030126410A1 publication Critical patent/US20030126410A1/en
Application granted granted Critical
Publication of US6910121B2 publication Critical patent/US6910121B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3856Reordering of instructions, e.g. using queues or age tags
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory

Definitions

  • This invention relates generally to processors, and in particular, a system and method of reducing the number of copies from alias registers to real registers in the commitment of instructions.
  • processors such as microprocessors, microcontrollers, etc.
  • microprocessors such as microprocessors, microcontrollers, etc.
  • Techniques such as out-of-order processing, where instructions are executed not in the order provided by the program, have improved the performance of current processors. Even though the performance of processors have improved over the recent years, there are still some room for further improvement in the performance as illustrated in the following example.
  • FIG. 1A illustrates a block diagram of a prior art processor system 100 .
  • the processor system 100 retrieves program instructions initially stored in a main memory 102 by way of a system bus 104 , and performs the execution of the program instructions.
  • the processor system 100 consists of an instruction-retrieval front end including an instruction cache 108 , a prefetch buffer 110 , and a prefetch logic 106 .
  • the processor system 100 further consists of a pre-processing stage including an instruction decoding logic 112 and a branch prediction logic 113 .
  • the processor system 100 consists of an execution processing stage including an allocator 114 , a register alias table/reorder buffer (RAT/ROB) 115 , a real (architectural) register file (RRF) 116 , an instruction selection logic 118 , an execution logic unit 120 , and a retirement logic unit 122 .
  • an allocator 114 a register alias table/reorder buffer (RAT/ROB) 115 , a real (architectural) register file (RRF) 116 , an instruction selection logic 118 , an execution logic unit 120 , and a retirement logic unit 122 .
  • RAT/ROB register alias table/reorder buffer
  • RRF real (architectural) register file
  • the instruction-retrieval front end of the processor system 100 functions to place instructions in the pipeline for execution.
  • the prefetch logic periodically issues requests for instructions from the main memory 102 by way of the system bus 104 .
  • instruction data is transferred to the instruction cache 108 .
  • the prefetch logic 106 also causes sequential instruction data of a certain size (e.g. 16 bytes of instruction data at a time) to transfer from the instruction cache 108 to the prefetch buffer 110 .
  • the prefetch buffer 110 stores a certain amount of sequential instruction data (e.g. 32 bytes). When the prefetch buffer 110 empties, a signal is sent to the prefetch logic 106 instructing it to transfer another 32 bytes of instruction data from the instruction cache 108 to the prefetch buffer 110 (e.g. 16-bytes at a time).
  • the pre-processing stage of the processor system 100 generally entails preparing the instruction data for subsequent processing by the execution stage. Specifically, the instruction decoding logic 112 receives the 32 bytes of instruction data from the prefetch buffer 110 and identifies the actual instructions within the instruction data by marking boundaries between instructions. If the processor system 100 processes sub-instructions such as micro-ops (i.e. fixed-length RISC instructions), then the instruction decoding logic 112 translates the identified instructions into micro-ops. If the instruction received is a branch, the address from which the instruction was accessed is sent to the branch prediction logic unit 113 to predict where the program will branch to. The branch prediction logic 113 , based on its prediction determination, instructs the prefetch logic 106 to sequentially transfer the corresponding instructions to the prefetch buffer 110 .
  • sub-instructions such as micro-ops (i.e. fixed-length RISC instructions)
  • the execution stage of the processor system 100 generally entails queing, scheduling, executing, and retiring the instructions.
  • the allocator 114 sequentially adds new instructions into the end of the reorder buffer (ROB) 115 .
  • the register alias table (RAT) portion of the RAT/ROB 115 assigns alias registers to function as real registers 116 for instructions that use source operands.
  • the register alias table (RAT) keeps track of which real register 116 does an alias register corresponds.
  • each reorder buffer (ROB) entry includes a first field to indicate whether the corresponding instruction has been executed, a second field to store the memory address of the instruction to branch to if the corresponding instruction is a branch, a third field to store the corresponding instruction, and a fourth field to identify the corresponding alias registers holding the source operands for the corresponding instruction.
  • the reorder buffer (ROB) 115 is a cyclic buffer having a start-of-buffer pointer that points to the first entry of the reorder buffer (ROB) 115 , such as entry four (4) as shown, and an end-of-buffer pointer that points to the last buffer entry, such as entry 36 as shown.
  • the entry pointed to by the start-of-buffer pointer contains the oldest instruction in the reorder buffer (ROB) 115 and the entry pointed to by the end-of-buffer pointer contains to the youngest instruction in the reorder buffer (ROB) 115 .
  • the instruction selection logic 118 selects and queues the instructions to be executed.
  • the instructions can be selected out-of order.
  • the criteria used by the instruction selection logic 118 to select an instruction is whether all prior conditions have been met for the instruction to execute.
  • the execution logic unit 120 executes the instructions in the order selected by the instruction selection logic 118 .
  • the retirement logic unit 122 sets the executed flag in the reorder buffer (ROB) 115 . If and when the executed instruction becomes the oldest instruction in the reorder buffer (ROB) 115 , the instruction is committed, and the retirement unit 122 causes the copying of the register result of the executed instruction from the corresponding alias register to the designated real register 116 .
  • FIG. 1A illustrates a block diagram of a prior art processor system
  • FIG. 1B illustrates a block diagram of a prior art reorder buffer as part of the prior art processor system
  • FIG. 2A illustrates a block diagram of an exemplary processor system in accordance with an embodiment of the invention
  • FIG. 2B illustrates a table diagram of an exemplary modified reorder buffer (ROB) in accordance with an embodiment of the invention
  • FIG. 2C illustrates a table diagram of an exemplary data commitment table (DCT) in accordance with an embodiment of the invention
  • FIG. 3 illustrates a flow diagram of an exemplary retirement routine in accordance with an embodiment of the invention.
  • FIG. 4 illustrates a flow diagram of an exemplary ROB entry allocating routine in accordance with an embodiment of the invention.
  • FIG. 2A illustrates a block diagram of an exemplary processor system 200 in accordance with an embodiment of the invention.
  • the processor system 200 retrieves program instructions initially stored in a main memory 202 by way of a system bus 204 , and performs the execution of the program instructions.
  • the processor system 200 comprises an instruction-retrieval front end including an instruction cache 208 , a prefetch buffer 210 , and a prefetch logic 206 .
  • the processor system 200 further comprises a pre-processing stage including an instruction decoding logic 212 and a branch prediction logic 213 .
  • the processor system 200 also comprises an execution processing stage including a modified allocator 214 , a modified register alias table/reorder buffer (RAT/ROB) 215 , a data commitment table 216 , a real register file (RRF) 217 , an instruction selection logic 218 , an execution logic unit 220 , and a retirement logic unit 222 .
  • the instruction-retrieval front end and the pre-processing stage of the processor system 200 performs the instruction fetching and prediction the same as described with reference to the prior art processor system 100 .
  • the method entails determining whether to copy the register value generated by executing an instruction from the alias register to the real register at the time the reorder buffer entry associated with the alias register is needed for a new instruction. If before the reorder buffer is needed for a new instruction, an interim instruction resulted in a new register value for the real register, then the original register value would be invalid at the time the reorder buffer entry is needed for the new instruction. Thus, there would not be a need to copy the original register value to the real register.
  • the reduction in copying can make the processor system consume less power and execute instructions faster and more efficiently.
  • the execution stage of the processor system 200 generally entails queing, scheduling, executing, and retiring the instructions.
  • the modified allocator 214 performs several functions. The allocator 214 first checks whether a candidate ROB entry for a new instruction has valid register data. If it does, the allocator 214 causes a copying of the register data from the alias register to the corresponding real register. Second, the allocator 214 updates the data commitment table so that it indicates that the register data is now in the real register. Third, the allocator 214 deasserts the valid data bit in the candidate ROB entry. Finally, the allocator 214 causes the copying of the new instruction information into the candidate ROB entry.
  • the register alias table (RAT) portion of the modified RAT/ROB 215 assigns alias registers to function as real registers 217 for instructions that use source operands.
  • the register alias table (RAT) keeps track of which real register 217 does an alias register corresponds.
  • FIG. 2B illustrates a table diagram of an exemplary modified reorder buffer (ROB) 215 in accordance with an embodiment of the invention.
  • Each reorder buffer (ROB) includes: a first field to indicate whether the corresponding alias register holds valid data, a second field to indicate whether the corresponding instruction has been executed, a third field to store the memory address of the instruction to branch to if the corresponding instruction is a branch, a fourth field to store the corresponding instruction, and a fifth field to identify the corresponding alias registers holding the source operands for the corresponding instruction.
  • the reorder buffer (ROB) 215 is a cyclic buffer having a start-of-buffer pointer that points to the first entry of the reorder buffer (ROB) 215 , such as entry four (4) as shown, and an end-of-buffer pointer to point to the last entry of the reorder buffer (ROB) 215 , such as entry 34 as shown.
  • the entry pointed by the start-of-buffer pointer is the oldest instruction in the reorder buffer (ROB) 215 and the entry pointed to by the end-of-buffer pointer is the youngest instruction in the reorder buffer (ROB) 215 .
  • the instruction selection logic 218 selects and queues the instructions to be executed.
  • the instructions can be selected out-of order.
  • the criteria used by the instruction selection logic 218 to select an instruction is whether all conditions for executing the instruction have been met.
  • the execution logic unit 220 executes the instructions in the order selected by the instruction selection logic 218 .
  • the retirement logic unit 222 assists in the retirement of instructions in accordance with a new method in accordance with the invention, as is discussed below with reference to FIG. 3.
  • a data commitment table 216 will be used to keep track of the location of committed register data as discussed below with reference to FIGS. 3 - 4 .
  • FIG. 2C illustrates a table diagram of an exemplary data commitment table 216 in accordance with an embodiment of the invention.
  • the data commitment table 216 provides information as to the location of the register values for the corresponding real registers, i.e. whether a register value is in the real register file 217 or in an alias register identified in the ROB 215 .
  • Each data commitment table entry includes a first field to identify the real register, a second field to indicate whether the register value is in the corresponding real register (e.g. a Boolean field, a flag, etc.), and a third field to indicate the ROB entry index identifying the alias register storing the register value if the second field indicates that the register value is not in the real register.
  • FIG. 3 illustrates a flow diagram of an exemplary retirement routine 300 in accordance with an embodiment of the invention.
  • the steps of the retirement routine are taken after a successful execution of an instruction.
  • the retirement logic unit 222 sets the executed flag in ROB entry corresponding to the instruction.
  • the retirement logic unit 222 determines whether there is a destination real register 217 for the instruction. If there is no destination real register 217 for the instruction, the retirement routine 300 ends.
  • step 306 the retirement logic unit 222 determines whether the real register 217 is designated to undergo the retirement routine 300 in accordance with the invention (i.e., whether the register is one listed in the data commitment table 216 ).
  • step 306 the retirement logic unit 222 determines that the real register to be written to is exempt from the new retirement routine 300 .
  • step 308 the retirement logic unit 222 causes the copying of the resulting data from the alias register to the real register. Otherwise, in step 308 , the retirement logic unit 222 causes the setting of the valid data bit in the ROB entry pertaining to that instruction.
  • step 310 the retirement logic unit 222 reads the committed value location field of the data commitment table 216 corresponding to the real register to determine if the previous register value is in the real register or in an alias register.
  • step 314 the retirement logic unit 222 causes a deasserting of the valid data bit of the ROB entry pointed to by the data commitment table 216 .
  • step 316 the retirement logic unit 222 causes the writing of the ROB entry index of the instant instruction to the ROB entry index field of the data commitment table 216 corresponding to the real register associated with the new data, and modifies the committed data location field to indicate that the register value is in an alias register pointed to by the corresponding ROB entry index field.
  • step 314 the retirement logic unit 222 determines that the previous register value is in the RRF 216 , the retirement logic unit 222 just performs the function specified in step 316 as previously discussed.
  • the new retirement routine 300 saves an alias register-to-real register copying step (relative to the prior art retirement routine) each time the retirement routine 300 performs step 314 .
  • This situation occurs when the same real register is written to (actually written to its alias in the ROB) by two or more instructions within the same instruction window (the size of the ROB).
  • This is substantially different than the prior art retirement routine that makes an alias register-to-real register copy each time an instruction retires.
  • the new retirement routine 300 avoids some of these copies, and in theory, can eliminate essentially 100 percent of the register writes if the code reuses results extensively, e.g.
  • FIG. 4 illustrates a flow diagram of an exemplary ROB entry allocating routine 400 in accordance with an embodiment of the invention.
  • the allocator 214 first checks to see if the candidate ROB entry for a new instruction has valid data. As previously discussed, an ROB entry can have valid data if within a period of the cyclic ROB, the real register corresponding to the candidate ROB entry was not written to more than once by retired instructions. In this case, before the allocator 214 can use the candidate ROB entry, it has to cause a copying of the alias register of the ROB entry to the corresponding real register 217 . Once this has occurred, the allocator 214 can use the candidate ROB entry for the new instruction.
  • step 402 the allocator 214 locates the next ROB entry n for a new instruction.
  • step 404 the allocator 214 reads the valid data field of the next ROB entry n to determine whether the corresponding alias register contains valid data. If not, the allocator 214 proceeds to step 412 to add the new instruction into the next ROB entry n. If, however, the valid data field indicates that the next ROB entry n has valid data, in step 406 the allocator 214 causes the content in the alias register of the next ROB entry n to be copied into the corresponding real register 217 .
  • step 408 the allocator 214 deasserts the valid data bit in the next ROB entry n since the new instruction has not been executed, and therefore the next ROB entry n has yet to have valid data. Then in step 410 the allocator 214 modifies the “committed value location” field of the data commitment table 216 to indicate that the register value for the corresponding real register is now in the real register 217 . Finally, in step 412 the allocator 214 causes the new instruction to be added into the next ROB entry n.
  • the second option is to make the pointers in the renamer to point to the ROB entry that the data commitment table indicates. For example, if an instruction that writes to the EAX register is committed from the ROB entry index 31 , the data commitment table entry corresponding to the EAX will contain the number 31 in the corresponding ROB entry index field. After a branch misprediction, the renamer will now point to the last value of the EAX to ROB entry 31 . An instruction that has as a source the register EAX, will gets its source renamed to ROB entry 31 , so it will get the correct data.
  • temporary registers are used to keep intra-instruction information.
  • the values of these registers are invalid outside the instruction micro-sequence and have no meaning to any micro-instruction that belongs to an instruction different to the one that generated the value. This fact can be used to improve power saving in the processor system 200 .
  • the “valid bit” in all the ROB entries corresponding to temporary registers can be reset. These values are not relevant anymore so there is no need to copy them to the RRF.

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Advance Control (AREA)

Abstract

A processor system and method that reduces the number of register value copying made from alias registers to corresponding real (architectural) registers. The method entails determining whether to copy the register value generated by executing an instruction from the alias register to the real register at the time the reorder buffer entry associated with the alias register is needed for a new instruction. If before the reorder buffer is needed for a new instruction, an interim instruction resulted in a new register value for the real register, then the original register value would be invalid at the time the reorder buffer entry is needed for the new instruction. Thus, there would not be a need to copy the original register value to the real register. The reduction in copying can make the processor system consume less power.

Description

    FIELD OF THE INVENTION
  • This invention relates generally to processors, and in particular, a system and method of reducing the number of copies from alias registers to real registers in the commitment of instructions. [0001]
  • BACKGROUND OF THE INVENTION
  • Developments in processors, such as microprocessors, microcontrollers, etc., are always on-going. The reason being is that there is a large demand for microprocessors to process instructions faster to reduce the execution time of a program, and more efficiently to reduce their overall power consumption. Techniques such as out-of-order processing, where instructions are executed not in the order provided by the program, have improved the performance of current processors. Even though the performance of processors have improved over the recent years, there are still some room for further improvement in the performance as illustrated in the following example. [0002]
  • FIG. 1A illustrates a block diagram of a prior [0003] art processor system 100. In general, the processor system 100 retrieves program instructions initially stored in a main memory 102 by way of a system bus 104, and performs the execution of the program instructions. The processor system 100 consists of an instruction-retrieval front end including an instruction cache 108, a prefetch buffer 110, and a prefetch logic 106. The processor system 100 further consists of a pre-processing stage including an instruction decoding logic 112 and a branch prediction logic 113. Finally, the processor system 100 consists of an execution processing stage including an allocator 114, a register alias table/reorder buffer (RAT/ROB) 115, a real (architectural) register file (RRF) 116, an instruction selection logic 118, an execution logic unit 120, and a retirement logic unit 122.
  • In operation, the instruction-retrieval front end of the [0004] processor system 100 functions to place instructions in the pipeline for execution. Specifically, the prefetch logic periodically issues requests for instructions from the main memory 102 by way of the system bus 104. In response to these requests, instruction data is transferred to the instruction cache 108. The prefetch logic 106 also causes sequential instruction data of a certain size (e.g. 16 bytes of instruction data at a time) to transfer from the instruction cache 108 to the prefetch buffer 110. The prefetch buffer 110 stores a certain amount of sequential instruction data (e.g. 32 bytes). When the prefetch buffer 110 empties, a signal is sent to the prefetch logic 106 instructing it to transfer another 32 bytes of instruction data from the instruction cache 108 to the prefetch buffer 110 (e.g. 16-bytes at a time).
  • The pre-processing stage of the [0005] processor system 100 generally entails preparing the instruction data for subsequent processing by the execution stage. Specifically, the instruction decoding logic 112 receives the 32 bytes of instruction data from the prefetch buffer 110 and identifies the actual instructions within the instruction data by marking boundaries between instructions. If the processor system 100 processes sub-instructions such as micro-ops (i.e. fixed-length RISC instructions), then the instruction decoding logic 112 translates the identified instructions into micro-ops. If the instruction received is a branch, the address from which the instruction was accessed is sent to the branch prediction logic unit 113 to predict where the program will branch to. The branch prediction logic 113, based on its prediction determination, instructs the prefetch logic 106 to sequentially transfer the corresponding instructions to the prefetch buffer 110.
  • The execution stage of the [0006] processor system 100 generally entails queing, scheduling, executing, and retiring the instructions. The allocator 114 sequentially adds new instructions into the end of the reorder buffer (ROB) 115. The register alias table (RAT) portion of the RAT/ROB 115 assigns alias registers to function as real registers 116 for instructions that use source operands. The register alias table (RAT) keeps track of which real register 116 does an alias register corresponds.
  • As shown in FIG. 1B, each reorder buffer (ROB) entry includes a first field to indicate whether the corresponding instruction has been executed, a second field to store the memory address of the instruction to branch to if the corresponding instruction is a branch, a third field to store the corresponding instruction, and a fourth field to identify the corresponding alias registers holding the source operands for the corresponding instruction. The reorder buffer (ROB) [0007] 115 is a cyclic buffer having a start-of-buffer pointer that points to the first entry of the reorder buffer (ROB) 115, such as entry four (4) as shown, and an end-of-buffer pointer that points to the last buffer entry, such as entry 36 as shown. Thus, the entry pointed to by the start-of-buffer pointer contains the oldest instruction in the reorder buffer (ROB) 115 and the entry pointed to by the end-of-buffer pointer contains to the youngest instruction in the reorder buffer (ROB) 115.
  • The [0008] instruction selection logic 118 selects and queues the instructions to be executed. The instructions can be selected out-of order. The criteria used by the instruction selection logic 118 to select an instruction is whether all prior conditions have been met for the instruction to execute. The execution logic unit 120 executes the instructions in the order selected by the instruction selection logic 118. After the instruction has been successfully executed, the retirement logic unit 122 sets the executed flag in the reorder buffer (ROB) 115. If and when the executed instruction becomes the oldest instruction in the reorder buffer (ROB) 115, the instruction is committed, and the retirement unit 122 causes the copying of the register result of the executed instruction from the corresponding alias register to the designated real register 116.
  • It is this copying that results in some inefficienices in the [0009] processor system 100. The copying is expensive in terms of power consumption since it includes reading and writing operations. Reducing the number of copies from alias registers to the real register file (RRF) could result in lower power consumption, extended battery life and a less sophisticated cooling system for the processor.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A illustrates a block diagram of a prior art processor system; [0010]
  • FIG. 1B illustrates a block diagram of a prior art reorder buffer as part of the prior art processor system; [0011]
  • FIG. 2A illustrates a block diagram of an exemplary processor system in accordance with an embodiment of the invention; [0012]
  • FIG. 2B illustrates a table diagram of an exemplary modified reorder buffer (ROB) in accordance with an embodiment of the invention; [0013]
  • FIG. 2C illustrates a table diagram of an exemplary data commitment table (DCT) in accordance with an embodiment of the invention; [0014]
  • FIG. 3 illustrates a flow diagram of an exemplary retirement routine in accordance with an embodiment of the invention; and [0015]
  • FIG. 4 illustrates a flow diagram of an exemplary ROB entry allocating routine in accordance with an embodiment of the invention. [0016]
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 2A illustrates a block diagram of an [0017] exemplary processor system 200 in accordance with an embodiment of the invention. In general, the processor system 200 retrieves program instructions initially stored in a main memory 202 by way of a system bus 204, and performs the execution of the program instructions. The processor system 200 comprises an instruction-retrieval front end including an instruction cache 208, a prefetch buffer 210, and a prefetch logic 206. The processor system 200 further comprises a pre-processing stage including an instruction decoding logic 212 and a branch prediction logic 213. The processor system 200 also comprises an execution processing stage including a modified allocator 214, a modified register alias table/reorder buffer (RAT/ROB) 215, a data commitment table 216, a real register file (RRF) 217, an instruction selection logic 218, an execution logic unit 220, and a retirement logic unit 222. The instruction-retrieval front end and the pre-processing stage of the processor system 200 performs the instruction fetching and prediction the same as described with reference to the prior art processor system 100.
  • It is in the execution processing stage where the method of reducing the number of copies from alias registers to real registers in the commitment of instructions is implemented. In general, the method entails determining whether to copy the register value generated by executing an instruction from the alias register to the real register at the time the reorder buffer entry associated with the alias register is needed for a new instruction. If before the reorder buffer is needed for a new instruction, an interim instruction resulted in a new register value for the real register, then the original register value would be invalid at the time the reorder buffer entry is needed for the new instruction. Thus, there would not be a need to copy the original register value to the real register. The reduction in copying can make the processor system consume less power and execute instructions faster and more efficiently. [0018]
  • More specifically, the execution stage of the [0019] processor system 200 generally entails queing, scheduling, executing, and retiring the instructions. The modified allocator 214 performs several functions. The allocator 214 first checks whether a candidate ROB entry for a new instruction has valid register data. If it does, the allocator 214 causes a copying of the register data from the alias register to the corresponding real register. Second, the allocator 214 updates the data commitment table so that it indicates that the register data is now in the real register. Third, the allocator 214 deasserts the valid data bit in the candidate ROB entry. Finally, the allocator 214 causes the copying of the new instruction information into the candidate ROB entry.
  • The register alias table (RAT) portion of the modified RAT/[0020] ROB 215 assigns alias registers to function as real registers 217 for instructions that use source operands. The register alias table (RAT) keeps track of which real register 217 does an alias register corresponds.
  • FIG. 2B illustrates a table diagram of an exemplary modified reorder buffer (ROB) [0021] 215 in accordance with an embodiment of the invention. Each reorder buffer (ROB) includes: a first field to indicate whether the corresponding alias register holds valid data, a second field to indicate whether the corresponding instruction has been executed, a third field to store the memory address of the instruction to branch to if the corresponding instruction is a branch, a fourth field to store the corresponding instruction, and a fifth field to identify the corresponding alias registers holding the source operands for the corresponding instruction. The reorder buffer (ROB) 215 is a cyclic buffer having a start-of-buffer pointer that points to the first entry of the reorder buffer (ROB) 215, such as entry four (4) as shown, and an end-of-buffer pointer to point to the last entry of the reorder buffer (ROB) 215, such as entry 34 as shown. Thus, the entry pointed by the start-of-buffer pointer is the oldest instruction in the reorder buffer (ROB) 215 and the entry pointed to by the end-of-buffer pointer is the youngest instruction in the reorder buffer (ROB) 215.
  • Referring back to FIG. 2A, the [0022] instruction selection logic 218 selects and queues the instructions to be executed. The instructions can be selected out-of order. The criteria used by the instruction selection logic 218 to select an instruction is whether all conditions for executing the instruction have been met. The execution logic unit 220 executes the instructions in the order selected by the instruction selection logic 218. After the instruction has been successfully executed, the retirement logic unit 222 assists in the retirement of instructions in accordance with a new method in accordance with the invention, as is discussed below with reference to FIG. 3. A data commitment table 216 will be used to keep track of the location of committed register data as discussed below with reference to FIGS. 3-4.
  • FIG. 2C illustrates a table diagram of an exemplary data commitment table [0023] 216 in accordance with an embodiment of the invention. The data commitment table 216 provides information as to the location of the register values for the corresponding real registers, i.e. whether a register value is in the real register file 217 or in an alias register identified in the ROB 215. Each data commitment table entry includes a first field to identify the real register, a second field to indicate whether the register value is in the corresponding real register (e.g. a Boolean field, a flag, etc.), and a third field to indicate the ROB entry index identifying the alias register storing the register value if the second field indicates that the register value is not in the real register.
  • FIG. 3 illustrates a flow diagram of an exemplary retirement routine [0024] 300 in accordance with an embodiment of the invention. The steps of the retirement routine are taken after a successful execution of an instruction. In step 302, the retirement logic unit 222 sets the executed flag in ROB entry corresponding to the instruction. In step 304, the retirement logic unit 222 determines whether there is a destination real register 217 for the instruction. If there is no destination real register 217 for the instruction, the retirement routine 300 ends. If, on the other hand, there is a destination real register 217 for the instruction, in step 306 the retirement logic unit 222 determines whether the real register 217 is designated to undergo the retirement routine 300 in accordance with the invention (i.e., whether the register is one listed in the data commitment table 216).
  • Not all the real registers of the [0025] processor system 300 need to undergo the new retirement routine in accordance with the invention. It may be desirable to not include some real registers in the new retirement scheme. In such a case, at the time of retirement, the value generated by the executed instruction is copied to the corresponding register. For example, in the X86 processor, the segment and control registers can be excluded. There is only a small possibility that the segment and control registers are updated within the same instruction window (the size of the ROB). Thus, there is little to be gained, since almost every write to these registers will be copied to the real registers when a new instruction is to occupy the corresponding ROB entry. Also, not including all the real registers in the new retirement routine 300 reduces the size of the data commitment table and reduces the overall power consumption. In addition, instruction that writes a value into partial registers may also be excluded from the new retirement routine 300.
  • Accordingly, if in [0026] step 306 the retirement logic unit 222 determines that the real register to be written to is exempt from the new retirement routine 300, then in step 308 the retirement logic unit 222 causes the copying of the resulting data from the alias register to the real register. Otherwise, in step 308, the retirement logic unit 222 causes the setting of the valid data bit in the ROB entry pertaining to that instruction. In step 310, the retirement logic unit 222 reads the committed value location field of the data commitment table 216 corresponding to the real register to determine if the previous register value is in the real register or in an alias register. If the retirement logic unit 222 determines that the previous register value is in an alias register, in step 314 the retirement logic unit 222 causes a deasserting of the valid data bit of the ROB entry pointed to by the data commitment table 216. Then in step 316 the retirement logic unit 222 causes the writing of the ROB entry index of the instant instruction to the ROB entry index field of the data commitment table 216 corresponding to the real register associated with the new data, and modifies the committed data location field to indicate that the register value is in an alias register pointed to by the corresponding ROB entry index field. If, on the other hand, in step 314 the retirement logic unit 222 determines that the previous register value is in the RRF 216, the retirement logic unit 222 just performs the function specified in step 316 as previously discussed.
  • The new retirement routine [0027] 300 saves an alias register-to-real register copying step (relative to the prior art retirement routine) each time the retirement routine 300 performs step 314. This situation occurs when the same real register is written to (actually written to its alias in the ROB) by two or more instructions within the same instruction window (the size of the ROB). This is substantially different than the prior art retirement routine that makes an alias register-to-real register copy each time an instruction retires. Whereas the new retirement routine 300, avoids some of these copies, and in theory, can eliminate essentially 100 percent of the register writes if the code reuses results extensively, e.g. a long series of “inc eax; inc eax; inc eax . . . ”. Accordingly, the reduction in real register copying has the beneficial results of lower power consumption, extended battery life and a less sophisticated cooling system for the processor, among other benefits.
  • FIG. 4 illustrates a flow diagram of an exemplary ROB entry allocating routine [0028] 400 in accordance with an embodiment of the invention. Basically, the allocator 214 first checks to see if the candidate ROB entry for a new instruction has valid data. As previously discussed, an ROB entry can have valid data if within a period of the cyclic ROB, the real register corresponding to the candidate ROB entry was not written to more than once by retired instructions. In this case, before the allocator 214 can use the candidate ROB entry, it has to cause a copying of the alias register of the ROB entry to the corresponding real register 217. Once this has occurred, the allocator 214 can use the candidate ROB entry for the new instruction.
  • Specifically, in [0029] step 402 the allocator 214 locates the next ROB entry n for a new instruction. In step 404, the allocator 214 reads the valid data field of the next ROB entry n to determine whether the corresponding alias register contains valid data. If not, the allocator 214 proceeds to step 412 to add the new instruction into the next ROB entry n. If, however, the valid data field indicates that the next ROB entry n has valid data, in step 406 the allocator 214 causes the content in the alias register of the next ROB entry n to be copied into the corresponding real register 217. In step 408, the allocator 214 deasserts the valid data bit in the next ROB entry n since the new instruction has not been executed, and therefore the next ROB entry n has yet to have valid data. Then in step 410 the allocator 214 modifies the “committed value location” field of the data commitment table 216 to indicate that the register value for the corresponding real register is now in the real register 217. Finally, in step 412 the allocator 214 causes the new instruction to be added into the next ROB entry n.
  • In the case that there has been a branch misprediction, or other control flow altering event, like an exception, all the non-committed registers younger than the branch in the [0030] ROB 215 are invalid. In the prior art processor system, all non-committed register are discarded by setting the renamer tables to point to all the registers last value to the RRF. However, according to the new processor system 200, some of the committed data will reside in the ROB 215. According to the processor system 200 of the invention, this can be dealt with in two manners. The first option is to copy the committed data in the ROB 215 to the RRF 216 in the time the pipeline fills up again. The second option is to make the pointers in the renamer to point to the ROB entry that the data commitment table indicates. For example, if an instruction that writes to the EAX register is committed from the ROB entry index 31, the data commitment table entry corresponding to the EAX will contain the number 31 in the corresponding ROB entry index field. After a branch misprediction, the renamer will now point to the last value of the EAX to ROB entry 31. An instruction that has as a source the register EAX, will gets its source renamed to ROB entry 31, so it will get the correct data.
  • In the case that the [0031] processor system 200 uses micro-ops, temporary registers are used to keep intra-instruction information. The values of these registers are invalid outside the instruction micro-sequence and have no meaning to any micro-instruction that belongs to an instruction different to the one that generated the value. This fact can be used to improve power saving in the processor system 200. Specifically, any time that the last micro-ops of an instruction is retired, the “valid bit” in all the ROB entries corresponding to temporary registers can be reset. These values are not relevant anymore so there is no need to copy them to the RRF.
  • In the foregoing specification, the invention has been described with reference to specific embodiments thereof. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the invention. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense. [0032]

Claims (30)

It is claimed:
1. A method comprising:
providing a reorder buffer comprising a plurality of entries associated respectively with a plurality of instructions;
executing a first instruction of said plurality of instructions which generates a first register value for a first real register, said first register value being stored in a first alias register identified in a first entry of said reorder buffer associated with said first instruction; and
determining whether said first register value should be copied from said first alias register to said first real register approximately at a time when said first entry of said reorder buffer is needed for a second instruction that is younger in order than said first instruction.
2. The method of claim 1, asserting a first valid data field of said first entry of said reorder buffer after said execution of said first instruction but before said determining whether said first register value should be copied from said first alias register to said first real register, said asserted first valid data field indicates that said first register value is valid for copying from said first alias register to said first real register.
3. The method of claim 2, further comprising reading said first valid data field in determining whether said first register value should be copied from said first alias register to said first real register.
4. The method of claim 3, further comprising copying said first register value from said first alias register to said first real register if said first valid data field indicates that said first register value is valid for copying into said first real register.
5. The method of claim 2, further comprising deasserting a second valid data field of a second entry of said reorder buffer, said second entry including a second alias register previously associated with said first real register, said deasserted second valid data field indicates that said second register value is not valid for copying from said second alias register to said first real register.
6. The method of claim 1, further comprising:
providing a data commitment table comprising a plurality of entries associated respectively with a plurality of real registers including a first entry associated with said first real register, said first entry of said data commitment table comprising a committed data location field to indicate if a second register value generated by a third instruction is stored in a second alias register or in said first real register, and a reorder buffer index field to identify a second entry of said reorder buffer containing said second alias register if said second register value is stored in said second alias register;
determining whether said second register value is in said second alias register or in said first real register by reading said committed data location field of said data commitment table;
deasserting a second valid data field of said second entry of said reorder buffer if it is determined that said second register value is in said second alias register, said deasserted indicates that said second register value is not valid for copying from said second alias register to said first real register; and
writing an identifier for said first entry of said reorder buffer in said reorder buffer index field of said first entry of said data commitment table.
7. A processor system comprising:
a reorder buffer comprising a plurality of entries associated respectively with a plurality of instructions;
an execution unit to execute a first instruction of said plurality of instructions which generates a first register value for a first real register, said execution causing said first register value to be stored in a first alias register identified in a first entry of said reorder buffer associated with said first instruction; and
an allocator to add new instructions into said reorder buffer, said allocator determining whether said first register value should be copied from said first alias register to said first real register approximately at a time when said allocator determines that said first entry of said reorder buffer is needed for a second instruction that is younger in order than said first instruction.
8. The processor system of claim 7, further comprising a retirement unit to assert a first valid data field of said first entry of said reorder buffer after said execution unit has executed said first instruction but before said allocator determines whether said first register value should be copied from said first alias register to said first real register, said asserted first valid data field indicates that said first register value is valid for copying from said first alias register to said first real register.
9. The processor system of claim 8, wherein said allocator reads said first valid data field in determining whether said first register value should be copied from said first alias register to said first real register.
10. The processor system of claim 9, wherein said allocator causes a copying of said first register value from said first alias register to said first real register if said first valid data field indicates that said first register value is valid for copying into said first real register.
11. The processor system of claim 8, wherein said retirement unit causes a deasserting of a second valid data field of a second entry of said reorder buffer, said second entry identifying a second alias register previously associated with said first real register, said deasserted second valid data field indicates that said second register value is not valid for copying from said second alias register to said first real register.
12. The processor system of claim 7, further comprising:
a data commitment table comprising a plurality of entries associated respectively with a plurality of real registers including a first entry associated with said first real register, said first entry of said data commitment table comprising a first committed data location field to indicate if a second register value generated by a third instruction is stored in a second alias register associated with said first real register or in said first real register, and a reorder buffer index field to identify a second entry of said reorder buffer identifying said second alias register if said second register value is stored in said second alias register;
wherein said retirement unit determines whether said second register value is in said second alias register or in said first real register by reading said first committed data field of said data commitment table;
wherein said retirement unit causes a deasserting of a second valid data field of said second entry of said reorder buffer if said retirement unit determines that said second register value is in said second alias register, said deasserted indicates that said second register value is not valid for copying from said second alias register to said first real register; and
and wherein said retirement unit causes a writing of an identifier for said first entry of said reorder buffer in said buffer index field of said first entry of said data commitment table.
13. A computer readable medium comprising one or more software modules to:
generate a reorder buffer containing a plurality of entries associated respectively with a plurality of instructions;
execute a first instruction of said plurality of instructions which generates a first register value for a first real register, said first register value being stored in a first alias register identified in a first entry of said reorder buffer associated with said first instruction; and
determine whether said first register value should be copied from said first alias register to said first real register approximately at a time when said first entry of said reorder buffer is needed for a second instruction that is younger in order than said first instruction.
14. The computer readable medium of claim 13, wherein said one or more software modules to further assert a first valid data field of said first entry of said reorder buffer after said execution of said first instruction but before said determining whether said first register value should be copied from said first alias register to said first real register, said asserted first valid data field indicates that said first register value is valid for copying from said first alias register to said first real register.
15. The computer readable medium of claim 14, wherein said one or more software modules to further cause a reading of said first valid data field in determining whether said first register value should be copied from said first alias register to said first real register.
16. The computer readable medium of claim 15, wherein said one or more software modules to further cause a copying of said first register value from said first alias register to said first real register if said first valid data field indicates that said first register value is valid for copying into said first real register.
17. The computer readable medium of claim 14, wherein said one or more software modules to further cause a deasserting of a second valid data field of a second entry of said reorder buffer, said second entry including a second alias register previously associated with said first real register, said deasserted second valid data field indicates that said second register value is not valid for copying from said second alias register to said first real register.
18. The computer readable medium of claim 13, wherein said one or more software modules to further:
provide a data commitment table comprising a plurality of entries associated respectively with a plurality of real registers including a first entry associated with said first real register, said first entry of said data commitment table comprising a committed data location field to indicate if a second register value generated by a third instruction is stored in a second alias register or in said real register, and a reorder buffer index field to identify a second entry of said reorder buffer containing said second alias register if said second register value is stored in said second alias register;
determine whether said second register value is in said second alias register or in said first real register by reading said committed data location field of said data commitment table;
deassert a second valid data field of said second entry of said reorder buffer if it is determined that said second register value is in said second alias register, said deasserted indicates that said second register value is not valid for copying from said second alias register to said first real register; and
write an identifier for said first entry of said reorder buffer in said reorder buffer index field of said first entry of said data commitment table.
19. A method, comprising:
providing a reorder buffer comprising a plurality of entries associated respectively with a plurality of instructions including a first entry associated with a first instruction, said first entry identifying a first alias register containing a first register value for a first real register;
identifying said first entry of said reorder buffer to be associated with a second instruction that is younger in order than said first instruction;
determining whether said first register value is valid for copying from said first alias register to said first real register;
copying said first register value from said first alias register to said first real register if it is determined that said first register value is valid; and
associating said first entry of said reorder buffer with said second instruction.
20. The method of claim 19, wherein said first entry of said first instruction includes a first valid data field to indicate whether said first register value is valid for copying from said first alias register to said first real register, and wherein determining whether said first register value is valid comprises reading said first valid data field.
21. The method of claim 20, further comprising deasserting said first valid data field after determining that said first register value is valid for copying from said first alias register to said first real register.
22. The method of claim 19, further comprising:
providing a data commitment table comprising a plurality of entries associated respectively with a plurality of real registers including a first entry associated with said first real register, said first entry including a committed data location field to indicate whether a committed register value is in said first real register; and
asserting said data location field after it is determined that said first register value is valid for copying from said first alias register to said first real register, said asserted data location field indicating that said first register value is in said first real register.
23. A processor system, comprising:
a reorder buffer comprising a plurality of entries associated respectively with a plurality of instructions including a first entry associated with a first instruction, said first entry identifying a first alias register containing a first register value for a first real register; and
an allocator to:
identify said first entry of said reorder buffer to be associated with a second instruction that is younger in order than said first instruction;
determine whether said first register value is valid for copying from said first alias register to said first real register;
copy said first register value from said first alias register to said first real register if it is determined that said first register value is valid; and
associate said first entry of said reorder buffer with said second instruction.
24. The processor system of claim 23, wherein said first entry of said first instruction includes a first valid data field to indicate whether said first register value is valid for copying from said first alias register to said first real register, and wherein said allocator reads said first valid data field to determine whether said first register value is valid.
25. The processor system of claim 24, wherein said allocator causes a deasserting of said first valid data field after determining that said first register value is valid for copying from said first alias register to said first real register.
26. The processor system of claim 23, further comprising:
a data commitment table comprising a plurality of entries associated respectively with a plurality of real registers including a first entry associated with said first real register, said first entry including a committed data location field to indicate whether a committed register value is in said first real register; and
wherein said allocator asserts said data location field after it is determined that said first register value is valid for copying from said first alias register to said first real register, said asserted data location field indicating that said first register value is in said first real register.
27. A computer readable medium comprising one or more software modules to:
provide a reorder buffer comprising a plurality of entries associated respectively with a plurality of instructions including a first entry associated with a first instruction, said first entry identifying a first alias register containing a first register value for a first real register;
identify said first entry of said reorder buffer to be associated with a second instruction that is younger in order than said first instruction;
determine whether said first register value is valid for copying from said first alias register to said first real register;
copy said first register value from said first alias register to said first real register if it is determined that said first register value is valid; and
associate said first entry of said reorder buffer with said second instruction.
28. The computer readable medium of claim 27, wherein said first entry of said first instruction includes a first valid data field to indicate whether said first register value is valid for copying from said first alias register to said first real register, and wherein one or more software modules causes a reading of said first valid data field in determining whether said first register value is valid.
29. The computer readable medium of claim 28, wherein said one or more software modules causes a deasserting of said first valid data field after said first register value has been determined to be valid for copying from said first alias register to said first real register.
30. The computer readable medium of claim 27, wherein said one or more software modules:
provide a data commitment table comprising a plurality of entries associated respectively with a plurality of real registers including a first entry associated with said first real register, said first entry including a committed data location field to indicate whether a committed register value is in said first real register; and
asserts said data location field after it is determined that said first register value is valid for copying from said first alias register to said first real register, said asserted data location field indicating that said first register value is in said first real register.
US10/039,113 2002-01-02 2002-01-02 System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions Expired - Fee Related US6910121B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/039,113 US6910121B2 (en) 2002-01-02 2002-01-02 System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions
US10/183,096 US7024542B2 (en) 2002-01-02 2002-06-26 System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/039,113 US6910121B2 (en) 2002-01-02 2002-01-02 System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/183,096 Continuation-In-Part US7024542B2 (en) 2002-01-02 2002-06-26 System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions

Publications (2)

Publication Number Publication Date
US20030126410A1 true US20030126410A1 (en) 2003-07-03
US6910121B2 US6910121B2 (en) 2005-06-21

Family

ID=21903752

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/039,113 Expired - Fee Related US6910121B2 (en) 2002-01-02 2002-01-02 System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions

Country Status (1)

Country Link
US (1) US6910121B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009158247A2 (en) * 2008-06-27 2009-12-30 Intel Corporation Power aware retirement
WO2014169032A1 (en) * 2013-04-11 2014-10-16 Intel Corporation Systems and methods for flag tracking in move elimination operations

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9697002B2 (en) 2011-10-03 2017-07-04 International Business Machines Corporation Computer instructions for activating and deactivating operands
US9690583B2 (en) * 2011-10-03 2017-06-27 International Business Machines Corporation Exploiting an architected list-use operand indication in a computer system operand resource pool
US8918626B2 (en) * 2011-11-10 2014-12-23 Oracle International Corporation Prefetching load data in lookahead mode and invalidating architectural registers instead of writing results for retiring instructions
US9904549B2 (en) * 2015-12-26 2018-02-27 Intel Corporation Method and apparatus for loop-invariant instruction detection and elimination

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6314511B2 (en) * 1997-04-03 2001-11-06 University Of Washington Mechanism for freeing registers on processors that perform dynamic out-of-order execution of instructions using renaming registers
US6604190B1 (en) * 1995-06-07 2003-08-05 Advanced Micro Devices, Inc. Data address prediction structure and a method for operating the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6604190B1 (en) * 1995-06-07 2003-08-05 Advanced Micro Devices, Inc. Data address prediction structure and a method for operating the same
US6314511B2 (en) * 1997-04-03 2001-11-06 University Of Washington Mechanism for freeing registers on processors that perform dynamic out-of-order execution of instructions using renaming registers

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009158247A2 (en) * 2008-06-27 2009-12-30 Intel Corporation Power aware retirement
US20090327663A1 (en) * 2008-06-27 2009-12-31 Zeev Sperber Power Aware Retirement
WO2009158247A3 (en) * 2008-06-27 2010-03-04 Intel Corporation Power aware retirement
GB2473345A (en) * 2008-06-27 2011-03-09 Intel Corp Power aware retirement
US7921280B2 (en) 2008-06-27 2011-04-05 Intel Corporation Selectively powered retirement unit using a partitioned allocation array and a partitioned writeback array
GB2473345B (en) * 2008-06-27 2012-12-05 Intel Corp Power aware retirement
WO2014169032A1 (en) * 2013-04-11 2014-10-16 Intel Corporation Systems and methods for flag tracking in move elimination operations
CN105190538A (en) * 2013-04-11 2015-12-23 英特尔公司 Systems and methods for flag tracking in move elimination operations
US9292288B2 (en) 2013-04-11 2016-03-22 Intel Corporation Systems and methods for flag tracking in move elimination operations

Also Published As

Publication number Publication date
US6910121B2 (en) 2005-06-21

Similar Documents

Publication Publication Date Title
US5961636A (en) Checkpoint table for selective instruction flushing in a speculative execution unit
US7568087B2 (en) Partial load/store forward prediction
US7464253B2 (en) Tracking multiple dependent instructions with instruction queue pointer mapping table linked to a multiple wakeup table by a pointer
US7861066B2 (en) Mechanism for predicting and suppressing instruction replay in a processor
US8131976B2 (en) Tracking effective addresses in an out-of-order processor
US8219787B2 (en) Early release of resources by proceeding to retire store operations from exception reporting stage but keeping in load/store queue
US9977674B2 (en) Micro-operation generator for deriving a plurality of single-destination micro-operations from a given predicated instruction
US6594754B1 (en) Mapping destination logical register to physical register storing immediate or renamed source register of move instruction and using mapping counters
US5913048A (en) Dispatching instructions in a processor supporting out-of-order execution
US9454371B2 (en) Micro-architecture for eliminating MOV operations
US6950928B2 (en) Apparatus, method and system for fast register renaming using virtual renaming, including by using rename information or a renamed register
US7373486B2 (en) Partially decoded register renamer
US9535744B2 (en) Method and apparatus for continued retirement during commit of a speculative region of code
US8468325B2 (en) Predicting and avoiding operand-store-compare hazards in out-of-order microprocessors
US7130990B2 (en) Efficient instruction scheduling with lossy tracking of scheduling information
US20030093652A1 (en) Operand file using pointers and reference counters and a method of use
US20050251662A1 (en) Secondary register file mechanism for virtual multithreading
US6910121B2 (en) System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions
WO2018132652A1 (en) Implementation of register renaming, call-return prediction and prefetch
US9552169B2 (en) Apparatus and method for efficient memory renaming prediction using virtual registers
US7024542B2 (en) System and method of reducing the number of copies from alias registers to real registers in the commitment of instructions
US6959377B2 (en) Method and system for managing registers
US5784606A (en) Method and system in a superscalar data processing system for the efficient handling of exceptions
WO2013101323A1 (en) Micro-architecture for eliminating mov operations
US7783863B1 (en) Graceful degradation in a trace-based processor

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SAVRANSKY, GUILLERMO;RONEN, RONNY;REEL/FRAME:012461/0720;SIGNING DATES FROM 20011229 TO 20011230

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20090621