US20010045609A1 - Modified gate conductor processing for poly length control in high density drams - Google Patents

Modified gate conductor processing for poly length control in high density drams Download PDF

Info

Publication number
US20010045609A1
US20010045609A1 US09/325,942 US32594299A US2001045609A1 US 20010045609 A1 US20010045609 A1 US 20010045609A1 US 32594299 A US32594299 A US 32594299A US 2001045609 A1 US2001045609 A1 US 2001045609A1
Authority
US
United States
Prior art keywords
layer
gate
spacers
polysilicon
gate conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/325,942
Other versions
US6346734B2 (en
Inventor
Ramachandra Divakaruni
Mary E. Weybright
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DIVAKARUNI, RAMACHANDRA, WEYBRIGHT, MARY E.
Priority to US09/325,942 priority Critical patent/US6346734B2/en
Priority to TW089105092A priority patent/TW508807B/en
Priority to KR10-2000-0028950A priority patent/KR100369668B1/en
Publication of US20010045609A1 publication Critical patent/US20010045609A1/en
Publication of US6346734B2 publication Critical patent/US6346734B2/en
Application granted granted Critical
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Anticipated expiration legal-status Critical
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer

Definitions

  • This invention relates to a method of forming semiconductor devices and, more particularly, to modified gate conductor processing for polysilicon length control in high density dynamic random access memories or embedded memories.
  • the gate stack is put down.
  • the gate stack consists of polysilicon and WSi x capped with SiN.
  • the SiN is patterned and the etch typically stops in the WSi x , the resist is stripped and the remaining stack etched with the SiN as the hardmask.
  • Post gate sidewall oxidation the SiN spacers are put down followed by a barrier SiN film and BPSG deposition, densification and planarization.
  • a TEOS layer is put down for the damascene bitlines and the bitline contacts are etched borderless to the gates before putting down the bitline wiring layer (generally tungsten).
  • the present invention is directed to further improvements in gate conductor processing.
  • gate conductor processing is modified to control polysilicon length.
  • the process prevents anomalous tungsten silicide oxidation and straightening of tungsten silicide profile for improved array gap fill.
  • the process allows for thinning of a layer of tungsten silicide by making it more tungsten rich.
  • the process allows for modulation of polysilicon length.
  • the process increases margins for borderless contact etch.
  • the process of forming a semiconductor device comprising the steps of providing a semiconductor substrate having a gate dielectric thereon; forming a gate stack on the gate dielectric, the gate stack including a lower layer, and an upper layer on the lower layer; etching the upper layer completely through to the lower layer to provide a gate conductor, with the lower layer having a length greater than a length of the upper layer; and forming spacers on sidewalls of the upper layer substantially flush with the sidewalls of the lower layer.
  • the process of forming a semiconductor device comprising the steps of providing a semiconductor substrate having an oxide layer thereon; forming a gate stack on the oxide layer, the gate stack including a layer of polysilicon on the oxide layer, a gate conductor material layer on the polysilicon layer, and a nitride cap layer on the gate conductor material layer; mask open etching the gate stack by patterning the nitride cap layer and etching completely through the tungsten silicide layer to provide a gate conductor; forming dielectric spacers on the gate conductor overlaying the nitride cap layer and the tungsten silicide layer; and etching the polysilicon layer forming vertical sidewalls substantially flush with the spacers to provide gate conductor sidewalls extending to the oxide layer.
  • thickness of the spacers is modulated to vary thickness of the polysilicon layer underneath.
  • the gate conductor material is a tungsten silicide layer that is relatively tungsten rich to reduce thickness of the tungsten silicide layer or lower the gate resistivity for the same thickness.
  • the spacers prevent anomalous gate conductor material oxidation.
  • the gate conductor material is selected from a group consisting of tungsten silicide, tungsten nitride, tantalum silicide, tantalum silicon nitride or combinations thereof.
  • the spacers provide straightening of the gate conductor material layer profile.
  • the forming step includes forming a barrier layer between the polysilicon layer and the gate conductor material layer.
  • the barrier layer is selected from a group consisting of TiN, WN, TaSi 2 and TaSiN.
  • the forming step comprises depositing a layer of dielectric material over the polysilicon layer and the gate conductor.
  • the dielectric material is selected from a group consisting of nitride, oxide, TEOS or doped oxides like ASG, BSG, PSG or BPSG.
  • the horizontal surfaces of the dielectric layer are etched to form the spacers.
  • a semiconductor device including a semiconductor substrate having a gate dielectric thereon.
  • a gate conductor is provided on the gate dielectric, the gate conductor including a lower layer and an upper layer on the lower layer.
  • the lower layer has a length greater than length of the upper layer. Spacers on sidewalls of the upper layer are substantially flush with sidewalls of the lower layer.
  • a semiconductor device including a semiconductor substrate having an oxide layer thereon.
  • a gate conductor is provided on the oxide layer, the gate conductor including a layer of polysilicon on the oxide layer, a tungsten silicide layer on the polysilicon layer, and a nitride cap layer on the tungsten silicide layer.
  • the polysilicon layer has a length greater than length of the silicide layer and the nitride layer.
  • Dielectric spacers on the gate conductor overlay the nitride cap layer and the tungsten silicide layer to provide a sidewall substantially flush with the polysilicon layer. Exposed polysilicon on the polysilicon layer is oxidized.
  • the invention relates to a process integration technique which allows for a larger gate polysilicon length for a given pitch, thus improving array device leakage (by about one generation) for a given technology.
  • This novel integration technique allows for a larger array pass transistor length.
  • the channel length of the pass transistor is increased by the use of SiN “prespacers” which are spacers formed before the gate polysilicon is etched. This allows for a large array polysilicon length without sacrificing the borderless bitline contact.
  • the modified process exercised on a 175 nm 8F 2 cell is similar to the standard process until the gate mask open step, which is modified to etch completely through the WSi x .
  • the resist is stripped and the WSi x is annealed at about 800° C.
  • SiN spacers are formed on the sidewall and then the polysilicon is etched.
  • the gate sidewalls are then oxidized.
  • the single biggest advantage of the modified process described above is the increased gate length in the array. Increased gate length results in a tighter threshold voltage distribution and thus increased stored charge.
  • a W rich silicide or metal gate is used which allows for a lower gate sheet resistance.
  • the WSi x anneal is needed after the mask open step to prevent anomalous WSi x oxidation which can occur in its absence during the SiN deposition.
  • FIGS. 1 - 5 are a series of cross-sections illustrating a process of forming a semiconductor device in accordance with the invention.
  • a unique and novel method of processing a semiconductor device for controlling polysilicon length in high density dynamic random access memories (DRAMs) or embedded memories is illustrated.
  • Current processing of DRAM structures in an array directly links the lithographic dimensions to polysilicon linewidth, or length. If there is resist webbing, then the increase in the poly length is limited, which directly affects the retention of the DRAM cell.
  • the tungsten silicide (WSi x )thickness is determined by sheet resistance needed for array word lines.
  • W rich suicides cause abnormal oxidation. Therefore, Si rich and less resistive W silicides are commonly used.
  • the WSi x is not exposed to sidewall oxidation.
  • spacers are formed subsequent to the gate conductor mask open etch.
  • the thickness of the polysilicon can be controlled.
  • this layer can be more W rich and reduce stack height and avoid anomalous WSi x oxidation.
  • ASG or PSG prespacers may be used for N-type gates and BSG prespacers may be used for P-type gates.
  • FIG. 1 conventional processing is used for forming a gate conductor stack. This process is described in connection with a trench DRAM cell with self-aligned BuriEd Strap (BEST) cells discussed above.
  • the trench capacitor and shallow trench isolation is formed in a semiconductor substrate 10 .
  • An oxide layer 12 is grown on the substrate 10 .
  • a gate stack 14 is formed on the oxide layer 12 .
  • the gate stack 14 includes a polysilicon layer 16 on the oxide layer 12 , a gate conductor material layer 18 on the polysilicon layer 16 , and a nitride cap layer 20 on the gate conductor material layer 18 .
  • the gate conductor material of the layer 18 comprises WSi x .
  • the conductive material could be tungsten nitride, tantalum silicide, tantalum silicon nitride or combinations thereof.
  • the gate stack 14 is mask open etched by patterning the nitride cap layer 20 , as appropriate for a particular semiconductor device, and etching completely through the WSi x layer 18 to provide a gate conductor 22 having sidewalls 24 , see FIG. 2. This etching stops on the polysilicon layer 16 , as illustrated.
  • a barrier layer as illustrated at 26 in FIG. 2, may be present between the polysilicon layer 16 and the WSi x layer 18 .
  • the barrier layer 26 may be of, for example, TiN, WN, TaSi 2 or TaSiN.
  • the mask open etch could also etch the barrier layer 26 , depending on its resistance to high temperature sidewall oxidation.
  • the etched part is protected by a subsequent nitride layer, as described below. Note that tungsten silicide may be replaced by tungsten, above.
  • Resist used in the masked open etch is then stripped and the wafers cleaned.
  • the WSi x is annealed at about 800° C.
  • the WSi x anneal is needed after the mask open etch step to prevent anomalous WSi x oxidation which can occur in its absence during the subsequent deposition of a dielectric layer 28 , see FIG. 3.
  • the dielectric material could be a thin nitride layer, an oxide layer, TEOS, or doped glass oxides like ASG, BSG, PSG or BPSG. However, an oxide layer is less effective in preventing oxidation. An oxide layer may also be grown by thermal oxidation.
  • the thickness of the dielectric layer 28 is determined by the extent to which the length of the polysilicon layer 16 is to be modulated in subsequent processing. If necessary to compensate only for the poly sidewall oxidation, for example, the thickness of the dielectric layer 28 would be the amount of polysilicon consumed.
  • the dielectric layer 28 is etched, stopping on the polysilicon layer 16 .
  • a mask may be used to block out the support device so the dielectric is broken through only in certain areas.
  • the dielectric layer 28 could be etched and the polysilicon layer 16 also etched, stopping on the gate oxide layer 12 . If the dielectric layer 28 is an oxide, it could easily be removed after the polysilicon layer 16 is etched. If this is a mask etch, then it could provide shorter polysilicon lengths in the supports compared to the array. Oxide spacers also provide the advantage of lower capacitance due to the bitline/contact, and since the poly etch is very selective to oxide anyway, it would provide better CD control.
  • the polysilicon layer 16 is etched separately to form vertical sidewalls 32 substantially flush with the spacers 30 to provide gate conductor sidewalls 32 extending to the oxide layer 12 .
  • the exposed polysilicon on the gate conductor sidewall 32 is oxidized as shown at 34 .
  • the spacer 30 prevents anomalous WSi x oxidation. If the support devices, for example, were blocked with the dielectric, such as nitride, on top of the polysilicon layer 16 , as in FIG.
  • the array and support side wall oxidations can be deconvolved, the support devices being formed later with a block mask, thus allowing shallower support junctions as well as good retention in the array.
  • a particular advantage in the process described herein is the increased gate length in the array. Increased gate length results in a tighter threshold voltage distribution, and thus increased storage charge.
  • the described process is used to form a semiconductor device as illustrated in FIG. 5 in which dielectric spacers 30 on the gate conductor 22 are substantially flush with a polysilicon layer sidewall 34 , the polysilicon layer having a length greater than the length of the silicide layer 18 and the nitride cap layer 20 .
  • modified gate conductor processing is provided for polysilicon length control in high density DRAMs or embedded memories.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A semiconductor device includes a semiconductor substrate having an oxide layer thereon. A gate conductor is provided on the oxide layer, the gate conductor including a layer of polysilicon on the oxide layer, a tungsten silicide layer on the polysilicon layer, and a nitride cap layer on the tungsten silicide layer. The polysilicon layer has a length greater than length of the silicide layer and the nitride layer. Dielectric spacers on the gate conductor overlay the nitride cap layer and the tungsten silicide layer to provide a sidewall substantially flush with the polysilicon layer. Exposed polysilicon on the polysilicon layer is oxidized.

Description

    FIELD OF THE INVENTION
  • This invention relates to a method of forming semiconductor devices and, more particularly, to modified gate conductor processing for polysilicon length control in high density dynamic random access memories or embedded memories. [0001]
  • BACKGROUND OF THE INVENTION
  • The channel length of the DRAM transfer gate device continues to shrink aggressively. Conventional scaling techniques are limited in their applicability for the low leakage DRAM transfer device. There is thus a need for novel integration schemes that allow for continued cell shrinkage with only limited shrinking of the channel length. [0002]
  • As the DRAM cell size has decreased, the transfer gate has consequently shrunk with it. Earlier cell sizes (>8F[0003] 2) allowed for wiggled gates to keep the array transistor off leakage to a minimum. With the onset of 8F2 cells with equal lines and spaces at minimum F in the wordline direction, there is a need to provide for larger transfer gate lengths of the array pass transistor by non-lithographic techniques. Conventional scaling techniques use shallow junctions (limited by surface leakage and charge writeback characteristics), high channel doping concentrations or halo implants which increase leakage and are thus not easy to incorporate in a DRAM process.
  • One known process is based on the BEST (BuriEd Strap) cell modified for 8F[0004] 2. Once the trench capacitor and shallow trench isolation is formed the gate stack is put down. Typically, the gate stack consists of polysilicon and WSix capped with SiN. During the gate mask open step, the SiN is patterned and the etch typically stops in the WSix, the resist is stripped and the remaining stack etched with the SiN as the hardmask. Post gate sidewall oxidation, the SiN spacers are put down followed by a barrier SiN film and BPSG deposition, densification and planarization. A TEOS layer is put down for the damascene bitlines and the bitline contacts are etched borderless to the gates before putting down the bitline wiring layer (generally tungsten).
  • The present invention is directed to further improvements in gate conductor processing. [0005]
  • SUMMARY OF THE INVENTION
  • In accordance with the invention, gate conductor processing is modified to control polysilicon length. [0006]
  • In accordance with one aspect of the invention, the process prevents anomalous tungsten silicide oxidation and straightening of tungsten silicide profile for improved array gap fill. [0007]
  • In accordance with a further aspect of the invention, the process allows for thinning of a layer of tungsten silicide by making it more tungsten rich. [0008]
  • In accordance with yet another aspect of the invention, the process allows for modulation of polysilicon length. [0009]
  • In accordance with still a further aspect of the invention, the process increases margins for borderless contact etch. [0010]
  • Broadly, there is disclosed herein the process of forming a semiconductor device comprising the steps of providing a semiconductor substrate having a gate dielectric thereon; forming a gate stack on the gate dielectric, the gate stack including a lower layer, and an upper layer on the lower layer; etching the upper layer completely through to the lower layer to provide a gate conductor, with the lower layer having a length greater than a length of the upper layer; and forming spacers on sidewalls of the upper layer substantially flush with the sidewalls of the lower layer. [0011]
  • More particularly, there is disclosed herein the process of forming a semiconductor device comprising the steps of providing a semiconductor substrate having an oxide layer thereon; forming a gate stack on the oxide layer, the gate stack including a layer of polysilicon on the oxide layer, a gate conductor material layer on the polysilicon layer, and a nitride cap layer on the gate conductor material layer; mask open etching the gate stack by patterning the nitride cap layer and etching completely through the tungsten silicide layer to provide a gate conductor; forming dielectric spacers on the gate conductor overlaying the nitride cap layer and the tungsten silicide layer; and etching the polysilicon layer forming vertical sidewalls substantially flush with the spacers to provide gate conductor sidewalls extending to the oxide layer. [0012]
  • It is a feature of the invention that thickness of the spacers is modulated to vary thickness of the polysilicon layer underneath. [0013]
  • It is another feature of the invention that the gate conductor material is a tungsten silicide layer that is relatively tungsten rich to reduce thickness of the tungsten silicide layer or lower the gate resistivity for the same thickness. [0014]
  • It is an additional feature of the invention that the spacers prevent anomalous gate conductor material oxidation. [0015]
  • It is still another feature of the invention that the gate conductor material is selected from a group consisting of tungsten silicide, tungsten nitride, tantalum silicide, tantalum silicon nitride or combinations thereof. [0016]
  • It is a further feature of the invention that the spacers provide straightening of the gate conductor material layer profile. [0017]
  • It is yet another feature of the invention that the forming step includes forming a barrier layer between the polysilicon layer and the gate conductor material layer. The barrier layer is selected from a group consisting of TiN, WN, TaSi[0018] 2 and TaSiN.
  • It is yet an additional feature of the invention that the forming step comprises depositing a layer of dielectric material over the polysilicon layer and the gate conductor. The dielectric material is selected from a group consisting of nitride, oxide, TEOS or doped oxides like ASG, BSG, PSG or BPSG. The horizontal surfaces of the dielectric layer are etched to form the spacers. [0019]
  • In accordance with another aspect of the invention there is disclosed a semiconductor device including a semiconductor substrate having a gate dielectric thereon. A gate conductor is provided on the gate dielectric, the gate conductor including a lower layer and an upper layer on the lower layer. The lower layer has a length greater than length of the upper layer. Spacers on sidewalls of the upper layer are substantially flush with sidewalls of the lower layer. [0020]
  • In accordance with still another aspect of the invention there is disclosed a semiconductor device including a semiconductor substrate having an oxide layer thereon. A gate conductor is provided on the oxide layer, the gate conductor including a layer of polysilicon on the oxide layer, a tungsten silicide layer on the polysilicon layer, and a nitride cap layer on the tungsten silicide layer. The polysilicon layer has a length greater than length of the silicide layer and the nitride layer. Dielectric spacers on the gate conductor overlay the nitride cap layer and the tungsten silicide layer to provide a sidewall substantially flush with the polysilicon layer. Exposed polysilicon on the polysilicon layer is oxidized. [0021]
  • More particularly, the invention relates to a process integration technique which allows for a larger gate polysilicon length for a given pitch, thus improving array device leakage (by about one generation) for a given technology. This novel integration technique allows for a larger array pass transistor length. The channel length of the pass transistor is increased by the use of SiN “prespacers” which are spacers formed before the gate polysilicon is etched. This allows for a large array polysilicon length without sacrificing the borderless bitline contact. [0022]
  • The modified process exercised on a 175 nm 8F[0023] 2 cell is similar to the standard process until the gate mask open step, which is modified to etch completely through the WSix. The resist is stripped and the WSix is annealed at about 800° C. SiN spacers are formed on the sidewall and then the polysilicon is etched. The gate sidewalls are then oxidized.
  • The single biggest advantage of the modified process described above is the increased gate length in the array. Increased gate length results in a tighter threshold voltage distribution and thus increased stored charge. In addition it is to be noted that since the WSi[0024] x is encapsulated in SiN and is not exposed to the oxidation, a W rich silicide or metal gate is used which allows for a lower gate sheet resistance. The WSix anneal is needed after the mask open step to prevent anomalous WSix oxidation which can occur in its absence during the SiN deposition.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. [0025] 1-5 are a series of cross-sections illustrating a process of forming a semiconductor device in accordance with the invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • A unique and novel method of processing a semiconductor device for controlling polysilicon length in high density dynamic random access memories (DRAMs) or embedded memories is illustrated. Current processing of DRAM structures in an array directly links the lithographic dimensions to polysilicon linewidth, or length. If there is resist webbing, then the increase in the poly length is limited, which directly affects the retention of the DRAM cell. Also, the tungsten silicide (WSi[0026] x)thickness is determined by sheet resistance needed for array word lines. During conventional sidewall oxidation, W rich suicides cause abnormal oxidation. Therefore, Si rich and less resistive W silicides are commonly used. In accordance with the invention, the WSix is not exposed to sidewall oxidation. This allows for the use of more tungsten rich silicides of lower resistivity or even allows for the use of tungsten metal. This reduces the gate height. The lower stack height as well as the more straight profile of a non-oxidized WSix layer allows improved gap fill and lower post gate conductor thermal budget for improved support device performance.
  • For improved borderless contact margin, since the top of a gate stack is more severely exposed to the borderless contact etch, it is preferable to have a thicker borderless contact barrier at the top compared to the bottom. For the present etches which are selective to nitride, a thicker nitride at the top is preferable. [0027]
  • In accordance with the invention, spacers are formed subsequent to the gate conductor mask open etch. By modulating the thickness of the spacers, the thickness of the polysilicon can be controlled. Also, since the spacers cover the WSi[0028] x layer, this layer can be more W rich and reduce stack height and avoid anomalous WSix oxidation.
  • An alternative embodiment of the process may be used to form dual work function gates, as will be apparent to those skilled in the art. In brief, ASG or PSG prespacers may be used for N-type gates and BSG prespacers may be used for P-type gates. [0029]
  • Referring initially to FIG. 1, conventional processing is used for forming a gate conductor stack. This process is described in connection with a trench DRAM cell with self-aligned BuriEd Strap (BEST) cells discussed above. The trench capacitor and shallow trench isolation is formed in a [0030] semiconductor substrate 10. An oxide layer 12 is grown on the substrate 10. A gate stack 14 is formed on the oxide layer 12. The gate stack 14 includes a polysilicon layer 16 on the oxide layer 12, a gate conductor material layer 18 on the polysilicon layer 16, and a nitride cap layer 20 on the gate conductor material layer 18.
  • In the illustrated embodiment of the invention, the gate conductor material of the [0031] layer 18 comprises WSix. Alternatively, the conductive material could be tungsten nitride, tantalum silicide, tantalum silicon nitride or combinations thereof.
  • The [0032] gate stack 14 is mask open etched by patterning the nitride cap layer 20, as appropriate for a particular semiconductor device, and etching completely through the WSix layer 18 to provide a gate conductor 22 having sidewalls 24, see FIG. 2. This etching stops on the polysilicon layer 16, as illustrated. In some instances a barrier layer, as illustrated at 26 in FIG. 2, may be present between the polysilicon layer 16 and the WSix layer 18. The barrier layer 26 may be of, for example, TiN, WN, TaSi2 or TaSiN. The mask open etch could also etch the barrier layer 26, depending on its resistance to high temperature sidewall oxidation. The etched part is protected by a subsequent nitride layer, as described below. Note that tungsten silicide may be replaced by tungsten, above.
  • Resist used in the masked open etch is then stripped and the wafers cleaned. The WSi[0033] x is annealed at about 800° C. The WSix anneal is needed after the mask open etch step to prevent anomalous WSix oxidation which can occur in its absence during the subsequent deposition of a dielectric layer 28, see FIG. 3. The dielectric material could be a thin nitride layer, an oxide layer, TEOS, or doped glass oxides like ASG, BSG, PSG or BPSG. However, an oxide layer is less effective in preventing oxidation. An oxide layer may also be grown by thermal oxidation. The thickness of the dielectric layer 28 is determined by the extent to which the length of the polysilicon layer 16 is to be modulated in subsequent processing. If necessary to compensate only for the poly sidewall oxidation, for example, the thickness of the dielectric layer 28 would be the amount of polysilicon consumed.
  • Horizontal surfaces of the [0034] thin dielectric layer 28 are etched, as shown in FIG. 4, to form dielectric spacers 30 on the gate conductor sidewalls 24. In the illustrated embodiment, the dielectric layer 28 is etched, stopping on the polysilicon layer 16. A mask may be used to block out the support device so the dielectric is broken through only in certain areas. Alternatively, the dielectric layer 28 could be etched and the polysilicon layer 16 also etched, stopping on the gate oxide layer 12. If the dielectric layer 28 is an oxide, it could easily be removed after the polysilicon layer 16 is etched. If this is a mask etch, then it could provide shorter polysilicon lengths in the supports compared to the array. Oxide spacers also provide the advantage of lower capacitance due to the bitline/contact, and since the poly etch is very selective to oxide anyway, it would provide better CD control.
  • Otherwise, the [0035] polysilicon layer 16 is etched separately to form vertical sidewalls 32 substantially flush with the spacers 30 to provide gate conductor sidewalls 32 extending to the oxide layer 12. The exposed polysilicon on the gate conductor sidewall 32 is oxidized as shown at 34. The spacer 30 prevents anomalous WSix oxidation. If the support devices, for example, were blocked with the dielectric, such as nitride, on top of the polysilicon layer 16, as in FIG. 3 (they would have been blocked off by a mask during the nitride breakthrough etch in the array), the array and support side wall oxidations can be deconvolved, the support devices being formed later with a block mask, thus allowing shallower support junctions as well as good retention in the array.
  • A particular advantage in the process described herein is the increased gate length in the array. Increased gate length results in a tighter threshold voltage distribution, and thus increased storage charge. [0036]
  • The described process is used to form a semiconductor device as illustrated in FIG. 5 in which [0037] dielectric spacers 30 on the gate conductor 22 are substantially flush with a polysilicon layer sidewall 34, the polysilicon layer having a length greater than the length of the silicide layer 18 and the nitride cap layer 20.
  • Thus, in accordance with the invention, modified gate conductor processing is provided for polysilicon length control in high density DRAMs or embedded memories. [0038]

Claims (23)

We claim:
1. The process of forming a semiconductor device comprising the steps of:
providing a semiconductor substrate having a gate dielectric thereon;
forming a gate stack on the gate dielectric, the gate stack including a lower layer on the gate dielectric, and an upper layer on the lower layer;
etching the gate stack completely through the upper layer to the lower layer to provide a gate conductor, the lower layer having greater length than a length of the upper layer; and
forming dielectric spacers on sidewalls of the upper layer, said spacers being substantially flush with sidewalls of the lower layer.
2. The process of
claim 1
wherein thickness of the spacers is modulated to vary thickness of the lower layer underneath.
3. The process of forming a semiconductor device comprising the steps of:
providing a semiconductor substrate having an oxide layer thereon;
forming a gate stack on the oxide layer, the gate stack including a layer of polysilicon on the oxide layer, a conductor material layer on the polysilicon layer and a nitride cap layer on the conductor material layer;
mask open etching the gate stack by patterning the nitride cap layer and etching completely through the conductor material layer to provide a gate conductor;
forming dielectric spacers on the gate conductor overlaying the nitride cap layer and the conductor material layer; and
etching the polysilicon layer forming vertical sidewalls substantially flush with the spacers to provide gate conductor sidewalls extending to the oxide layer.
4. The process of
claim 3
wherein thickness of the spacers is modulated to vary thickness of the polysilicon layer underneath.
5. The process of
claim 3
wherein the gate conductor material comprises a tungsten silicide layer that is relatively tungsten rich to reduce thickness of the tungsten silicide layer or to lower gate resistivity.
6. The process of
claim 3
wherein the spacers prevent anomalous gate conductor material oxidation.
7. The process of
claim 3
wherein the spacers provide straightening of the gate conductor material layer profile.
8. The process of
claim 3
wherein the forming step includes forming a barrier layer between the polysilicon layer and the gate conductor material layer.
9. The process of
claim 8
wherein the barrier layer is selected from a group consisting of TiN, WN, TaSi2, and TaSiN.
10. The process of
claim 3
wherein the gate conductor material is selected from a group consisting of tungsten silicide, tungsten nitride, tantalum silicide, tantalum silicon nitride or combinations thereof.
11. The process of
claim 3
wherein the forming step comprises depositing a layer of dielectric material over the polysilicon layer and the gate conductor.
12. The process of
claim 11
wherein the dielectric material is selected from a group consisting of nitride and oxide, TEOS or doped glass.
13. The process of
claim 11
wherein the forming step further comprises the step of etching horizontal surfaces of the dielectric layer to form the spacers.
14. A semiconductor device comprising:
a semiconductor substrate having a gate dielectric thereon;
a gate conductor overlaying the gate dielectric, the gate conductor including a lower layer, and an upper layer on the lower layer, the lower layer having a length greater than a length of the upper layer; and
spacers located on sidewalls of the upper layer, said spacers being substantially flush with sidewalls of the lower layer.
15. The semiconductor device of
claim 14
wherein thickness of the spacers is modulated to vary thickness of the lower layer.
16. A semiconductor device comprising:
a semiconductor substrate having an oxide layer thereon;
a gate conductor on the oxide layer, the gate conductor including a layer of polysilicon on the oxide layer, a tungsten silicide layer on the polysilicon layer and a nitride cap layer on the tungsten silicide layer, the polysilicon layer having a length greater than length of the silicide layer and the nitride layer;
dielectric spacers on the gate conductor sidewalls overlaying the nitride cap layer and the tungsten silicide layer to provide a sidewall substantially flush with the polysilicon layer; and
exposed polysilicon in the polysilicon layer being oxidized.
17. The semiconductor device of
claim 16
wherein thickness of the spacers is modulated to vary thickness of the polysilicon layer.
18. The semiconductor device of
claim 16
wherein the tungsten silicide layer is relatively tungsten rich to reduce thickness of the tungsten silicide layer or reduce gate resistivity.
19. The semiconductor device of
claim 16
wherein the spacers prevent anomalous tungsten silicide oxidation.
20. The semiconductor device of
claim 16
wherein the spacers provide straightening of the tungsten silicide layer profile.
21. The semiconductor device of
claim 16
further comprising a barrier layer between the polysilicon layer and the tungsten silicide layer.
22. The semiconductor device of
claim 21
wherein the barrier layer is selected from a group consisting of TiN, TaSi2, WN and TaSiN.
23. The semiconductor device of
claim 16
wherein the dielectric material is selected from a group consisting of nitride, oxide, TEOS or doped glass.
US09/325,942 1999-06-04 1999-06-04 Modified gate conductor processing for poly length control in high density DRAMS Expired - Lifetime US6346734B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/325,942 US6346734B2 (en) 1999-06-04 1999-06-04 Modified gate conductor processing for poly length control in high density DRAMS
TW089105092A TW508807B (en) 1999-06-04 2000-03-20 Modified gate conductor processing for poly length control in high density drams
KR10-2000-0028950A KR100369668B1 (en) 1999-06-04 2000-05-29 MODIFIED GATE CONDUCTOR PROCESSING FOR POLY LENGTH CONTROL IN HIGH DENSITY DRAMs

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/325,942 US6346734B2 (en) 1999-06-04 1999-06-04 Modified gate conductor processing for poly length control in high density DRAMS

Publications (2)

Publication Number Publication Date
US20010045609A1 true US20010045609A1 (en) 2001-11-29
US6346734B2 US6346734B2 (en) 2002-02-12

Family

ID=23270101

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/325,942 Expired - Lifetime US6346734B2 (en) 1999-06-04 1999-06-04 Modified gate conductor processing for poly length control in high density DRAMS

Country Status (3)

Country Link
US (1) US6346734B2 (en)
KR (1) KR100369668B1 (en)
TW (1) TW508807B (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001196326A (en) * 2000-01-11 2001-07-19 Tokyo Electron Ltd Method of forming tungsten silicide film and method of manufacturing gate electrode/wiring
US6720630B2 (en) * 2001-05-30 2004-04-13 International Business Machines Corporation Structure and method for MOSFET with metallic gate electrode
DE10153619B4 (en) * 2001-10-31 2004-07-29 Infineon Technologies Ag Method for producing a gate layer stack for an integrated circuit arrangement and integrated circuit arrangement
US6894353B2 (en) 2002-07-31 2005-05-17 Freescale Semiconductor, Inc. Capped dual metal gate transistors for CMOS process and method for making the same
US7049245B2 (en) * 2003-09-12 2006-05-23 Promos Technologies, Inc. Two-step GC etch for GC profile and process window improvement
US7030012B2 (en) * 2004-03-10 2006-04-18 International Business Machines Corporation Method for manufacturing tungsten/polysilicon word line structure in vertical DRAM
US7381610B2 (en) * 2005-11-04 2008-06-03 International Business Machines Corporation Semiconductor transistors with contact holes close to gates
US20080246099A1 (en) * 2007-04-09 2008-10-09 Ajith Varghese Low temperature poly oxide processes for high-k/metal gate flow
TWI466271B (en) * 2010-07-05 2014-12-21 Macronix Int Co Ltd Transistor having an adjustable gate resistance and semiconductor device comprising the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5925918A (en) * 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity

Also Published As

Publication number Publication date
KR100369668B1 (en) 2003-01-29
TW508807B (en) 2002-11-01
KR20010020918A (en) 2001-03-15
US6346734B2 (en) 2002-02-12

Similar Documents

Publication Publication Date Title
US6184549B1 (en) Trench storage dynamic random access memory cell with vertical transfer device
US7518184B2 (en) DRAM access transistor
US5909044A (en) Process for forming a high density semiconductor device
US5945707A (en) DRAM cell with grooved transfer device
US7170124B2 (en) Trench buried bit line memory devices and methods thereof
KR100571356B1 (en) Transistors with polysilicon-silicide gates and methods of manufacturing the same
US7518175B2 (en) Semiconductor memory device and method for fabricating the same
US7122429B2 (en) Semiconductor memory and method of manufacturing the same
US6555862B1 (en) Self-aligned buried strap for vertical transistors
US6346734B2 (en) Modified gate conductor processing for poly length control in high density DRAMS
KR100562650B1 (en) Method for fabrication of semiconductor device
US20010054729A1 (en) Gate prespacers for high density, high performance drams
US6281084B1 (en) Disposable spacers for improved array gapfill in high density DRAMs
KR100545144B1 (en) Reliable policide gate stack with reduced sheet resistance
US6376324B1 (en) Collar process for reduced deep trench edge bias
US6670667B2 (en) Asymmetric gates for high density DRAM
US6541810B2 (en) Modified vertical MOSFET and methods of formation thereof
US6960523B2 (en) Method of reducing erosion of a nitride gate cap layer during reactive ion etch of nitride liner layer for bit line contact of DRAM device
US6333220B1 (en) Method and apparatus for providing low-GIDL dual workfunction gate doping with borderless diffusion contact
EP0621632A1 (en) Trench capacitor dram
US6765248B2 (en) Field effect transistor and fabrication method
US20030086308A1 (en) Semiconductor memory device having a trench capacitor and a manufacturing method thereof
Divakaruni et al. Gate prespacers for high density DRAMs
US20040259368A1 (en) Method for forming a bottle-shaped trench
JP2003037185A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DIVAKARUNI, RAMACHANDRA;WEYBRIGHT, MARY E.;REEL/FRAME:010017/0177

Effective date: 19990603

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 12

SULP Surcharge for late payment

Year of fee payment: 11

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117