US20010035582A1 - Hard mask for copper plasma etch - Google Patents

Hard mask for copper plasma etch Download PDF

Info

Publication number
US20010035582A1
US20010035582A1 US09/318,474 US31847499A US2001035582A1 US 20010035582 A1 US20010035582 A1 US 20010035582A1 US 31847499 A US31847499 A US 31847499A US 2001035582 A1 US2001035582 A1 US 2001035582A1
Authority
US
United States
Prior art keywords
layer
metal
metal layer
hard mask
masking
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/318,474
Other versions
US6355979B2 (en
Inventor
Mark Richard Tesauro
Peter D. Nunan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics lnc USA
Original Assignee
STMicroelectronics lnc USA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by STMicroelectronics lnc USA filed Critical STMicroelectronics lnc USA
Priority to US09/318,474 priority Critical patent/US6355979B2/en
Assigned to STMICROELECTRONICS, INC. reassignment STMICROELECTRONICS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NUNAN, PETER D., TESAURO, MARK R.
Priority to US09/969,194 priority patent/US6797640B2/en
Publication of US20010035582A1 publication Critical patent/US20010035582A1/en
Application granted granted Critical
Publication of US6355979B2 publication Critical patent/US6355979B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to integrated circuit structures and fabrication methods; and in particular to integrated circuit fabrication methods utilizing a copper plasma etch process.
  • Plasma etch processes for copper metal layers have been demonstrated using a conventional photolithographic resist mask at temperatures as low as 60° C. (see, e.g., K. S. Choi, C. H. Han, J. Electrochem Soc., V. 145, No. 3, March 1998, which is hereby incorporated by reference).
  • a hard mask e.g., a silicon dioxide or silicon nitride film
  • the hard mask would be deposited as a blanket layer on the Cu metal layer and itself be patterned and etched with a conventional photolithographic resist pattern.
  • the hard mask etch could either be stopped shortly before the Cu surface is exposed or, less preferably, when the Cu surface is exposed. Halting the hard mask etch before the Cu surface is exposed facilitates the use of conventional cleaning processes following the hard mask etch.
  • the remaining thin layer of hard mask can be etched through during the beginning of the Cu metal etch process. Any remaining hard mask on the Cu metal layer can form a part of a new dielectric layer.
  • FIGS. 1 - 4 show a partially fabricated semiconductor structure after several sequential deposition steps in a formation process in accordance with a preferred embodiment of the invention
  • FIGS. 5 A- 5 B depict a partially fabricated semiconductor structure after preferred and alternate hard mask etch steps in a process in accordance with a preferred embodiment of the invention
  • FIG. 6 shows a partially fabricated semiconductor structure after a resist removal step in a process in accordance with a preferred embodiment of the invention
  • FIG. 7 depicts a partially fabricated semiconductor structure after a metal etch step in a process in accordance with a preferred embodiment of the invention
  • FIG. 8 shows a partially fabricated semiconductor structure after an interlevel dielectric deposition step in a process in accordance with a preferred embodiment of the invention
  • FIG. 9 shows a partially fabricated semiconductor structure after a CMP polishing step in a process in accordance with a preferred embodiment of the invention
  • FIGS. 10 A- 10 C show a partially fabricated semiconductor structures after various steps in a process in accordance with an alternate embodiment of the invention.
  • FIG. 11 depicts a flowchart of a semiconductor formation process in accordance with a preferred embodiment of the invention.
  • a dielectric hard mask for copper metal etch can eliminate organic polymer formation during the metal etch process.
  • the preferred embodiment is particularly effective in this respect when only a partial etch of the hardmask material is completed before the metal etch process.
  • a conventional interlevel dielectric 5 is first provided, as in FIG. 1.
  • the ILD is shown here as a first (bottom-most) layer, this structure may be deposited on top of other layers.
  • the dielectric can be any conventional material, but it is preferred that the ILD be compatible with the hardmask described below.
  • a copper metal layer 10 is deposited on the ILD 5 , using conventional means, as shown in FIG. 2.
  • copper for the metal layer
  • this may be replaced by other suitable metals, such as aluminum, tungsten, or titanium nitride.
  • suitable metals such as aluminum, tungsten, or titanium nitride.
  • some choices of metal layers would not necessarily require the disclosed process, but the process can nevertheless be effectively used with these metals.
  • the hard mask material 15 which is, e.g., silicon oxide or silicon nitride, is deposited over the metal layer 10 , as shown in FIG. 3.
  • the hard mask is then overlaid with an organic resist pattern, as shown in FIG. 4.
  • the hardmask 15 is then etched using typical plasma dielectric etch processes to a point, in the preferred embodiment, just prior to exposing the surface of metal layer 10 , as shown in FIG. 5A.
  • highly aggressive conventional post-etch cleaning procedures can be used to remove the remaining resist and polymers (and any other organic residues) prior to metal etch, as shown in FIG. 6.
  • the etch is continued until the underlying metal layer is exposed, as shown in FIG. 5B.
  • the subsequent metal etch process in the preferred embodiment, consists of an initial hard mask etch step which ideally has a selectivity between the metal and oxide film of unity, i.e., both films are etched at the same rate.
  • the complete removal of the residual hard mask material can be assured before progressing to a second process step with a higher selectivity to the hard mask material and, ideally, a higher etch rate of the metal material, which may be, e.g., Cu, Al, W, etc., as shown in FIG. 7.
  • the hardmask many different materials can be used for the hardmask, including Si3N4, SiO2, and others, but it is preferred that the hardmask material be one that can be readily incorporated into the ILD stack.
  • the upper ILD which now incorporates the remaining hard mask, can be planarized using conventional chemical/mechanical polishing (CMP) techniques.
  • CMP chemical/mechanical polishing
  • FIGS. 10 A- 10 C in many cases an adhesion layer of a different metal will be needed in-between the metal layer and the hardmask layer or between the metal layer and the underlying film layer.
  • This adhesion layer can also serve as an etch-stop during the hard mask etch. This is especially beneficial if the adhesion material, e.g. TiN or TaN, is resistant to the chemical cleans necessary to remove organic polymers following the hard mask etch process.
  • Alternative embodiments which include these adhesion layers are shown in FIGS. 10 A- 10 C.
  • FIG. 10A corresponds to FIG. 4
  • FIG. 10B corresponds to FIG. 7
  • FIG. 10C corresponds to FIG. 9.
  • many materials can be used for the adhesion material, including TiN, TaN, TiAlN, TiSiN, WNx, WSiN, TiWN, TaNx, TaSiN, CrN, and CrAiN.
  • an interlevel dielectric layer is provided (step 1100 ).
  • an optional adhesion layer is deposited on the ILD (step 1105 ), and the copper metal layer is deposited (step 1110 ).
  • Another optional adhesion layer is placed on the copper (step 1115 ), and the metal (and optional adhesion layer, if present) is covered by the hard mask material (step 1120 ).
  • the hard mask is then patterned with resist (step 1130 ).
  • the hard mask is etched using a conventional photolithographic method (step 1140 ), to a point just short of exposing the metal layer.
  • the amount of hard mask material left over the metal layer will depend on the uniformity of the films and the plasma etch removal process, as well as the dielectric consumption (if any) of the following cleaning steps to remove the organic polymer materials. It should be thin enough so that it can be effectively removed during the following metal etch step (step 1160 , below). Therefore, the precise thickness of the remaining hardmask is process-dependent, but can be easily determined by one of skill in the art without any undue experimentation.
  • the photoresist and polymer are then removed with conventional cleaning processes (step 1150 ).
  • the metal layer is etched using the hard mask pattern, removing any remaining hard mask material covering the metal layer at areas which were not protected by the photoresist (step 1160 ), completing the metal etch process.
  • a new ILD layer can then be deposited over the structure, and any remaining hard mask over the metal line will become part of the new ILD (step 1170 ). Finally, the new ILD can be planarized to prepare it for another metal layer (or other structure) (step 1180 ).

Abstract

A hard mask, e.g., a silicon dioxide or silicon nitride film, is used to avoid organic polymer materials in copper plasma etch applications. The hard mask would be deposited as a blanket layer on the Cu metal layer and itself be patterned and etched with a conventional photolithographic resist pattern. The hard mask etch is stopped shortly before the Cu surface is exposed. Halting the hard mask etch before the Cu surface is exposed facilitates the use of conventional cleaning processes following the hard mask etch. The remaining thin layer of hard mask can be etched through during the beginning of the Cu metal etch process. Any remaining hard mask deposited on the Cu metal layer can form a part of a new dielectric layer.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to integrated circuit structures and fabrication methods; and in particular to integrated circuit fabrication methods utilizing a copper plasma etch process. [0002]
  • 2. Description of the Prior Art: [0003]
  • Low temperature (<80 C) plasma etch processes for copper (Cu) metal etch applications have been demonstrated and are in development for semiconductor manufacturing applications. The use of conventional photolithographic processing with organic photo resists for such etch processes produces the typical etch byproducts: organic polymers which incorporate the components of the films being etched. The removal of these polymers is especially problematic in the case of copper metal etch due to the reactivity of this material and the associated corrosion effects of aggressive cleaning technologies and solvents. [0004]
  • Plasma etch processes for copper metal layers have been demonstrated using a conventional photolithographic resist mask at temperatures as low as 60° C. (see, e.g., K. S. Choi, C. H. Han, J. Electrochem Soc., V. 145, No. 3, March 1998, which is hereby incorporated by reference). [0005]
  • One problem encountered in using conventional plasma etch on resist pattern processing is the post etch clean. Conventional resists are polymerized during the etch process, producing by-products with incorporate components of the films being etched. These tainted polymers are often difficult to remove, requiring products which incorporate aggressive solvents and plasma etch processes which are incompatible with the copper. [0006]
  • SUMMARY OF THE INVENTION
  • For copper plasma etch applications, a hard mask, e.g., a silicon dioxide or silicon nitride film, is used to avoid organic polymer materials. The hard mask would be deposited as a blanket layer on the Cu metal layer and itself be patterned and etched with a conventional photolithographic resist pattern. The hard mask etch could either be stopped shortly before the Cu surface is exposed or, less preferably, when the Cu surface is exposed. Halting the hard mask etch before the Cu surface is exposed facilitates the use of conventional cleaning processes following the hard mask etch. The remaining thin layer of hard mask can be etched through during the beginning of the Cu metal etch process. Any remaining hard mask on the Cu metal layer can form a part of a new dielectric layer. [0007]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The novel features believed characteristic of the invention are set forth in the appended claims. The invention itself however, as well as a preferred mode of use, and further objects and advantages thereof, will best be understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein: [0008]
  • FIGS. [0009] 1-4 show a partially fabricated semiconductor structure after several sequential deposition steps in a formation process in accordance with a preferred embodiment of the invention;
  • FIGS. [0010] 5A-5B depict a partially fabricated semiconductor structure after preferred and alternate hard mask etch steps in a process in accordance with a preferred embodiment of the invention;
  • FIG. 6 shows a partially fabricated semiconductor structure after a resist removal step in a process in accordance with a preferred embodiment of the invention; [0011]
  • FIG. 7 depicts a partially fabricated semiconductor structure after a metal etch step in a process in accordance with a preferred embodiment of the invention; [0012]
  • FIG. 8 shows a partially fabricated semiconductor structure after an interlevel dielectric deposition step in a process in accordance with a preferred embodiment of the invention; [0013]
  • FIG. 9 shows a partially fabricated semiconductor structure after a CMP polishing step in a process in accordance with a preferred embodiment of the invention; [0014]
  • FIGS. [0015] 10A-10C show a partially fabricated semiconductor structures after various steps in a process in accordance with an alternate embodiment of the invention; and
  • FIG. 11 depicts a flowchart of a semiconductor formation process in accordance with a preferred embodiment of the invention. [0016]
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The following description details the operation and features of several preferred embodiments of the present invention, but it will be understood by those of skill in the art that the scope of the invention is defined only by the issued claims, and not by any description herein. [0017]
  • The use of a dielectric hard mask for copper metal etch, as well as other metal etch applications, including aluminum and tungsten, can eliminate organic polymer formation during the metal etch process. The preferred embodiment is particularly effective in this respect when only a partial etch of the hardmask material is completed before the metal etch process. [0018]
  • Referring first to FIGS. [0019] 1-2, a conventional interlevel dielectric 5 (ILD) is first provided, as in FIG. 1. Note that while the ILD is shown here as a first (bottom-most) layer, this structure may be deposited on top of other layers. The dielectric can be any conventional material, but it is preferred that the ILD be compatible with the hardmask described below.
  • Next, a [0020] copper metal layer 10 is deposited on the ILD 5, using conventional means, as shown in FIG. 2. Note that although the preferred embodiment uses copper for the metal layer, this may be replaced by other suitable metals, such as aluminum, tungsten, or titanium nitride. Of course, some choices of metal layers would not necessarily require the disclosed process, but the process can nevertheless be effectively used with these metals.
  • With reference now to FIGS. [0021] 3-4, the hard mask material 15, which is, e.g., silicon oxide or silicon nitride, is deposited over the metal layer 10, as shown in FIG. 3. The hard mask is then overlaid with an organic resist pattern, as shown in FIG. 4.
  • Referring now to FIG. 5A and alternate embodiment FIG. 5B, the [0022] hardmask 15 is then etched using typical plasma dielectric etch processes to a point, in the preferred embodiment, just prior to exposing the surface of metal layer 10, as shown in FIG. 5A. With no metal exposed on the wafer surface, highly aggressive conventional post-etch cleaning procedures can be used to remove the remaining resist and polymers (and any other organic residues) prior to metal etch, as shown in FIG. 6. In a less preferred embodiment, the etch is continued until the underlying metal layer is exposed, as shown in FIG. 5B.
  • With reference now to FIG. 7, the subsequent metal etch process, in the preferred embodiment, consists of an initial hard mask etch step which ideally has a selectivity between the metal and oxide film of unity, i.e., both films are etched at the same rate. In this manner the complete removal of the residual hard mask material can be assured before progressing to a second process step with a higher selectivity to the hard mask material and, ideally, a higher etch rate of the metal material, which may be, e.g., Cu, Al, W, etc., as shown in FIG. 7. [0023]
  • Referring now to FIG. 8, another advantage of this approach is that following the metal etch process the residual hard mask material, being a dielectric film, can be left behind and incorporated into the intermetal dielectric layer of the following metal level, as shown. [0024]
  • For this reason, many different materials can be used for the hardmask, including Si3N4, SiO2, and others, but it is preferred that the hardmask material be one that can be readily incorporated into the ILD stack. [0025]
  • With reference now to FIG. 9, the upper ILD, which now incorporates the remaining hard mask, can be planarized using conventional chemical/mechanical polishing (CMP) techniques. [0026]
  • Referring now to FIGS. [0027] 10A-10C, in many cases an adhesion layer of a different metal will be needed in-between the metal layer and the hardmask layer or between the metal layer and the underlying film layer. This adhesion layer can also serve as an etch-stop during the hard mask etch. This is especially beneficial if the adhesion material, e.g. TiN or TaN, is resistant to the chemical cleans necessary to remove organic polymers following the hard mask etch process. Alternative embodiments which include these adhesion layers are shown in FIGS. 10A-10C. FIG. 10A corresponds to FIG. 4, FIG. 10B corresponds to FIG. 7, and FIG. 10C corresponds to FIG. 9. Of course, many materials can be used for the adhesion material, including TiN, TaN, TiAlN, TiSiN, WNx, WSiN, TiWN, TaNx, TaSiN, CrN, and CrAiN.
  • With reference now to FIG. 11, a flowchart of a semiconductor fabrication process according to the preferred embodiment is shown. First, an interlevel dielectric layer is provided (step [0028] 1100). Next, an optional adhesion layer is deposited on the ILD (step 1105), and the copper metal layer is deposited (step 1110). Another optional adhesion layer is placed on the copper (step 1115), and the metal (and optional adhesion layer, if present) is covered by the hard mask material (step 1120). The hard mask is then patterned with resist (step 1130).
  • Next, the hard mask is etched using a conventional photolithographic method (step [0029] 1140), to a point just short of exposing the metal layer. The amount of hard mask material left over the metal layer will depend on the uniformity of the films and the plasma etch removal process, as well as the dielectric consumption (if any) of the following cleaning steps to remove the organic polymer materials. It should be thin enough so that it can be effectively removed during the following metal etch step (step 1160, below). Therefore, the precise thickness of the remaining hardmask is process-dependent, but can be easily determined by one of skill in the art without any undue experimentation. The photoresist and polymer are then removed with conventional cleaning processes (step 1150).
  • Next, the metal layer is etched using the hard mask pattern, removing any remaining hard mask material covering the metal layer at areas which were not protected by the photoresist (step [0030] 1160), completing the metal etch process.
  • A new ILD layer can then be deposited over the structure, and any remaining hard mask over the metal line will become part of the new ILD (step [0031] 1170). Finally, the new ILD can be planarized to prepare it for another metal layer (or other structure) (step 1180).
  • While the invention has been particularly shown and described with reference to a preferred embodiment, it will be understood by those skilled in the art that various changes in form and detail may be made therein without departing from the spirit and scope of the invention. [0032]
  • It should also be noted that the number of layers of metallization described above does not implicitly limit any of the claims, which can be applied to processes and structures with more or fewer layers. [0033]
  • Similarly, while the contact from first metal to poly and active has been particularly described, it will be readily recognized that the disclosed inventions are equally applicable to processes with multiple layers of metal (and in fact would be most commonly used in such processes). [0034]

Claims (21)

What is claimed is:
1. An integrated circuit structure, comprising:
a first dielectric layer;
a metal layer deposited above the dielectric layer; and
a patterned masking layer deposited above the metal layer,
wherein the patterned masking layer is formed of a dielectric layer, and is used as an etch pattern for the metal layer, without exposing the metal layer until the metal layer is etched.
2. The structure of
claim 1
, further comprising a patterned resist layer deposited above the masking layer, wherein the patterned resist layer is used to etch the masking layer.
3. The structure of
claim 1
, further comprising an adhesion layer between the first dielectric layer and the metal layer.
4. The structure of
claim 1
, further comprising an adhesion layer between the metal layer and the masking layer.
5. The structure of
claim 1
, wherein the masking layer is patterned using a photolithographic process.
6. The structure of
claim 1
, further comprising a second conformal dielectric layer deposited over the first dielectric layer, the metal layer, and the masking layer.
7. The structure of
claim 1
, further comprising a second dielectric layer deposited over the first dielectric layer, the metal layer, and the masking layer.
8. An integrated circuit structure, comprising:
a first dielectric layer;
a Cu metal layer deposited above the dielectric layer; and
a patterned hardmask layer of a dielectric material, the hardmask layer being deposited above the metal layer,
wherein the patterned masking layer used as an etch pattern for the Cu metal layer, and
wherein the patterned hardmask layer does not expose the metal layer.
9. The structure of
claim 8
, further comprising a patterned resist layer, the resist layer being deposited above the masking layer, wherein the patterned resist layer is used to etch the masking layer.
10. The structure of
claim 8
, further comprising an adhesion layer between the first dielectric layer and the metal layer.
11. The structure of
claim 8
, further comprising an adhesion layer between the metal layer and the masking layer.
12. The structure of
claim 8
, wherein the masking layer is patterned using a photolithographic process.
13. The structure of
claim 8
, further comprising a second conformal dielectric layer deposited over the first dielectric layer, the metal layer, and the masking layer.
14. The structure of
claim 8
, further comprising a second dielectric layer deposited over the first dielectric layer, the metal layer, and the masking layer.
15. A fabrication method, comprising the steps of:
forming a first layer of a dielectric material;
forming a metal layer above the first layer of dielectric material;
forming a masking layer above the metal layer;
forming a patterned resist layer above the masking layer;
etching the masking layer according to the patterned resist layer, providing a patterned masking layer, without exposing the metal layer; and
etching the metal layer, according to the patterned masking layer, with a plasma etching process.
16. The method of
claim 15
, further comprising the step of, after the etching step, depositing a second layer of the dielectric material over the etched metal layer.
17. The method of
claim 15
, wherein the masking layer of a material selected from a group consisting of SiO2 and Si3N4.
18. The method of
claim 15
, further comprising the step of, after the depositing a first layer step, depositing a first adhesion layer on the first layer of dielectric material.
19. The method of
claim 15
, further comprising the step of, after the depositing a metal layer step, depositing a second adhesion layer on the metal layer.
20. The method of
claim 15
, wherein the dielectric material and the masking layer are the same material.
21. The method of
claim 16
, wherein the second layer is conformal.
US09/318,474 1999-05-25 1999-05-25 Hard mask for copper plasma etch Expired - Lifetime US6355979B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/318,474 US6355979B2 (en) 1999-05-25 1999-05-25 Hard mask for copper plasma etch
US09/969,194 US6797640B2 (en) 1999-05-25 2001-10-02 Method of utilizing hard mask for copper plasma etch

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/318,474 US6355979B2 (en) 1999-05-25 1999-05-25 Hard mask for copper plasma etch

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US09/969,194 Continuation US6797640B2 (en) 1999-05-25 2001-10-02 Method of utilizing hard mask for copper plasma etch
US09/969,194 Division US6797640B2 (en) 1999-05-25 2001-10-02 Method of utilizing hard mask for copper plasma etch

Publications (2)

Publication Number Publication Date
US20010035582A1 true US20010035582A1 (en) 2001-11-01
US6355979B2 US6355979B2 (en) 2002-03-12

Family

ID=23238335

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/318,474 Expired - Lifetime US6355979B2 (en) 1999-05-25 1999-05-25 Hard mask for copper plasma etch
US09/969,194 Expired - Lifetime US6797640B2 (en) 1999-05-25 2001-10-02 Method of utilizing hard mask for copper plasma etch

Family Applications After (1)

Application Number Title Priority Date Filing Date
US09/969,194 Expired - Lifetime US6797640B2 (en) 1999-05-25 2001-10-02 Method of utilizing hard mask for copper plasma etch

Country Status (1)

Country Link
US (2) US6355979B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050164100A1 (en) * 2001-03-20 2005-07-28 Kim In-Sung Phase shifting mask for manufacturing semiconductor device and method of fabricating the same
US20060138592A1 (en) * 2000-10-12 2006-06-29 Block Bruce A Method of forming on-chip decoupling capacitor with bottom electrode layer having surface roughness
US20090085087A1 (en) * 2007-09-28 2009-04-02 Sandisk Corporation Liner for tungsten/silicon dioxide interface in memory
WO2022039848A1 (en) * 2020-08-18 2022-02-24 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
US11963300B2 (en) 2021-04-09 2024-04-16 Au Optronics Corporation Panel device and manufacturing method of panel device

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410453B1 (en) 1999-09-02 2002-06-25 Micron Technology, Inc. Method of processing a substrate
DE10118422B4 (en) * 2001-04-12 2007-07-12 Infineon Technologies Ag Method for producing a structured metal-containing layer on a semiconductor wafer
KR100424712B1 (en) * 2001-09-03 2004-03-27 컴펙 매뉴팩춰링 컴퍼니 리미티드 A method for forming large integration and ultra-fine lines on a substrate
US7540935B2 (en) * 2003-03-14 2009-06-02 Lam Research Corporation Plasma oxidation and removal of oxidized material
US7465408B1 (en) 2003-12-03 2008-12-16 Advanced Micro Devices, Inc. Solutions for controlled, selective etching of copper
US7695984B1 (en) * 2005-04-20 2010-04-13 Pivotal Systems Corporation Use of modeled parameters for real-time semiconductor process metrology applied to semiconductor processes
US20070082469A1 (en) * 2005-10-12 2007-04-12 Peters John M Forming heaters for phase change memories
US8633117B1 (en) 2012-11-07 2014-01-21 International Business Machines Corporation Sputter and surface modification etch processing for metal patterning in integrated circuits
US8871107B2 (en) 2013-03-15 2014-10-28 International Business Machines Corporation Subtractive plasma etching of a blanket layer of metal or metal alloy
US9171796B1 (en) 2014-06-19 2015-10-27 International Business Machines Corporation Sidewall image transfer for heavy metal patterning in integrated circuits
US10121660B2 (en) * 2016-08-18 2018-11-06 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
CN110770881B (en) * 2017-06-22 2023-09-26 应用材料公司 Plasma etching for patterning of copper

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4229247A (en) * 1978-12-26 1980-10-21 International Business Machines Corporation Glow discharge etching process for chromium
US5585300A (en) * 1994-08-01 1996-12-17 Texas Instruments Incorporated Method of making conductive amorphous-nitride barrier layer for high-dielectric-constant material electrodes
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
US5525542A (en) * 1995-02-24 1996-06-11 Motorola, Inc. Method for making a semiconductor device having anti-reflective coating
US6162724A (en) * 1996-09-12 2000-12-19 Mosel Vitelic Inc. Method for forming metalization for inter-layer connections
US5898221A (en) * 1996-09-27 1999-04-27 Sanyo Electric Company, Ltd. Semiconductor device having upper and lower wiring layers
US6008140A (en) * 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
KR100430664B1 (en) * 1997-10-03 2004-06-16 가부시끼가이샤 히다치 세이사꾸쇼 Wiring substrate and gas discharge type display device using thereof
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6057230A (en) * 1998-09-17 2000-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dry etching procedure and recipe for patterning of thin film copper layers
US6325861B1 (en) * 1998-09-18 2001-12-04 Applied Materials, Inc. Method for etching and cleaning a substrate
US6444564B1 (en) * 1998-11-23 2002-09-03 Advanced Micro Devices, Inc. Method and product for improved use of low k dielectric material among integrated circuit interconnect structures
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060138592A1 (en) * 2000-10-12 2006-06-29 Block Bruce A Method of forming on-chip decoupling capacitor with bottom electrode layer having surface roughness
US7960226B2 (en) * 2000-10-12 2011-06-14 Intel Corporation Method of forming on-chip decoupling capacitor with bottom electrode layer having surface roughness
US20050164100A1 (en) * 2001-03-20 2005-07-28 Kim In-Sung Phase shifting mask for manufacturing semiconductor device and method of fabricating the same
US7473497B2 (en) * 2001-03-20 2009-01-06 Samsung Electronics Co., Ltd. Phase shifting mask for manufacturing semiconductor device and method of fabricating the same
US20090085087A1 (en) * 2007-09-28 2009-04-02 Sandisk Corporation Liner for tungsten/silicon dioxide interface in memory
WO2009045348A1 (en) * 2007-09-28 2009-04-09 Sandisk 3D Llc Liner for tungsten/silicon dioxide interface in memory
US8071475B2 (en) 2007-09-28 2011-12-06 Sandisk 3D Llc Liner for tungsten/silicon dioxide interface in memory
KR101472754B1 (en) 2007-09-28 2014-12-16 쌘디스크 3디 엘엘씨 Liner for tungsten/silicon dioxide interface in memory
WO2022039848A1 (en) * 2020-08-18 2022-02-24 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
US11527414B2 (en) 2020-08-18 2022-12-13 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
US11963300B2 (en) 2021-04-09 2024-04-16 Au Optronics Corporation Panel device and manufacturing method of panel device

Also Published As

Publication number Publication date
US6797640B2 (en) 2004-09-28
US20020048952A1 (en) 2002-04-25
US6355979B2 (en) 2002-03-12

Similar Documents

Publication Publication Date Title
US6355979B2 (en) Hard mask for copper plasma etch
EP1102315B1 (en) A method to avoid copper contamination on the sidewall of a via or a dual damascene structure
KR100391877B1 (en) Method of manufacturing a semiconductor device
US6228760B1 (en) Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6913994B2 (en) Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
US20070125750A1 (en) Method for removing post-etch residue from wafer surface
JP4677407B2 (en) Method for manufacturing semiconductor device having organic antireflection film (ARC)
US6703666B1 (en) Thin film resistor device and a method of manufacture therefor
US5792672A (en) Photoresist strip method
US20080166851A1 (en) Metal-insulator-metal (mim) capacitor and method for fabricating the same
US6268287B1 (en) Polymerless metal hard mask etching
US7659196B2 (en) Soluble hard mask for interlayer dielectric patterning
JP2003179136A (en) Mask layer and interconnection structure for manufacturing dual damascene semiconductor
US7300866B2 (en) Method for fabricating metal line in a semiconductor
US7649264B2 (en) Hard mask for low-k interlayer dielectric patterning
US7297607B2 (en) Device and method of performing a seasoning process for a semiconductor device manufacturing apparatus
TWI288459B (en) A dual-damascene process for manufacturing semiconductor device
US6569760B1 (en) Method to prevent poison via
JPH10326830A (en) Manufacture of semiconductor device
US6867142B2 (en) Method to prevent electrical shorts between tungsten interconnects
US6927160B1 (en) Fabrication of copper-containing region such as electrical interconnect
US20040048203A1 (en) Method of manufacturing a semiconductor device for high speed operation and low power consumption
US7538025B2 (en) Dual damascene process flow for porous low-k materials
US6306757B1 (en) Method for forming a multilevel interconnect
US6214742B1 (en) Post-via tin removal for via resistance improvement

Legal Events

Date Code Title Description
AS Assignment

Owner name: STMICROELECTRONICS, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TESAURO, MARK R.;NUNAN, PETER D.;REEL/FRAME:009993/0697

Effective date: 19990523

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12