US20010012687A1 - Gap filling process in integrated circuits using low dielectric constant materials - Google Patents

Gap filling process in integrated circuits using low dielectric constant materials Download PDF

Info

Publication number
US20010012687A1
US20010012687A1 US09/817,473 US81747301A US2001012687A1 US 20010012687 A1 US20010012687 A1 US 20010012687A1 US 81747301 A US81747301 A US 81747301A US 2001012687 A1 US2001012687 A1 US 2001012687A1
Authority
US
United States
Prior art keywords
layer
metal
low dielectric
dielectric constant
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/817,473
Inventor
Yi Xu
Jia Zheng
Jane Hui
Charles Lin
Yih Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
Chartered Semiconductor Manufacturing Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Manufacturing Pte Ltd filed Critical Chartered Semiconductor Manufacturing Pte Ltd
Priority to US09/817,473 priority Critical patent/US20010012687A1/en
Publication of US20010012687A1 publication Critical patent/US20010012687A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Definitions

  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically it relates to structures for reducing capacitance between closely spaced interconnection lines of integrated circuits. In particular, it pertains to structures and methods for improving adhesion and preventing micro cracks in low dielectric constant materials when used in conjunction with conventional dielectric materials as intermetal dielectrics (IMD).
  • IMD intermetal dielectrics
  • U.S. Pat. No. 5,818,111 entitled “Low Capacitance Interconnect Structures in Integrated Circuits Using a Stack of Low Dielectric Materials” granted Oct. 6, 1998 to Jeng and Taylor describes a method and structure for integrating hydrogen silsesqui-oxane (HSQ) and other low dielectric materials, which have undesirable properties, into integrated circuit structures and processes.
  • a stabilizing layer is inserted between layers of low dielectric constant films.
  • a layer of low dielectric constant HSQ is spun over and in between metal interconnect lines with a thin layer of silicon dioxide used as a stabilizing layer on top. Alternating layers of HSQ and thin silicon dioxide are fabricated together with multilevel metal structures.
  • a thick silicon dioxide layer for planarization is placed on top of the multi-level metal layer structures.
  • U.S. Pat. No. 5,759,906 entitled “Planarization Method for Intermetal Dielectrics Between Multilevel Interconnections on Integrated Circuits” granted Jun. 2, 1998 to Lou describes a method for making a planar intermetal dielectric layer (IMD) for multilevel electrical interconnections on ULSI circuits.
  • the method involves forming metal lines on which is deposited a conformal PECVD oxide.
  • a multilayer of spin-on glass (SOG), composed of at least four layers, is deposited and baked at elevated temperatures and long times after each layer to minimize the poisoned via problem.
  • SOG spin-on glass
  • After depositing a silicon dioxide layer on the SOG the layer is partially chemical/mechanically polished to provide the desired, more global planar, IMD.
  • the method can be repeated for multilevel metal lines.
  • U.S. Pat. No. 5,385,866 entitled “Polish Planarizing Using Oxidized Boron Nitride as a Polish Stop” granted Jan. 31, 1995 to Bartush shows a method of polishing of a non-planar surface layer on a semiconductor substrate using an oxidized boron nitride polishing stop layer.
  • the oxidized boron nitride polish stop layer is selectively polished relative to the non-planar surface layer.
  • the oxidized boron nitride acts as a polishing stop layer for the process over an FET gate structure.
  • U.S. Pat. No. 5,821,621 entitled “Low Capacitance Interconnect Structure for Integrated Circuits” granted Oct. 13, 1998 to Jeng shows a method for integrating polymer and other low dielectric constant materials, which may have undesirable physical properties, into integrated circuits structures and processes, especially those requiring multiple levels of interconnect lines. It combines the use of silicon dioxide with low dielectric constant materials. The low dielectric constant materials are spun-on and defined by photolithography to be only in the critical areas between interconnect lines. After planarization, the process steps can be repeated for multiple interconnect layers.
  • the method by the first embodiment of this invention uses a stabilizing adhesion layer between the bottom, low dielectric constant layer and the top dielectric layer.
  • the advantages of the stabilizing adhesion layer are: (i) improved adhesion and stability of the low dielectric layer and the top dielectric oxide (ii) over all layer thickness of the dielectric layers can be reduced, hence lowing the parasitic capacitance of these layers.
  • the method uses a multi-layered “hard mask” on metal interconnect lines with an underlying silicon oxynitride DARC, dielectric anti-reflective coating.
  • hard mask refers to these layers that exhibit resistance to being chemical-mechanical polished (CMP) back in the planarization process.
  • CMP chemical-mechanical polished
  • a double coating scheme of low dielectric constant insulators are used in this application of the invention.
  • Still another object of this invention is the third embodiment of the present invention, a multi-layered hard mask stack over the interconnect metal lines with silicon oxynitride DARC, dielectric anti-reflective coating, is used, and in addition, an adhesion and stabilization layer is used between the low dielectric material and the top dielectric layer.
  • a multi-layered hard mask stack over the interconnect metal lines with silicon oxynitride DARC, dielectric anti-reflective coating is used, and in addition, an adhesion and stabilization layer is used between the low dielectric material and the top dielectric layer.
  • the present invention starts with conventional processing of the semiconductor substrate and continues with conventional processing up to the fabrication of the first level of metal interconnect lines.
  • a detailed description of the aforementioned conventional processing is found in the section titled, “DESCRIPTION OF THE PREFERRED EMBODIMENTS”.
  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically it relates to structures for reducing capacitance between closely spaced interconnection lines of integrated circuits. In particular, it pertains to structures and methods for improving adhesion and preventing micro cracks in low dielectric constant materials when used in conjunction with conventional dielectric materials as intermetal dielectrics (IMD).
  • IMD intermetal dielectrics
  • interconnect metal lines which are defined on an interlevel dielectric layer (ILD) on a semiconductor substrate.
  • a low dielectric constant material is then deposited on and in between the metal interconnect lines.
  • a thin non-oxide dielectric adhesion and stabilization layer such as, silicon nitride, which is deposited on top of the low dielectric constant layer.
  • Other adhesion and stabilization layers can be used, e.g., chemical vapor deposition (CVD) of SiC and related compounds of BC, BCN, BN or spun on materials.
  • CVD chemical vapor deposition
  • a cap silicon oxide is deposited onto the adhesion and stabilization layer and this cap oxide helps to planarize the surface.
  • CMP chemical-mechanical polishing
  • a multi-layered “hard mask” with silicon oxynitride DARC, dielectric anti-reflective coating is used on top of metal.
  • the term hard mask refers to the layers resistance to being chemical-mechanical polished (CMP) back.
  • a layer of metal is deposited on the interlevel dielectric layer (ILD) and then a multi-layered hard mask layer, e.g., silicon nitride and silicon oxide layers, are deposited on top of the metal layer.
  • This multi-layered hard mask layer, with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal can just be silicon nitride alone, or just silicon oxide, or can be the combination of both silicon nitride and silicon oxide.
  • a low dielectric constant layer is deposited over the surface and cured by a curing process.
  • the low dielectric constant material is chemical-mechanical polished (CMP) back with the multi-layered hard mask in place over the metal with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal.
  • CMP chemical-mechanical polished
  • the surface is planarized by the CMP and the CMP process stops on the hard mask, removing some of the hard mask in the process, e.g., silicon oxide. Some of the hard mask remains as the silicon nitride layer.
  • this invention branches off into two separate embodiments.
  • One embodiment involves a another coating (the second) of low dielectric constant material and followed by the deposition of another single or multiple layers of hard mask material on top of low dielectric constant material.
  • the other embodiment which branches off involves the use of an adhesion promoter and stabilization layer in between thicker cap oxide and low dielectric layer. Combinations of these two branch processes can also be applied. A more detailed description of each branch is given in the next few paragraphs.
  • the process follows the same scheme described above using the hard mask process.
  • a second layer of low dielectric constant material, or double coating is applied over a hard mask, which consisting of a non-oxide dielectric layer, such as, silicon nitride.
  • a hard mask which consisting of a non-oxide dielectric layer, such as, silicon nitride.
  • Another hard mask layer, for low dielectric constant via open is deposited over the second low dielectric layer.
  • This second hard mask layer can be composed of just silicon nitride, or just silicon oxide or both materials.
  • This process is followed by via definition and etching for via openings.
  • Conductive metal is deposited and excess metal is chemical-mechanical polished (CMP) back to form electrically conductive vias to the interconnect metal lines below.
  • CMP chemical-mechanical polished
  • the process follows the same scheme described above using the hard mask process.
  • a thin layer of adhesion promoter, silicon nitride, is deposited both over the hard mask and the low dielectric constant layer.
  • a cap oxide layer is deposited.
  • This process is followed by via definition and etching for via opening.
  • Conductive metal is deposited and excess metal is chemical-mechanical polished (CMP) back to form electrically conductive vias to the interconnect metal lines below.
  • CMP chemical-mechanical polished
  • FIG. 1 a which in cross-sectional representation illustrate the prior art method of forming low dielectric constant gap fill.
  • FIGS. 2 a - d illustrates one embodiment of the present invention using a non-oxide adhesion layer between dielectric layers.
  • FIGS. 3 a - d illustrates another set of embodiments of the present invention using multi-layered hard mask process.
  • FIGS. 4 a,b illustrates use of a hard mask and double coating of low dielectric material.
  • FIGS. 5 a,b shows the use of a hard mask in conjunction with an adhesion layer for dielectrics.
  • the present invention relates to a method for fabricating planar intermetal dielectric materials.
  • the method by the first embodiment uses a stabilizing adhesion layer between the bottom low dielectric constant layer and top dielectric layer.
  • the method uses a multi-layered “hard mask” on metal interconnect lines with a silicon oxynitride DARC, dielectric anti-reflective coating on top of metal.
  • the term multi-layered hard mask refers to these layers that exhibit resistance to being chemical-mechanical polished (CMP) back in the planarization process.
  • a hard mask stack over the interconnect metal lines with silicon oxynitride DARC, dielectric anti-reflective coating, on top of metal is used, and in addition, an adhesion and stabilization layer is used between the low dielectric material and the top dielectric layer.
  • a conventional method of fabricating intermetal dielectric is illustrated as Prior Art in a cross-sectional sketch in FIGS. 1.
  • a semiconductor substrate 1 is the base starting material, such as single-crystal silicon on which are formed semiconductor devices.
  • the devices are not shown in the Figs. to simplify the drawings and the discussion.
  • the method can be applied to integrated circuits having devices such as field effect transistors (FET's), bipolar transistors and the like made in and on the substrate surface.
  • FET's field effect transistors
  • bipolar transistors bipolar transistors and the like made in and on the substrate surface.
  • a first insulating layer 2 is deposited over the substrate 1 having semiconductor devices.
  • the first insulating layer is composed of a silicon oxide (SiO 2 ) and is deposited by low pressure chemical vapor deposition (LPCVD), or by sub-atmospheric chemical vapor deposition (SACVD), using a reactant gas such as tetraethosiloxane (TEOS) and oxygen (O 2 ) or ozone (O 3 ).
  • LPCVD low pressure chemical vapor deposition
  • SACVD sub-atmospheric chemical vapor deposition
  • TEOS tetraethosiloxane
  • O 2 oxygen
  • O 3 ozone
  • layer 2 is deposited to a thickness of between about 5000 and 10000 Angstroms.
  • Layer 2 serves as the pre-metal interlevel dielectric (PMD) that provides electrical insulation of the devices from the level of metal interconnections that are made next.
  • PMD pre-metal interlevel dielectric
  • Contact openings are etched in the first insulating layer 2 to form contacts to the devices, such as source/drain contact areas and gate electrodes of FET's, or to emitter, base and collector areas of bipolar devices.
  • the contacts can be etched, for example, by high-density plasma (HDP) etching in an etchant gas such as trifluoromethane (CHF 3 ), which selectively etches the oxide to the silicon substrate 1 .
  • a barrier layer which is not explicitly depicted in the Figs., is deposited over the first insulating layer 2 and in the contact openings.
  • the barrier layer typically composed of titanium (Ti)/titanium nitride (TiN) or titanium tungsten (TiW) is used to prevent aluminum penetration into the shallow junctions of the devices and to improve adhesion.
  • a first conductive layer is now deposited and patterned to form defined interconnect metal lines 4 , (with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal), on the surface of a silicon oxide layer 2 , the interlevel dielectric layer (ILD).
  • a low dielectric constant, low k, material 6 is deposited over and in between the interconnect metal lines 4 .
  • a deposited “cap silicon oxide layer” 8 that helps to planarize the surface and can be chemical-mechanical polished (CMP) back to further planarize the surface.
  • Multilevel interconnect metal line structures, with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal, can be fabricated by this method.
  • the low dielectric constant material in between and around the interconnect metal lines reduces the parasitic capacitance of the metal lines and hence increases signal speed and performance by lowering the RC time constant of the lines.
  • FIG. 1 Several problems arise by this conventional method, shown in FIG. 1.
  • One main concern is the adhesion of the cap oxide 8 to the underlying layer of low dielectric constant material 6 .
  • Other concerns are the introduction of reaction gases that include oxygen and nitrous oxide gases prior to the cap oxide deposition. These gases are necessary for reaction chamber stabilization and react with the surface of the low dielectric constant layer, making adhesion of the subsequent cap oxide worse.
  • the present invention solves these problems, as described in the following section, starting with FIG. 2.
  • the interconnect metal lines 4 are defined on a semiconductor substrate 1 with an interlevel dielectric layer (ILD) 2 , all with conventional processing.
  • ILD interlevel dielectric layer
  • FIG. 2 b one embodiment of the present invention is illustrated, showing a low dielectric constant material deposited on and in between the metal lines 4 , but now a thin non-oxide adhesion layer, such as, silicon nitride 7 is deposited on top of the low dielectric constant layer 6 .
  • Other adhesion layers can be used, e.g., chemical vapor deposition (CVD) of SiC and related compounds of BC, BCN, BN or spun on materials.
  • the deposition conditions of the non-oxide, dielectric layer, such as, the silicon nitride layer are, plasma enhanced chemical vapor deposition (PECVD): film thickness from about 200 to 500 Angstroms, temperatures from about 300 to 400° C., deposition rates from about 900 to 8,000 Angstroms/min, ammonia gas flow from about 80 to 200 sccm and silane gas flow from about 200 to 350 sccm, with pressures from about 1 to 10 Torr, RF power from about 400 to 800 Watts.
  • PECVD plasma enhanced chemical vapor deposition
  • FIG. 2 c is sketched the cap silicon oxide 8 is deposited onto the adhesion layer 7 and this cap oxide helps to planarize the surface. Further planarization of the surface is achieved by then chemical-mechanical polishing (CMP) of the top cap oxide, as shown is FIG. 2 c .
  • CMP chemical-mechanical polishing
  • FIG. 2 d via openings are fabricated by defining the via area 12 by photolithography and then etching the openings through: cap oxide 8 , thin adhesion layer 7 and low dielectric material 6 .
  • Conductive metal 14 is deposited into the via opening to connect the metal lines below. The excess conductive metal is then removed and the surface planarized by chemical-mechanical polish (CMP), as shown in FIG. 2 d .
  • the cap oxide is silicon oxide deposited by plasma enhanced chemical vapor deposition (PECVD), in the thickness range from about 4,000 to 16,000 Angstroms, and having a dielectric constant of about 4.0.
  • FIG. 3 Another embodiment of the present invention is illustrated in FIG. 3. It can be termed, a multi-layered “hard mask” on metal with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal stack.
  • the term hard mask refers to the layers resistance to being chemical-mechanical polished (CMP) back.
  • CMP chemical-mechanical polished
  • This multi-layered hard mask (with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal stack) can just be silicon nitride alone, or just silicon oxide, or can be the combination of both silicon nitride 7 and silicon oxide 9 , as shown in FIG. 3 a.
  • the silicon nitride deposition is the same, as described earlier, for plasma enhanced chemical vapor deposition (PECVD), with nitride thickness from about 200 to 500 Angstroms.
  • the deposition conditions for the silicon oxide above are the following, plasma enhanced chemical vapor deposition (PECVD): film thickness from about 1,000 to 2,000 Angstroms, silane gas flow from about 70 to 200 sccm, nitrous oxide gas flow from about 1,500 to 3,000 sccm, pressure from about 1 to 10 Torr, temperature from about 300 to 400° C., and RF power from about 50 to 100 Watts.
  • PECVD plasma enhanced chemical vapor deposition
  • a low dielectric constant layer 18 is deposited over the surface and cured by a curing process.
  • This low dielectric material is a carbon based organic polymer, in a thickness range from about 4,000 to 10,000 Angstroms, spun on at spin speeds from about 2,000 to 4,000 rpm for a time of 1 minute.
  • the curing process is at 400° C., for 1 hr., in ambient of nitrogen gas with flows from about 1 to 30 sccm and limiting oxygen concentrate to less than 10 ppm.
  • the low dielectric constant material 18 is chemical-mechanical polished (CMP) back with the hard mask 16 in place over the metal.
  • CMP chemical-mechanical polished
  • the surface is planarized by the CMP and the process stops on the hard mask, removing some of the hard mask, e.g., silicon oxide, in the process.
  • some of the hard mask remains as the silicon nitride layer 20 .
  • this invention branches off into two separate embodiments.
  • One embodiment involves a double coating of low dielectric material and the deposition of single or multiple layers of hard mask material, as sketched in FIG. 4 a and FIG. 4 b .
  • the other embodiment branches off to using an adhesion promoter and stabilization layer for cap oxide over both a hard mask stack and over low dielectric oxide, illustrated in FIG. 5 a and FIG. 5 b . Combinations of these two branch processing schemes can also be applied. A more detailed description of each branch is given in the next few paragraphs.
  • FIGS. 3 a - d the process follows the scheme described and shown in FIGS. 3 a - d .
  • a second layer of low dielectric constant material 22 or double coating, is applied over a hard mask 20 consisting of silicon nitride.
  • a hard mask 20 consisting of silicon nitride.
  • FIG. 4 a another hard mask layer is deposited, FIG. 4 a over the second low dielectric layer.
  • This second hard mask layer 24 can be composed of just silicon nitride, or just silicon oxide or both materials.
  • This process is followed by via definition and etching for via opening 26 , as shown in FIG. 4 b .
  • Conductive metal is deposited and excess metal is chemical-mechanical polished (CMP) back to form electrically conductive vias ( 26 ) to the interconnect metal lines ( 4 ) below.
  • CMP chemical-mechanical polished
  • the process for the second low dielectric constant material above is the same as described before, organic based polymer, spun on dielectric (SOD) with a thickness from about 4,000 to 12,000 Angstroms and with the curing conditions the same as described before.
  • the second hard mask process conditions are the same as in the first hard mask process, described before.
  • the process conditions for via opening is the following: reactive ion etch (RIE) for low dielectric constant via opening, RF power from about 800 to 1,500 Watts, pressure from about 300 to 800 milli-Torr, reactive gases and flow rates N 2 from about 100 to 300 sccm, H 2 from about 100 to 300 sccm, C 4 F 8 from about 1 to 10 sccm.
  • RIE reactive ion etch
  • the process conditions for the opening of the first hard mask layer are as follows: reactive ion etch (RIE), RF power from about 300 to 1,000 Watts, pressure from about 30 to 100 milli-Torr, gases and flows CH 2 F 2 from about 10 to 50 sccm, O 2 from about 10 to 30 sccm, Ar from about 50 to 200 sccm.
  • RIE reactive ion etch
  • RF power from about 300 to 1,000 Watts
  • pressure from about 30 to 100 milli-Torr
  • a thin layer of non-oxide adhesion promoter 7 e.g., silicon nitride, is deposited both over the hard mask 20 and the low dielectric constant layer 18 .
  • a cap oxide layer ( 28 ) is deposited, shown in FIG. 5 a .
  • This process is followed by via definition and etching for via opening 30 , as shown in FIG. 5 b .
  • Conductive metal is deposited and excess metal is chemical-mechanical polished (CMP) back to form electrically conductive vias ( 32 ) to the interconnect metal lines ( 4 ) below.
  • CMP chemical-mechanical polished

Abstract

It is the general object of the present invention to provide an improved method of fabricating semiconductor integrated circuit devices, specifically by describing an improved process of fabricating multilevel metal structures using low dielectric constant materials. The present invention relates to an improved processing method for stable and planar intermetal dielectrics, with low dielectric constants. The first embodiment uses a stabilizing adhesion layer between the bottom, low dielectric constant layer and the top dielectric layer. The advantages are: (i) improved adhesion and stability of the low dielectric layer and the top dielectric oxide (ii) over all layer thickness of the dielectric layers can be reduced, hence lowering the parasitic capacitance of these layers. In the second embodiment, the method uses a multi-layered “hard mask” on metal interconnect lines with a silicon oxynitride DARC, dielectric anti-reflective coating on top of metal. A double coating scheme of low dielectric constant insulators are used in this application. The third embodiment uses a hard mask stack over the interconnect metal lines, with a silicon oxynitride DARC coating on top of metal, and an adhesion layer between the low dielectric material and the top dielectric layer.

Description

    BACKGROUND OF THE INVENTION
  • (1) Field of the Invention [0001]
  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically it relates to structures for reducing capacitance between closely spaced interconnection lines of integrated circuits. In particular, it pertains to structures and methods for improving adhesion and preventing micro cracks in low dielectric constant materials when used in conjunction with conventional dielectric materials as intermetal dielectrics (IMD). [0002]
  • (2) Description of Prior Art [0003]
  • Integrated circuits and the progress made in Silicon Technology has continued to shrink the size of devices. This has led to closer and closer spacing of interconnection lines. As spacing becomes closer, the capacitance between adjacent lines has increased, as device geometries shrink and circuit densities increase. The capacitance between lines is directly related to both the distance between the lines and dielectric constant of the material in between the lines. Hence, a low dielectric material between the closely spaced interconnect lines is beneficial in reducing the capacitance. [0004]
  • In 0.25 micron, or below (e.g., 0.18, 0.13 um), technology the performance is limited by the interconnect line delay, with line-to-line capacitance being greatly affected by RC delay of the lines, as line width and line space becomes less than about 0.3 microns. Therefore, the introduction of low dielectric materials between the closely spaced interconnect, transmission lines can greatly enhance the integrated circuit performance in terms of speed, by lowering the RC time constants. [0005]
  • U.S. Pat. No. 5,818,111 entitled “Low Capacitance Interconnect Structures in Integrated Circuits Using a Stack of Low Dielectric Materials” granted Oct. 6, 1998 to Jeng and Taylor describes a method and structure for integrating hydrogen silsesqui-oxane (HSQ) and other low dielectric materials, which have undesirable properties, into integrated circuit structures and processes. A stabilizing layer is inserted between layers of low dielectric constant films. A layer of low dielectric constant HSQ is spun over and in between metal interconnect lines with a thin layer of silicon dioxide used as a stabilizing layer on top. Alternating layers of HSQ and thin silicon dioxide are fabricated together with multilevel metal structures. Finally, a thick silicon dioxide layer for planarization is placed on top of the multi-level metal layer structures. [0006]
  • U.S. Pat. No. 5,759,906 entitled “Planarization Method for Intermetal Dielectrics Between Multilevel Interconnections on Integrated Circuits” granted Jun. 2, 1998 to Lou describes a method for making a planar intermetal dielectric layer (IMD) for multilevel electrical interconnections on ULSI circuits. The method involves forming metal lines on which is deposited a conformal PECVD oxide. A multilayer of spin-on glass (SOG), composed of at least four layers, is deposited and baked at elevated temperatures and long times after each layer to minimize the poisoned via problem. After depositing a silicon dioxide layer on the SOG, the layer is partially chemical/mechanically polished to provide the desired, more global planar, IMD. The method can be repeated for multilevel metal lines. [0007]
  • U.S. Pat. No. 5,385,866 entitled “Polish Planarizing Using Oxidized Boron Nitride as a Polish Stop” granted Jan. 31, 1995 to Bartush shows a method of polishing of a non-planar surface layer on a semiconductor substrate using an oxidized boron nitride polishing stop layer. The oxidized boron nitride polish stop layer is selectively polished relative to the non-planar surface layer. The oxidized boron nitride acts as a polishing stop layer for the process over an FET gate structure. [0008]
  • U.S. Pat. No. 5,821,621 entitled “Low Capacitance Interconnect Structure for Integrated Circuits” granted Oct. 13, 1998 to Jeng shows a method for integrating polymer and other low dielectric constant materials, which may have undesirable physical properties, into integrated circuits structures and processes, especially those requiring multiple levels of interconnect lines. It combines the use of silicon dioxide with low dielectric constant materials. The low dielectric constant materials are spun-on and defined by photolithography to be only in the critical areas between interconnect lines. After planarization, the process steps can be repeated for multiple interconnect layers. [0009]
  • SUMMARY OF THE INVENTION
  • It is the general object of the present invention to provide an improved method of fabricating semiconductor integrated circuit devices, specifically by describing an improved process of fabricating multilevel metal structures using low dielectric constant materials. Furthermore, the present invention relates to an improved method for fabricating stable and planar intermetal dielectric materials, using low dielectric constant materials. [0010]
  • The method by the first embodiment of this invention, uses a stabilizing adhesion layer between the bottom, low dielectric constant layer and the top dielectric layer. The advantages of the stabilizing adhesion layer are: (i) improved adhesion and stability of the low dielectric layer and the top dielectric oxide (ii) over all layer thickness of the dielectric layers can be reduced, hence lowing the parasitic capacitance of these layers. [0011]
  • In the second embodiment of the present invention, the method uses a multi-layered “hard mask” on metal interconnect lines with an underlying silicon oxynitride DARC, dielectric anti-reflective coating. The term hard mask refers to these layers that exhibit resistance to being chemical-mechanical polished (CMP) back in the planarization process. A double coating scheme of low dielectric constant insulators are used in this application of the invention. Several advantages are achieved by this method: (i) improved global planarization with low dielectric constant material used in conjunction with hard mask (ii) adhesion and stabilizing material used between low dielectric material and oxide dielectric (iii) double coat of low dielectric material for whole interlevel metal dielectric (IMD) stack. [0012]
  • Still another object of this invention, is the third embodiment of the present invention, a multi-layered hard mask stack over the interconnect metal lines with silicon oxynitride DARC, dielectric anti-reflective coating, is used, and in addition, an adhesion and stabilization layer is used between the low dielectric material and the top dielectric layer. In this application of the invention, several advantages are achieved: (i) improved global planarization (ii) reduction of outgassing and via poisoning (iii) easy integration of low dielectric constant material. [0013]
  • The present invention starts with conventional processing of the semiconductor substrate and continues with conventional processing up to the fabrication of the first level of metal interconnect lines. A detailed description of the aforementioned conventional processing is found in the section titled, “DESCRIPTION OF THE PREFERRED EMBODIMENTS”. [0014]
  • Integrated circuits and the progress made in Silicon Technology has continued to shrink the size of devices. This has led to closer and closer spacing of interconnection lines. As spacing becomes closer, the capacitance between adjacent lines has increased, as device geometries shrink and circuit densities increase. The capacitance between lines is directly related to both the distance between the lines and dielectric constant of the material in between the lines. Hence, a low dielectric material between the closely spaced interconnect lines is beneficial in reducing the capacitance. [0015]
  • In quarter micron technology and below, the performance is limited by the interconnect line delay, with line-to-line capacitance being greatly affected by RC delay of the lines, as line width and line space becomes less than about 0.3 microns. Therefore, the introduction of low dielectric materials between the closely spaced interconnect, transmission lines can greatly enhance the integrated circuit performance in terms of speed, by lowering the RC time constants. [0016]
  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically it relates to structures for reducing capacitance between closely spaced interconnection lines of integrated circuits. In particular, it pertains to structures and methods for improving adhesion and preventing micro cracks in low dielectric constant materials when used in conjunction with conventional dielectric materials as intermetal dielectrics (IMD). [0017]
  • In the first embodiment of the present invention, conventional processing is used to fabricate the interconnect metal lines, which are defined on an interlevel dielectric layer (ILD) on a semiconductor substrate. A low dielectric constant material is then deposited on and in between the metal interconnect lines. Key to the process is the deposition of a thin non-oxide dielectric adhesion and stabilization layer, such as, silicon nitride, which is deposited on top of the low dielectric constant layer. Other adhesion and stabilization layers can be used, e.g., chemical vapor deposition (CVD) of SiC and related compounds of BC, BCN, BN or spun on materials. A cap silicon oxide is deposited onto the adhesion and stabilization layer and this cap oxide helps to planarize the surface. Further planarization of the surface is achieved by then chemical-mechanical polishing (CMP) of the top cap oxide. Finally in the process, via openings to contact the metal below are fabricated by defining the via area by photolithography and then etching the openings through: cap oxide, thin adhesion layer and then through the low dielectric material. Conductive metal is deposited into the via opening to connect the metal lines below. The excess conductive metal is then removed and the surface planarized by chemical-mechanical polish (CMP). [0018]
  • In another embodiment of the present invention, a multi-layered “hard mask” with silicon oxynitride DARC, dielectric anti-reflective coating, is used on top of metal. The term hard mask refers to the layers resistance to being chemical-mechanical polished (CMP) back. A layer of metal is deposited on the interlevel dielectric layer (ILD) and then a multi-layered hard mask layer, e.g., silicon nitride and silicon oxide layers, are deposited on top of the metal layer. This multi-layered hard mask layer, with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal, can just be silicon nitride alone, or just silicon oxide, or can be the combination of both silicon nitride and silicon oxide. Next in the process is the masking and etching of the interconnect metal lines. Now with the hard mask in place over the defined metal lines, with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal, a low dielectric constant layer is deposited over the surface and cured by a curing process. The low dielectric constant material is chemical-mechanical polished (CMP) back with the multi-layered hard mask in place over the metal with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal. The surface is planarized by the CMP and the CMP process stops on the hard mask, removing some of the hard mask in the process, e.g., silicon oxide. Some of the hard mask remains as the silicon nitride layer. At this stage in the process, this invention branches off into two separate embodiments. One embodiment involves a another coating (the second) of low dielectric constant material and followed by the deposition of another single or multiple layers of hard mask material on top of low dielectric constant material. The other embodiment, which branches off involves the use of an adhesion promoter and stabilization layer in between thicker cap oxide and low dielectric layer. Combinations of these two branch processes can also be applied. A more detailed description of each branch is given in the next few paragraphs. [0019]
  • In the second embodiment of the invention, the process follows the same scheme described above using the hard mask process. Next, a second layer of low dielectric constant material, or double coating, is applied over a hard mask, which consisting of a non-oxide dielectric layer, such as, silicon nitride. Another hard mask layer, for low dielectric constant via open is deposited over the second low dielectric layer. This second hard mask layer can be composed of just silicon nitride, or just silicon oxide or both materials. This process is followed by via definition and etching for via openings. Conductive metal is deposited and excess metal is chemical-mechanical polished (CMP) back to form electrically conductive vias to the interconnect metal lines below. [0020]
  • In the third embodiment of the invention, the process follows the same scheme described above using the hard mask process. A thin layer of adhesion promoter, silicon nitride, is deposited both over the hard mask and the low dielectric constant layer. Next a cap oxide layer is deposited. This process is followed by via definition and etching for via opening. Conductive metal is deposited and excess metal is chemical-mechanical polished (CMP) back to form electrically conductive vias to the interconnect metal lines below. [0021]
  • In summary, several embodiments of this invention were described: (i) the use of a non-oxide dielectric adhesion promoter for cap oxide (ii) the use of a double coating of low dielectric constant material and multi-layered hard mask material (iii) the use of a hard mask material, in combination with, the use of an adhesion promoter for cap oxide. Other combinations of the above embodiments are possible and should be understood as part of this invention. [0022]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The object and other advantages of this invention are best described in the description of the preferred embodiments with reference to the attached drawings that include: [0023]
  • FIG. 1[0024] a which in cross-sectional representation illustrate the prior art method of forming low dielectric constant gap fill.
  • FIGS. 2[0025] a-d illustrates one embodiment of the present invention using a non-oxide adhesion layer between dielectric layers.
  • FIGS. 3[0026] a-d illustrates another set of embodiments of the present invention using multi-layered hard mask process.
  • FIGS. 4[0027] a,b illustrates use of a hard mask and double coating of low dielectric material.
  • FIGS. 5[0028] a,b shows the use of a hard mask in conjunction with an adhesion layer for dielectrics.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention relates to a method for fabricating planar intermetal dielectric materials. The method by the first embodiment uses a stabilizing adhesion layer between the bottom low dielectric constant layer and top dielectric layer. In the second embodiment of the present invention, the method uses a multi-layered “hard mask” on metal interconnect lines with a silicon oxynitride DARC, dielectric anti-reflective coating on top of metal. The term multi-layered hard mask refers to these layers that exhibit resistance to being chemical-mechanical polished (CMP) back in the planarization process. In the third embodiment of the present invention, a hard mask stack over the interconnect metal lines with silicon oxynitride DARC, dielectric anti-reflective coating, on top of metal, is used, and in addition, an adhesion and stabilization layer is used between the low dielectric material and the top dielectric layer. [0029]
  • As background to the present invention, a conventional method of fabricating intermetal dielectric (IMD) is illustrated as Prior Art in a cross-sectional sketch in FIGS. 1. As shown in FIG. 1, a [0030] semiconductor substrate 1 is the base starting material, such as single-crystal silicon on which are formed semiconductor devices. The devices are not shown in the Figs. to simplify the drawings and the discussion. For example, the method can be applied to integrated circuits having devices such as field effect transistors (FET's), bipolar transistors and the like made in and on the substrate surface. A first insulating layer 2 is deposited over the substrate 1 having semiconductor devices. Preferably the first insulating layer is composed of a silicon oxide (SiO2) and is deposited by low pressure chemical vapor deposition (LPCVD), or by sub-atmospheric chemical vapor deposition (SACVD), using a reactant gas such as tetraethosiloxane (TEOS) and oxygen (O2) or ozone (O3). Preferably layer 2 is deposited to a thickness of between about 5000 and 10000 Angstroms. Layer 2 serves as the pre-metal interlevel dielectric (PMD) that provides electrical insulation of the devices from the level of metal interconnections that are made next.
  • Contact openings (not shown in Figs.) are etched in the first insulating [0031] layer 2 to form contacts to the devices, such as source/drain contact areas and gate electrodes of FET's, or to emitter, base and collector areas of bipolar devices. The contacts can be etched, for example, by high-density plasma (HDP) etching in an etchant gas such as trifluoromethane (CHF3), which selectively etches the oxide to the silicon substrate 1. A barrier layer, which is not explicitly depicted in the Figs., is deposited over the first insulating layer 2 and in the contact openings. The barrier layer, typically composed of titanium (Ti)/titanium nitride (TiN) or titanium tungsten (TiW) is used to prevent aluminum penetration into the shallow junctions of the devices and to improve adhesion. A first conductive layer is now deposited and patterned to form defined interconnect metal lines 4, (with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal), on the surface of a silicon oxide layer 2, the interlevel dielectric layer (ILD). A low dielectric constant, low k, material 6 is deposited over and in between the interconnect metal lines 4. Over the low dielectric material is a deposited “cap silicon oxide layer” 8 that helps to planarize the surface and can be chemical-mechanical polished (CMP) back to further planarize the surface. Multilevel interconnect metal line structures, with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal, can be fabricated by this method. The low dielectric constant material in between and around the interconnect metal lines reduces the parasitic capacitance of the metal lines and hence increases signal speed and performance by lowering the RC time constant of the lines.
  • Several problems arise by this conventional method, shown in FIG. 1. One main concern is the adhesion of the [0032] cap oxide 8 to the underlying layer of low dielectric constant material 6. Other concerns are the introduction of reaction gases that include oxygen and nitrous oxide gases prior to the cap oxide deposition. These gases are necessary for reaction chamber stabilization and react with the surface of the low dielectric constant layer, making adhesion of the subsequent cap oxide worse. The present invention solves these problems, as described in the following section, starting with FIG. 2.
  • As shown in FIG. 2[0033] a, the interconnect metal lines 4 are defined on a semiconductor substrate 1 with an interlevel dielectric layer (ILD) 2, all with conventional processing. In FIG. 2b, one embodiment of the present invention is illustrated, showing a low dielectric constant material deposited on and in between the metal lines 4, but now a thin non-oxide adhesion layer, such as, silicon nitride 7 is deposited on top of the low dielectric constant layer 6. Other adhesion layers can be used, e.g., chemical vapor deposition (CVD) of SiC and related compounds of BC, BCN, BN or spun on materials.
  • The low dielectric constant material is spun on dielectric (SOD), especially useful are organic compounds, which are coated by the spin on method to a thickness from about 4,000 to 12,000 Angstroms. Curing conditions are: 1 hr. at 400° C., in a nitrogen ambient, with gas flow of between 1 to 30 LM. The low dielectric constant material has a dielectric constant of less than 2.8. [0034]
  • The deposition conditions of the non-oxide, dielectric layer, such as, the silicon nitride layer are, plasma enhanced chemical vapor deposition (PECVD): film thickness from about 200 to 500 Angstroms, temperatures from about 300 to 400° C., deposition rates from about 900 to 8,000 Angstroms/min, ammonia gas flow from about 80 to 200 sccm and silane gas flow from about 200 to 350 sccm, with pressures from about 1 to 10 Torr, RF power from about 400 to 800 Watts. [0035]
  • In FIG. 2[0036] c is sketched the cap silicon oxide 8 is deposited onto the adhesion layer 7 and this cap oxide helps to planarize the surface. Further planarization of the surface is achieved by then chemical-mechanical polishing (CMP) of the top cap oxide, as shown is FIG. 2c. Finally in the process, FIG. 2d, via openings are fabricated by defining the via area 12 by photolithography and then etching the openings through: cap oxide 8, thin adhesion layer 7 and low dielectric material 6. Conductive metal 14 is deposited into the via opening to connect the metal lines below. The excess conductive metal is then removed and the surface planarized by chemical-mechanical polish (CMP), as shown in FIG. 2d. The cap oxide is silicon oxide deposited by plasma enhanced chemical vapor deposition (PECVD), in the thickness range from about 4,000 to 16,000 Angstroms, and having a dielectric constant of about 4.0.
  • Another embodiment of the present invention is illustrated in FIG. 3. It can be termed, a multi-layered “hard mask” on metal with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal stack. The term hard mask refers to the layers resistance to being chemical-mechanical polished (CMP) back. As shown in FIG. 3[0037] a, a layer of metal is deposited on an interlevel dielectric layer 2 and then a hard mask layer of silicon nitride 7 and silicon oxide layer 9 are deposited. This multi-layered hard mask (with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal stack) can just be silicon nitride alone, or just silicon oxide, or can be the combination of both silicon nitride 7 and silicon oxide 9, as shown in FIG. 3a.
  • The silicon nitride deposition is the same, as described earlier, for plasma enhanced chemical vapor deposition (PECVD), with nitride thickness from about 200 to 500 Angstroms. The deposition conditions for the silicon oxide above are the following, plasma enhanced chemical vapor deposition (PECVD): film thickness from about 1,000 to 2,000 Angstroms, silane gas flow from about 70 to 200 sccm, nitrous oxide gas flow from about 1,500 to 3,000 sccm, pressure from about 1 to 10 Torr, temperature from about 300 to 400° C., and RF power from about 50 to 100 Watts. [0038]
  • Next in the process is the masking and etching of the interconnect metal lines, as illustrated in FIG. 3[0039] b. Now with the hard mask 16 in place on the metal lines, a low dielectric constant layer 18 is deposited over the surface and cured by a curing process. This low dielectric material is a carbon based organic polymer, in a thickness range from about 4,000 to 10,000 Angstroms, spun on at spin speeds from about 2,000 to 4,000 rpm for a time of 1 minute. The curing process is at 400° C., for 1 hr., in ambient of nitrogen gas with flows from about 1 to 30 sccm and limiting oxygen concentrate to less than 10 ppm.
  • In FIG. 3[0040] d, the low dielectric constant material 18 is chemical-mechanical polished (CMP) back with the hard mask 16 in place over the metal. The surface is planarized by the CMP and the process stops on the hard mask, removing some of the hard mask, e.g., silicon oxide, in the process. As shown in FIG. 3d, some of the hard mask remains as the silicon nitride layer 20. At this stage in the process, this invention branches off into two separate embodiments. One embodiment involves a double coating of low dielectric material and the deposition of single or multiple layers of hard mask material, as sketched in FIG. 4a and FIG. 4b. The other embodiment branches off to using an adhesion promoter and stabilization layer for cap oxide over both a hard mask stack and over low dielectric oxide, illustrated in FIG. 5a and FIG. 5b. Combinations of these two branch processing schemes can also be applied. A more detailed description of each branch is given in the next few paragraphs.
  • As stated above, the process follows the scheme described and shown in FIGS. 3[0041] a-d. Then as illustrated in FIG. 4a, a second layer of low dielectric constant material 22, or double coating, is applied over a hard mask 20 consisting of silicon nitride. Next another hard mask layer is deposited, FIG. 4a over the second low dielectric layer. This second hard mask layer 24 can be composed of just silicon nitride, or just silicon oxide or both materials. This process is followed by via definition and etching for via opening 26, as shown in FIG. 4b. Conductive metal is deposited and excess metal is chemical-mechanical polished (CMP) back to form electrically conductive vias (26) to the interconnect metal lines (4) below.
  • The process for the second low dielectric constant material above, is the same as described before, organic based polymer, spun on dielectric (SOD) with a thickness from about 4,000 to 12,000 Angstroms and with the curing conditions the same as described before. The second hard mask process conditions are the same as in the first hard mask process, described before. [0042]
  • The process conditions for via opening is the following: reactive ion etch (RIE) for low dielectric constant via opening, RF power from about 800 to 1,500 Watts, pressure from about 300 to 800 milli-Torr, reactive gases and flow rates N[0043] 2 from about 100 to 300 sccm, H2 from about 100 to 300 sccm, C4F8 from about 1 to 10 sccm.
  • The process conditions for the opening of the first hard mask layer are as follows: reactive ion etch (RIE), RF power from about 300 to 1,000 Watts, pressure from about 30 to 100 milli-Torr, gases and flows CH[0044] 2F2 from about 10 to 50 sccm, O2 from about 10 to 30 sccm, Ar from about 50 to 200 sccm.
  • As stated above, the next embodiment of the process follows the same scheme as described and shown in FIGS. 3[0045] a-d. Then as illustrated in FIG. 5a, a thin layer of non-oxide adhesion promoter 7, e.g., silicon nitride, is deposited both over the hard mask 20 and the low dielectric constant layer 18. Next a cap oxide layer (28) is deposited, shown in FIG. 5a. This process is followed by via definition and etching for via opening 30, as shown in FIG. 5b. Conductive metal is deposited and excess metal is chemical-mechanical polished (CMP) back to form electrically conductive vias (32) to the interconnect metal lines (4) below.
  • In summary, several embodiments of this invention were described: (i) the use of a non-oxide adhesion promoter for cap oxide, as illustrated in FIGS. 2[0046] a-d, (ii) the use of a double coating of low dielectric material and double hard mask material, as illustrated in FIGS. 3a-d and FIGS. 4a,b, (iii) the use of a hard mask material (with silicon oxynitride DARC, dielectric anti-reflective coating on top of metal stack), in combination with, the use of an adhesion promoter for cap oxide, as sketched in FIGS. 3a-d and FIGS. 5a,b. Other combinations of the above embodiments are possible and should be understood as part of this invention, as stated in the next paragraph below.
  • While the invention has been particularly shown and described with reference to the preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention. [0047]

Claims (22)

What is claimed is:
1. A method for fabricating multilevel metal interconnections having low dielectric constant insulators on a substrate comprising the steps of:
providing a semiconductor substrate having semiconductor devices in and on said substrate;
depositing a first insulating layer over said devices, and further having contact openings in said first insulating layer for electrical contacts to said devices;
forming a metal barrier layer on said insulating layer and in said contact openings;
depositing a first conductive layer for contacting said contact openings;
patterning said first conductive layer and said metal barrier layer to form first metal lines as interconnections for said devices;
coating a layer of low dielectric constant insulating material on and in between said patterned interconnect metal lines;
curing the low dielectric constant material;
depositing thin layer of an adhesion promoter and stabilizing material on the low dielectric constant material;
depositing a silicon oxide cap layer on the adhesion promoter and over the low dielectric constant material;
planarizing the oxide surface with chemical mechanical polish (CMP);
defining via openings and etching to open electrical contacts to the underlying interconnect metal;
depositing conductive metal over the via openings;
removing the excess said conductive metal by CMP;
patterning of said conductive layer to form the next level of metal interconnections.
2. The method of
claim 1
, wherein said low dielectric constant material is SOD, spun on dielectric, especially organic compounds and is coated by a spin-on coater to a thickness of about 4,000 to 12,000 Angstroms, having a dielectric constant of less than 2.8 with curing conditions at 400° C. for 1 hr., nitrogen ambient gas flow from about 1 to 30 SLM, oxygen less than 10 ppm.
3. The method of
claim 1
, wherein said layer of adhesion promoter and stabilizer is a non-oxide compound, e.g., silicon nitride deposited by PECVD, plasma enhanced chemical vapor deposition in the thickness range from about 200 to 500 Angstroms.
4. The method of
claim 1
, wherein said layer of cap oxide or oxide cap is silicon oxide deposited by PECVD, plasma enhanced chemical vapor deposition in the thickness range from about 4,000 to 16,000 Angstroms, having a dielectric constant of about 4.0.
5. The method of
claim 5
, wherein multilevel metal processing can be performed on the planar surface by repeating the said process steps.
6. A method for fabricating multilevel metal interconnections having low dielectric constant insulators on a substrate comprising the steps of:
providing a semiconductor substrate having semiconductor devices in and on said substrate;
depositing a first insulating layer over said devices, and further having contact openings in said first insulating layer for electrical contacts to said devices;
forming a metal barrier layer on said insulating layer and in said contact openings;
depositing a first conductive layer for contacting said contact openings and forming a metal stack on the interlevel dielectric layer (ILD);
depositing hard mask layer of silicon nitride and then silicon oxide on top of metal layer, with silicon oxynitride DARC, Dielectric anti-reflective coating on top of metal stack;
patterning said metal stack consisting of hard mask layer and metal stack layer with said metal barrier layer to form first metal lines as interconnections For said devices;
coating a layer of low dielectric constant insulating material on and in between said patterned interconnect metal lines;
curing the low dielectric constant material;
chemical mechanical polishing (CMP) back and planarizing the surface of said low dielectric constant material with hard mask on top of metal stack;
stopping the CMP polishing on the hard mask layer;
coating the surface with a second layer of low dielectric material;
curing the low dielectric constant material;
depositing a second hard mask layer of silicon nitride and then silicon oxide over the second low dielectric constant layer;
defining via openings and etching for vias to open vias to the underlying interconnect metal;
depositing conductive metal and forming metal contacts by chemical mechanical polishings (CMP) the excess metal, stopping on the polishing on the hard mask layer, thus forming low dielectric constant, low capacitance structures.
7. The method of
claim 6
, wherein said first hard mask layer is composed of silicon nitride and silicon oxide is deposited by PECVD, plasma enhanced chemical vapor deposition to a thickness of about 200 to 500 Angstroms of silicon nitride and to thickness of about 1,000 to 2,000 Angstroms of silicon oxide.
8. The method of
claim 6
, wherein said first low dielectric constant material is SOD, spun on dielectric, especially low dielectric organic compounds, and is coated by a spin-on coater to a thickness of about 4,000 to 12,000 Angstroms, having a dielectric constant of less than 2.8, with curing conditions of 400° C., 1 hr., N2 gas flow 1 to 30 SLM, O2 less than 10 ppm.
9. The method of
claim 6
, wherein said chemical mechanical polishing (CMP) conditions are: polishing rate 1,000 to 2,500 A/min, platen speed of 20 to 80 rpm, carry speed of 20 to 80 rpm, downward force 2 to 8 psi, backside pressure from 1 to 7 psi, polishing back and stopping on the first hard mask.
10. The method of
claim 6
, wherein said second low dielectric constant material is SOD, spun on dielectric, low dielectric organic compounds especially, and coated by a spin-on coater to a thickness of about 4,000 to 12,000 Angstroms, having a dielectric constant of about of less than 2.8, with curing conditions of 400° C., 1 hr., N2 gas flow 1 to 30 SLM, O2 less than 10 ppm.
11. The method of
claim 6
, wherein said second hard mask layer is composed of silicon nitride and silicon oxide is deposited by PECVD, plasma enhanced chemical vapor deposition to a thickness of about 200 to 500 Angstroms of silicon nitride and to a thickness of about 1,000 to 2,000 Angstroms of silicon oxide.
12. The method of
claim 6
, wherein said hard mask layers, both first and second hard mask layers can be composed of silicon nitride and silicon oxide, or solely silicon nitride, or solely silicon oxide.
13. The method of
claim 6
, wherein said chemical mechanical polishing (CMP) conditions are: polishing rate 1,000 to 2,500 A/min, platen speed of 20 to 80 rpm, carry speed of 20 to 80 rpm, downward force 2 to 8 psi, backside pressure from 1 to 7 psi, polishing back and stopping on the second hard mask.
14. The method of
claim 6
, wherein multilevel metal processing can be performed on the planar surface by repeating the said process steps.
15. A method for fabricating multilevel metal interconnections having low dielectric constant insulators on a substrate comprising the steps of:
providing a semiconductor substrate having semiconductor devices in and on said substrate;
depositing a first insulating layer over said devices, and further having contact openings in said first insulating layer for electrical contacts to said devices;
forming a metal barrier layer on said insulating layer and in said contact openings;
depositing a first conductive layer for contacting said contact openings and forming a metal stack with a silicon oxynitride DARC, dielectric anti-reflective coating, on top of metal, on the interlevel dielectric layer (ILD);
depositing hard mask layer of silicon nitride and then silicon oxide on top of metal layer;
patterning said metal stack consisting of hard mask layer and metal stack layer with said metal barrier layer to form first metal lines as interconnections for said devices;
coating a layer of low dielectric constant insulating material on and in between said patterned interconnect metal lines;
curing the low dielectric constant material;
chemical mechanical polishing (CMP) back and planarizing the surface of said low dielectric constant material with hard mask on top of metal stack;
stopping the CMP polishing on the hard mask layer;
depositing a layer of adhesion promoter over the hard mask and low dielectric constant layer;
depositing a silicon oxide cap layer on the adhesion promoter layer
defining via openings and etching to open electrical contacts to the underlying interconnect metal;
depositing conductive metal over the via openings;
removing the excess said conductive metal by CMP;
patterning of said conductive layer to form the next level of metal interconnections.
16. The method of
claim 15
, wherein said hard mask layer is composed of silicon nitride and silicon oxide is deposited by PECVD, plasma enhanced chemical vapor deposition, to a thickness of about 200 to 500 Angstroms of silicon nitride and to a thickness of about 1,000 to 2,000 Angstroms of silicon oxide.
17. The method of
claim 15
, wherein said hard mask layer can be composed of silicon nitride and silicon oxide, or solely silicon nitride, or solely silicon oxide.
18. The method of
claim 15
, wherein said low dielectric constant material is low dielectric constant SOD, spun on dielectric, low dielectric organic compounds especially, and coated by a spin-on coater to a thickness of about 4,000 to 12,000 Angstroms, having a dielectric constant of about of less than 2.8, with curing conditions of 400° C., 1 hr., N2 gas flow 1 to 30 SLM, O2 less than 10 ppm.
19. The method of
claim 15
, wherein said chemical mechanical polishing (CMP) conditions are: polishing rate 1,000 to 2,500 A/min, platen speed of 20 to 80 rpm, carry speed of 20 to 80 rpm, downward force 2 to 8 psi, backside pressure from 1 to 7 psi, polishing back and stopping on the hard mask.
20. The method of
claim 15
, wherein said layer of adhesion promoter and stabilizer is silicon nitride deposited by PECVD, plasma enhanced chemical vapor deposition in the thickness range from about 200 to 500 Angstroms, which is deposited on hard mask material.
21. The method of
claim 15
, wherein said layer of cap oxide is silicon oxide deposited by PECVD, plasma enhanced chemical vapor deposition, in the thickness range from about 4,000 to 12,000 Angstroms, having a dielectric constant of about 4.0, which is deposited on the adhesion promoter.
22. The method of
claim 15
, wherein multilevel metal processing can be performed on the planar surface repeating the said process steps.
US09/817,473 1999-07-12 2001-03-26 Gap filling process in integrated circuits using low dielectric constant materials Abandoned US20010012687A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/817,473 US20010012687A1 (en) 1999-07-12 2001-03-26 Gap filling process in integrated circuits using low dielectric constant materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/351,237 US6207554B1 (en) 1999-07-12 1999-07-12 Gap filling process in integrated circuits using low dielectric constant materials
US09/817,473 US20010012687A1 (en) 1999-07-12 2001-03-26 Gap filling process in integrated circuits using low dielectric constant materials

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/351,237 Continuation US6207554B1 (en) 1999-07-12 1999-07-12 Gap filling process in integrated circuits using low dielectric constant materials

Publications (1)

Publication Number Publication Date
US20010012687A1 true US20010012687A1 (en) 2001-08-09

Family

ID=23380148

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/351,237 Expired - Lifetime US6207554B1 (en) 1999-07-12 1999-07-12 Gap filling process in integrated circuits using low dielectric constant materials
US09/817,473 Abandoned US20010012687A1 (en) 1999-07-12 2001-03-26 Gap filling process in integrated circuits using low dielectric constant materials

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/351,237 Expired - Lifetime US6207554B1 (en) 1999-07-12 1999-07-12 Gap filling process in integrated circuits using low dielectric constant materials

Country Status (2)

Country Link
US (2) US6207554B1 (en)
SG (1) SG82698A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050164519A1 (en) * 2004-01-26 2005-07-28 Katz Zachary B. Methods of forming planarized surfaces over semiconductor substrates
US9502350B1 (en) * 2016-01-28 2016-11-22 International Business Machines Corporation Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW410435B (en) * 1998-06-30 2000-11-01 United Microelectronics Corp The metal interconnection manufacture by using the chemical mechanical polishing process
US6660618B1 (en) * 1999-08-18 2003-12-09 Advanced Micro Devices, Inc. Reverse mask and oxide layer deposition for reduction of vertical capacitance variation in multi-layer metallization systems
AU2001253893A1 (en) * 2000-04-13 2002-05-27 California Institute Of Technology Micromachined rubber o-ring microfluidic couplers
KR20020002732A (en) * 2000-06-30 2002-01-10 박종섭 Method for forming an insulation layer of a semiconductor device
US6407002B1 (en) * 2000-08-10 2002-06-18 Taiwan Semiconductor Manufacturing Company Partial resist free approach in contact etch to improve W-filling
US6589711B1 (en) * 2001-04-04 2003-07-08 Advanced Micro Devices, Inc. Dual inlaid process using a bilayer resist
DE10118422B4 (en) * 2001-04-12 2007-07-12 Infineon Technologies Ag Method for producing a structured metal-containing layer on a semiconductor wafer
US6740549B1 (en) 2001-08-10 2004-05-25 Integrated Device Technology, Inc. Gate structures having sidewall spacers using selective deposition and method of forming the same
DE10140468B4 (en) * 2001-08-17 2006-01-05 Infineon Technologies Ag Method for producing contact holes on a metallization structure
US6806182B2 (en) 2002-05-01 2004-10-19 International Business Machines Corporation Method for eliminating via resistance shift in organic ILD
CN1222832C (en) * 2002-07-15 2005-10-12 三星电子株式会社 Electronic photoetching equipment with pattern emitter
US6806168B2 (en) * 2002-11-27 2004-10-19 Intel Corporation Healing of micro-cracks in an on-chip dielectric
US7253115B2 (en) * 2003-02-06 2007-08-07 Applied Materials, Inc. Dual damascene etch processes
US6806579B2 (en) * 2003-02-11 2004-10-19 Infineon Technologies Ag Robust via structure and method
US6939792B1 (en) * 2003-03-28 2005-09-06 Cypress Semiconductor Corporation Low-k dielectric layer with overlying adhesion layer
US7071539B2 (en) * 2003-07-28 2006-07-04 International Business Machines Corporation Chemical planarization performance for copper/low-k interconnect structures
US7465670B2 (en) * 2005-03-28 2008-12-16 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer storage medium with enhanced selectivity
DE102006015096B4 (en) * 2006-03-31 2011-08-18 Globalfoundries Inc. A method for reducing the damage caused by polishing in a contact structure by forming a cover layer
US8802569B2 (en) * 2012-03-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device
US11276637B2 (en) * 2019-09-17 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-free interconnect structure and manufacturing method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162583A (en) * 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920015542A (en) * 1991-01-14 1992-08-27 김광호 Multi-layered wiring formation method of semiconductor device
JP2836725B2 (en) * 1993-11-29 1998-12-14 矢崎総業株式会社 Terminal insertion method and terminal insertion device
US5385866A (en) 1994-06-22 1995-01-31 International Business Machines Corporation Polish planarizing using oxidized boron nitride as a polish stop
US5496776A (en) * 1995-04-27 1996-03-05 United Microelectronics Corporation Spin-on-glass planarization process with ion implantation
US5821621A (en) 1995-10-12 1998-10-13 Texas Instruments Incorporated Low capacitance interconnect structure for integrated circuits
US5818111A (en) 1997-03-21 1998-10-06 Texas Instruments Incorporated Low capacitance interconnect structures in integrated circuits using a stack of low dielectric materials
US5759906A (en) 1997-04-11 1998-06-02 Industrial Technology Research Institute Planarization method for intermetal dielectrics between multilevel interconnections on integrated circuits

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162583A (en) * 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050164519A1 (en) * 2004-01-26 2005-07-28 Katz Zachary B. Methods of forming planarized surfaces over semiconductor substrates
US7037840B2 (en) * 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
US20060166502A1 (en) * 2004-01-26 2006-07-27 Katz Zachary B Semiconductor constructions
US7345332B2 (en) 2004-01-26 2008-03-18 Micron Technology, Inc. Semiconductor constructions
US20080128870A1 (en) * 2004-01-26 2008-06-05 Katz Zachary B Semiconductor Constructions
US7554171B2 (en) 2004-01-26 2009-06-30 Micron Technology, Inc. Semiconductor constructions
US9502350B1 (en) * 2016-01-28 2016-11-22 International Business Machines Corporation Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer
US9852980B2 (en) * 2016-01-28 2017-12-26 International Business Machines Corporation Interconnect structure having substractive etch feature and damascene feature
US20180076133A1 (en) * 2016-01-28 2018-03-15 International Business Machines Corporation Interconnect scaling
US10256186B2 (en) * 2016-01-28 2019-04-09 International Business Machines Corporation Interconnect structure having subtractive etch feature and damascene feature

Also Published As

Publication number Publication date
SG82698A1 (en) 2001-08-21
US6207554B1 (en) 2001-03-27

Similar Documents

Publication Publication Date Title
US6207554B1 (en) Gap filling process in integrated circuits using low dielectric constant materials
US8158521B2 (en) Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US7564136B2 (en) Integration scheme for Cu/low-k interconnects
US6348407B1 (en) Method to improve adhesion of organic dielectrics in dual damascene interconnects
US5759906A (en) Planarization method for intermetal dielectrics between multilevel interconnections on integrated circuits
US6737747B2 (en) Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6903445B2 (en) Semiconductor device having low-K insulating film
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US7888741B2 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
US6255233B1 (en) In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
US6756321B2 (en) Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US20080166870A1 (en) Fabrication of Interconnect Structures
US6114186A (en) Hydrogen silsesquioxane thin films for low capacitance structures in integrated circuits
KR20030030838A (en) Method of manufacturing semiconductor device having silicon carbide film
EP1037276B1 (en) Method for forming a porous silicon dioxide film
US7172964B2 (en) Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US6472330B1 (en) Method for forming an interlayer insulating film, and semiconductor device
US5920791A (en) Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
US6455426B1 (en) Method for making a semiconductor device having copper conductive layers
KR20070019748A (en) Fabrication of interconnect structures
TW457633B (en) Gap filling process in integrated circuits using low dielectric constant materials
US20060292859A1 (en) Damascene process using dielectic layer containing fluorine and nitrogen

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION