US20010011756A1 - Method for forming shallow source/drain extension for mos transistor - Google Patents

Method for forming shallow source/drain extension for mos transistor Download PDF

Info

Publication number
US20010011756A1
US20010011756A1 US09/145,785 US14578598A US2001011756A1 US 20010011756 A1 US20010011756 A1 US 20010011756A1 US 14578598 A US14578598 A US 14578598A US 2001011756 A1 US2001011756 A1 US 2001011756A1
Authority
US
United States
Prior art keywords
gate
drain regions
substrate
implanting
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/145,785
Other versions
US6313505B2 (en
Inventor
Bin Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/145,785 priority Critical patent/US6313505B2/en
Assigned to ADVANCED MICRO DEVICES reassignment ADVANCED MICRO DEVICES ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YU, BIN
Publication of US20010011756A1 publication Critical patent/US20010011756A1/en
Application granted granted Critical
Publication of US6313505B2 publication Critical patent/US6313505B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer

Definitions

  • the present invention relates generally to semiconductor fabrication, and more particularly to methods for fabricating improved ultra-large scale integration (ULSI) semiconductor devices such as ULSI metal oxide silicon field effect transistors (MOSFETs).
  • ULSI ultra-large scale integration
  • MOSFETs metal oxide silicon field effect transistors
  • Semiconductor chips or wafers are used in many applications, including as processor chips for computers, and as integrated circuits and as flash memory for hand held computing devices, wireless telephones, and digital cameras. Regardless of the application, it is desirable that a semiconductor chip hold as many circuits or memory cells as possible per unit area. In this way, the size, weight, and energy consumption of devices that use semiconductor chips advantageously is minimized, while nevertheless improving the memory capacity and computing power of the devices.
  • a common circuit component of semiconductor chips is the transistor.
  • a transistor is established by forming a polysilicon gate on a silicon substrate, and then forming a source region and a drain region side by side in the substrate beneath the gate by implanting appropriate dopant materials into the areas of the substrate that are to become the source and drain regions.
  • the gate is insulated from the source and drain regions by a thin gate oxide layer, with small portions of the source and drain regions, referred to as “extensions”, directly underlying the gate. This generally-described structure cooperates to function as a transistor.
  • elevated source and drain regions that are formed next to the gate on top of the substrate have been proposed.
  • the elevated source and drain structures have heretofore been formed by epitaxy, which is a high temperature process for depositing pure silicon on the substrate to establish the elevated source and drain regions.
  • epitaxy typically requires a deposition temperature of in excess of 1100° C.
  • the use of such a high temperature degrades the profiles of dopant implants and, hence, degrades transistor performance.
  • epitaxy is an expensive process to undertake.
  • the present invention recognizes and addresses one or more of the above-noted problems.
  • a method for establishing at least one transistor on a semiconductor device includes forming a gate on a semiconductor substrate, and depositing at least one protective layer on the gate. At least one dopant substance is pre-implanted into the substrate, with the protective layer substantially preventing implanting of the dopant substance through the layer into the gate. As intended by the present invention, the pre-implanting of the dopant substance promotes subsequent formation of source and drain extensions. Then, elevated source and drain regions are formed on the substrate adjacent the gate, and at least the elevated source and drain regions are implanted with at least one dopant substance to establish the transistor.
  • At least one sidewall spacer is established on the gate prior to the pre-implanting step, and at least one amorphization substance is implanted into the substrate prior to the pre-implanting step.
  • the protective layer substantially prevents implanting the amorphization substance through the layer into the gate.
  • the protective layer is then removed from the gate prior to implanting the elevated source and drain regions with dopant, such that at least one dopant substance is implanted into the gate when the elevated source and drain regions are implanted with dopant.
  • the method can further include rapidly thermally annealing at least the elevated source and drain regions after implanting the elevated source and drain regions with dopant. This establishes source and drain extension regions in the substrate, which is promoted by the pre-implanting of dopant and amorphization substance. The gate and the elevated source and drain regions are then silicidized.
  • the elevated source and drain regions are formed by depositing one or more of polysilicon and polygermanium on the substrate.
  • the polysilicon/polygermanium is deposited at a temperature of no more than one thousand degrees Celsius (1000° C.), and indeed can be deposited at a temperature of about six hundred degrees Celsius (600° C.).
  • a semiconductor device made according to the present method, and a digital processing apparatus incorporating the device, are also disclosed.
  • a method for making an ultra-large scale integration (ULSI) semiconductor device includes forming at least one gate on at least one semiconductor substrate, and forming elevated source and drain regions above the substrate by depositing at least one poly-semiconductor substance on the substrate.
  • ULSI ultra-large scale integration
  • a semiconductor device includes at least one transistor.
  • the transistor includes a gate disposed on a silicon substrate and elevated source and drain regions on the substrate next to the gate.
  • the source and drain regions include at least polysilicon and/or polygermanium.
  • FIG. 1 is a schematic diagram of a semiconductor device made according to the present invention, shown in combination with a digital processing apparatus;
  • FIG. 2 is a flow chart showing the steps of the present invention
  • FIG. 3 is a side view of the device after the gate polysilicon and gate oxide has been formed, during preamorphization implanting;
  • FIG. 4 is a side view of the device after the nitride spacers have been formed, during source and drain extension region dopant implantation;
  • FIG. 5 is a side view of the device after deposition of the undoped polysilicon adjacent the gate
  • FIG. 6 is a side view of the device after the protective SiON layer has been removed, the source and drain regions have been implanted with dopant, and the device has undergone rapid thermal annealing to form the source and drain extension regions;
  • FIG. 7 is a side view of the device after silicidation.
  • a semiconductor device embodied as a chip 10 is shown incorporated into a digital processing apparatus such as a computer 12 .
  • the chip 10 is made in accordance with the below disclosure.
  • a transistor gate is formed on a silicon or other semiconductor substrate 18 .
  • the gate 16 includes a thin insulating gate oxide layer 20 that faces the substrate 18 and a gate polysilicon stack 22 on the gate oxide layer 20 .
  • a protective silicon-oxygen-nitrogen (SiON) layer 26 is deposited on the top of the gate 16 .
  • an amorphization substance such as high-dose silicon (Si) or germanium (Ge), represented by the dashed line 28 , is implanted into the substrate 18 as indicated by the arrows 30 .
  • the implantation of the amorphization substance promotes formation of source and drain extensions under the gate 16 during subsequent steps disclosed below.
  • the protective layer 26 substantially prevents implanting the amorphization substance through the layer 26 into the gate 16 .
  • nitride sidewall spacers 34 are deposited on the substrate 18 and etched in accordance with well-known principles to establish the shoulder configuration around the sides 36 of the gate 16 as shown in FIG. 4.
  • appropriate dopant substances are preimplanted into the substrate 18 as represented by the dashed line 38 and as indicated by the arrows 40 .
  • the dopant substances could be, e.g., boron fluoride (BF 2 ), arsenic, boron, or phosphorous.
  • BF 2 boron fluoride
  • the pre-implanting of dopant promotes subsequent formation of source and drain extensions during subsequent processes described below.
  • the protective SiON layer 26 substantially prevents implanting the dopant substance through the layer 26 into the gate 16 .
  • the process moves to block 42 of FIG. 2, wherein one or more undoped polysemiconductor substances such as polysilicon and polygermanium, and preferably both, are deposited onto the substrate 18 next to the gate 16 at a temperature of less than one thousand degrees Celsius (1000° C.) and preferably at a temperature of about six hundred degrees Celsius (600° C.) to establish elevated source and drain regions 44 , 46 , as shown in FIG. 5.
  • the source and drain regions 44 , 46 are elevated in the sense that they are disposed above the substrate 18 , looking down on FIG. 5.
  • the polysemiconductor substance is selective as it is deposited, in that it does not deposit on the field oxide of the chip 10 nor does it deposit on the SiON protective layer 26 . It is to be understood that the positions of the source and drain regions 44 , 46 relative to the gate 16 can be reversed from those shown, depending on the type of transistor desired.
  • the SiON protective layer 26 is next removed from the gate 16 by, e.g., wet etching. After removal of the protective layer 26 , appropriate dopants are implanted into the elevated source and drain regions 44 , 46 and into the gate 16 , as indicated by the arrows 50 .
  • the structure is next subjected to rapid thermal annealing (RTA) using RTA principles known in the art to establish source and drain extension regions 54 , 56 in the substrate 18 . More specifically, under the influence of RTA, the dopant that had been pre-implanted in the substrate 18 at block 32 in FIG. 2 diffuses laterally in the substrate 18 to establish at least portions of the extension regions 54 , 56 directly under the gate 16 . This diffusion is promoted by the amorphous substance or substances that were implanted in the substrate 18 at block 24 of FIG. 2.
  • RTA rapid thermal annealing
  • portions 60 , 62 , respectively, of the elevated source and drain regions 44 , 46 , and portion 64 of the gate 16 are silicidized, as indicated by cross-hatch lines in FIG. 6.
  • the silicidation is undertaken by sputtering a refractory material such as titanium or cobalt onto the portions 60 , 62 , 64 .
  • the chip 10 can include plural transistors, each substantially identical to that shown, as well as other circuit components. All structural and functional equivalents to the elements of the above-described preferred embodiment that are known to those of ordinary skill in the art are expressly incorporated herein by reference and are intended to be encompassed by the present claims. Moreover, it is not necessary for a device or method to address each and every problem sought to be solved by the present invention, for it to be encompassed by the present claims.

Abstract

A method for making a ULSI MOSFET chip includes forming the gate of a transistor on a silicon substrate, covering the gate with a SiON protective layer, and then implanting a pre-amorphization high dose Si or Ge implant into the substrate. Next, dopant is pre-implanted into the substrate to promote subsequent formation of source and drain extensions, with the SiON layer protecting the gate from the pre-amorphization high dose Si or Ge and from the dopant. Undoped polysilicon and polygermanium is then deposited onto the substrate adjacent the gate at relatively low temperatures (600° C.) to establish elevated source and drain regions without excessively thermally stressing the chip. The SiON layer is removed from the gate, and the gate and elevated source and drain regions are implanted with dopant, followed by rapid thermal annealing to form the source and drain extensions in the substrate below the gate. The gate and elevated source and drain regions are then silicidized.

Description

    TECHNICAL FIELD
  • The present invention relates generally to semiconductor fabrication, and more particularly to methods for fabricating improved ultra-large scale integration (ULSI) semiconductor devices such as ULSI metal oxide silicon field effect transistors (MOSFETs). [0001]
  • BACKGROUND OF THE INVENTION
  • Semiconductor chips or wafers are used in many applications, including as processor chips for computers, and as integrated circuits and as flash memory for hand held computing devices, wireless telephones, and digital cameras. Regardless of the application, it is desirable that a semiconductor chip hold as many circuits or memory cells as possible per unit area. In this way, the size, weight, and energy consumption of devices that use semiconductor chips advantageously is minimized, while nevertheless improving the memory capacity and computing power of the devices. [0002]
  • A common circuit component of semiconductor chips is the transistor. In ULSI semiconductor chips, a transistor is established by forming a polysilicon gate on a silicon substrate, and then forming a source region and a drain region side by side in the substrate beneath the gate by implanting appropriate dopant materials into the areas of the substrate that are to become the source and drain regions. The gate is insulated from the source and drain regions by a thin gate oxide layer, with small portions of the source and drain regions, referred to as “extensions”, directly underlying the gate. This generally-described structure cooperates to function as a transistor. [0003]
  • As the dimensions of MOSFETs desirably are reduced as discussed above, it will be readily appreciated that the depth to which the source and drain extensions of a transistor penetrates the silicon substrate decreases. Unfortunately, very shallow source/drain extensions are characterized by relatively high series resistances measured laterally across the extensions (i.e., measured in a dimension that is orthogonal to the depth of the extension in the substrate), which is a major cause of drive current degradation in ULSI transistors. Moreover, the shallow extensions increase the difficulty of forming otherwise desirable silicide in the source and drain regions. [0004]
  • To address the above-noted problem, elevated source and drain regions that are formed next to the gate on top of the substrate have been proposed. The elevated source and drain structures, however, have heretofore been formed by epitaxy, which is a high temperature process for depositing pure silicon on the substrate to establish the elevated source and drain regions. Indeed, epitaxy typically requires a deposition temperature of in excess of 1100° C. As recognized herein, the use of such a high temperature degrades the profiles of dopant implants and, hence, degrades transistor performance. Further, epitaxy is an expensive process to undertake. The present invention recognizes and addresses one or more of the above-noted problems. [0005]
  • BRIEF SUMMARY OF THE INVENTION
  • A method is disclosed for establishing at least one transistor on a semiconductor device. The method includes forming a gate on a semiconductor substrate, and depositing at least one protective layer on the gate. At least one dopant substance is pre-implanted into the substrate, with the protective layer substantially preventing implanting of the dopant substance through the layer into the gate. As intended by the present invention, the pre-implanting of the dopant substance promotes subsequent formation of source and drain extensions. Then, elevated source and drain regions are formed on the substrate adjacent the gate, and at least the elevated source and drain regions are implanted with at least one dopant substance to establish the transistor. [0006]
  • In a preferred embodiment, at least one sidewall spacer is established on the gate prior to the pre-implanting step, and at least one amorphization substance is implanted into the substrate prior to the pre-implanting step. The protective layer substantially prevents implanting the amorphization substance through the layer into the gate. Preferably, the protective layer is then removed from the gate prior to implanting the elevated source and drain regions with dopant, such that at least one dopant substance is implanted into the gate when the elevated source and drain regions are implanted with dopant. [0007]
  • As disclosed in detail below, the method can further include rapidly thermally annealing at least the elevated source and drain regions after implanting the elevated source and drain regions with dopant. This establishes source and drain extension regions in the substrate, which is promoted by the pre-implanting of dopant and amorphization substance. The gate and the elevated source and drain regions are then silicidized. [0008]
  • To minimize the temperature to which the device is subjected during fabrication, the elevated source and drain regions are formed by depositing one or more of polysilicon and polygermanium on the substrate. With this structure, the polysilicon/polygermanium is deposited at a temperature of no more than one thousand degrees Celsius (1000° C.), and indeed can be deposited at a temperature of about six hundred degrees Celsius (600° C.). A semiconductor device made according to the present method, and a digital processing apparatus incorporating the device, are also disclosed. [0009]
  • In another aspect, a method for making an ultra-large scale integration (ULSI) semiconductor device includes forming at least one gate on at least one semiconductor substrate, and forming elevated source and drain regions above the substrate by depositing at least one poly-semiconductor substance on the substrate. [0010]
  • In still another aspect, a semiconductor device includes at least one transistor. In turn, the transistor includes a gate disposed on a silicon substrate and elevated source and drain regions on the substrate next to the gate. In accordance with the present invention, the source and drain regions include at least polysilicon and/or polygermanium. [0011]
  • Other features of the present invention are disclosed or apparent in the section entitled “DETAILED DESCRIPTION OF THE INVENTION”. [0012]
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 is a schematic diagram of a semiconductor device made according to the present invention, shown in combination with a digital processing apparatus; [0013]
  • FIG. 2 is a flow chart showing the steps of the present invention; [0014]
  • FIG. 3 is a side view of the device after the gate polysilicon and gate oxide has been formed, during preamorphization implanting; [0015]
  • FIG. 4 is a side view of the device after the nitride spacers have been formed, during source and drain extension region dopant implantation; [0016]
  • FIG. 5 is a side view of the device after deposition of the undoped polysilicon adjacent the gate; [0017]
  • FIG. 6 is a side view of the device after the protective SiON layer has been removed, the source and drain regions have been implanted with dopant, and the device has undergone rapid thermal annealing to form the source and drain extension regions; and [0018]
  • FIG. 7 is a side view of the device after silicidation. [0019]
  • DETAILED DESCRIPTION OF THE INVENTION
  • Referring initially to FIG. 1, a semiconductor device embodied as a [0020] chip 10 is shown incorporated into a digital processing apparatus such as a computer 12. The chip 10 is made in accordance with the below disclosure.
  • Now referring to FIGS. 2 and 3, as indicated at block [0021] 14 in FIG. 2 and as shown in FIG. 3, using conventional semiconductor fabrication techniques including low pressure chemical vapor deposition (LPCVD) and appropriate etching and lithography, a transistor gate, generally designated 16, is formed on a silicon or other semiconductor substrate 18. As shown, the gate 16 includes a thin insulating gate oxide layer 20 that faces the substrate 18 and a gate polysilicon stack 22 on the gate oxide layer 20.
  • Additionally, as indicated at [0022] block 24 in FIG. 2 and as shown in FIG. 3, a protective silicon-oxygen-nitrogen (SiON) layer 26 is deposited on the top of the gate 16. Then, an amorphization substance such as high-dose silicon (Si) or germanium (Ge), represented by the dashed line 28, is implanted into the substrate 18 as indicated by the arrows 30. As intended by the present invention, the implantation of the amorphization substance promotes formation of source and drain extensions under the gate 16 during subsequent steps disclosed below. Those skilled in the art will recognize that the protective layer 26 substantially prevents implanting the amorphization substance through the layer 26 into the gate 16.
  • Proceeding to block [0023] 32 and now referring to FIG. 4, nitride sidewall spacers 34 are deposited on the substrate 18 and etched in accordance with well-known principles to establish the shoulder configuration around the sides 36 of the gate 16 as shown in FIG. 4. Next, appropriate dopant substances are preimplanted into the substrate 18 as represented by the dashed line 38 and as indicated by the arrows 40. The dopant substances could be, e.g., boron fluoride (BF2), arsenic, boron, or phosphorous. As understood by the present invention, the pre-implanting of dopant promotes subsequent formation of source and drain extensions during subsequent processes described below. The protective SiON layer 26 substantially prevents implanting the dopant substance through the layer 26 into the gate 16.
  • Following the above-described steps, the process moves to block [0024] 42 of FIG. 2, wherein one or more undoped polysemiconductor substances such as polysilicon and polygermanium, and preferably both, are deposited onto the substrate 18 next to the gate 16 at a temperature of less than one thousand degrees Celsius (1000° C.) and preferably at a temperature of about six hundred degrees Celsius (600° C.) to establish elevated source and drain regions 44, 46, as shown in FIG. 5. The source and drain regions 44, 46 are elevated in the sense that they are disposed above the substrate 18, looking down on FIG. 5. Per the present invention, the polysemiconductor substance is selective as it is deposited, in that it does not deposit on the field oxide of the chip 10 nor does it deposit on the SiON protective layer 26. It is to be understood that the positions of the source and drain regions 44, 46 relative to the gate 16 can be reversed from those shown, depending on the type of transistor desired.
  • Moving to block [0025] 48 in FIG. 2 and now considering FIG. 6, the SiON protective layer 26 is next removed from the gate 16 by, e.g., wet etching. After removal of the protective layer 26, appropriate dopants are implanted into the elevated source and drain regions 44, 46 and into the gate 16, as indicated by the arrows 50.
  • Proceeding to block [0026] 52 of FIG. 2, the structure is next subjected to rapid thermal annealing (RTA) using RTA principles known in the art to establish source and drain extension regions 54, 56 in the substrate 18. More specifically, under the influence of RTA, the dopant that had been pre-implanted in the substrate 18 at block 32 in FIG. 2 diffuses laterally in the substrate 18 to establish at least portions of the extension regions 54, 56 directly under the gate 16. This diffusion is promoted by the amorphous substance or substances that were implanted in the substrate 18 at block 24 of FIG. 2.
  • Completing the present description, at [0027] block 58 portions 60, 62, respectively, of the elevated source and drain regions 44, 46, and portion 64 of the gate 16, are silicidized, as indicated by cross-hatch lines in FIG. 6. In one preferred embodiment, the silicidation is undertaken by sputtering a refractory material such as titanium or cobalt onto the portions 60, 62, 64.
  • While the particular METHOD FOR FORMING SHALLOW SOURCE/DRAIN EXTENSION FOR MOS TRANSISTOR as herein shown and described in detail is fully capable of attaining the above-described objects of the invention, it is to be understood that it is the presently preferred embodiment of the present invention and is thus representative of the subject matter which is broadly contemplated by the present invention, that the scope of the present invention fully encompasses other embodiments which may become obvious to those skilled in the art, and that the scope of the present invention is accordingly to be limited by nothing other than the appended claims, in which reference to an element in the singular is not intended to mean “one and only one” unless explicitly so stated, but rather “one or more”. Indeed, although a single transistor structure is shown in the drawings for clarity, the skilled artisan will appreciate that the [0028] chip 10 can include plural transistors, each substantially identical to that shown, as well as other circuit components. All structural and functional equivalents to the elements of the above-described preferred embodiment that are known to those of ordinary skill in the art are expressly incorporated herein by reference and are intended to be encompassed by the present claims. Moreover, it is not necessary for a device or method to address each and every problem sought to be solved by the present invention, for it to be encompassed by the present claims.

Claims (25)

What is claimed is:
1. A method for establishing at least one transistor on a semiconductor device, comprising:
forming a gate on a semiconductor substrate;
depositing at least one protective layer on the gate;
pre-implanting at least one dopant substance into the substrate to promote subsequent formation of source and drain extensions, the protective layer substantially preventing implanting the dopant substance through the layer into the gate; then
forming elevated source and drain regions on the substrate adjacent the gate; and
implanting at least the elevated source and drain regions with at least one dopant substance to establish the transistor.
2. The method of
claim 1
, further comprising:
implanting at least one amorphization substance into the substrate prior to the pre-implanting step, the protective layer substantially preventing implanting the amorphization substance through the layer into the gate.
3. The method of
claim 2
, further comprising removing the protective layer from the gate prior to implanting the elevated source and drain regions with dopant, such that at least one dopant substance is implanted into the gate when the elevated source and drain regions are implanted with dopant.
4. The method of
claim 2
, further comprising rapidly thermally annealing at least the elevated source and drain regions after implanting the elevated source and drain regions with dopant.
5. The method of
claim 4
, further comprising silicidizing the gate and the elevated source and drain regions after the annealing step.
6. The method of
claim 5
, further comprising establishing at least one sidewall spacer on the gate prior to the pre-implanting step.
7. The method of
claim 1
, wherein the elevated source and drain regions are formed by depositing one or more of polysilicon and polygermanium on the substrate.
8. The method of
claim 7
, wherein the one or more of polysilicon and polygermanium is deposited at a temperature of no more than one thousand degrees Celsius (1000° C.).
9. The method of
claim 8
, wherein the one or more of polysilicon and polygermanium is deposited at a temperature of about six hundred degrees Celsius (600° C.).
10. A semiconductor device made according to
claim 1
.
11. A digital processing apparatus incorporating the device of
claim 10
.
12. A method for making an ultra-large scale integration (ULSI) semiconductor device, comprising:
forming at least one gate on at least one semiconductor substrate; and
forming elevated source and drain regions above the substrate by depositing at least one poly-semiconductor substance on the substrate.
13. The method of
claim 12
, wherein the elevated source and drain regions are formed by depositing polysilicon and polygermanium on the substrate at a temperature of no more than one thousand degrees Celsius (1000° C.).
14. The method of
claim 13
, wherein the polysilicon and polygermanium are deposited at a temperature of about six hundred degrees Celsius (600° C.).
15. The method of
claim 12
, further comprising:
prior to forming the elevated source and drain regions:
depositing at least one protective layer on the gate; and
pre-implanting at least one dopant substance into the substrate to promote subsequent formation of source and drain extensions, the protective layer substantially preventing implanting the dopant substance through the layer into the gate.
16. The method of
claim 15
, further comprising:
implanting at least one amorphization substance into the substrate prior to the pre-implanting step, the protective layer substantially preventing implanting the amorphization substance through the layer into the gate.
17. The method of
claim 15
, further comprising:
removing the protective layer from the gate; then
implanting at least one dopant substance into at least the elevated source and drain regions and into the gate.
18. The method of
claim 17
, further comprising rapidly thermally annealing at least the elevated source and drain regions after the implanting step.
19. The method of
claim 18
, further comprising silicidizing the gate and the elevated source and drain regions after the annealing step.
20. The method of
claim 16
, further comprising establishing at least one sidewall spacer on the gate prior to the pre-implanting step.
21. A semiconductor device made according to
claim 12
.
22. A digital processing apparatus incorporating the device of
claim 21
.
23. A semiconductor device including at least one transistor including a gate disposed on a silicon substrate and elevated source and drain regions on the substrate next to the gate, the source and drain regions including at least polysilicon and/or polygermanium.
24. The device of
claim 23
, wherein the source and drain regions are silicidized.
25. A digital processing apparatus incorporating the device of
claim 23
.
US09/145,785 1998-09-02 1998-09-02 Method for forming shallow source/drain extension for MOS transistor Expired - Lifetime US6313505B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/145,785 US6313505B2 (en) 1998-09-02 1998-09-02 Method for forming shallow source/drain extension for MOS transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/145,785 US6313505B2 (en) 1998-09-02 1998-09-02 Method for forming shallow source/drain extension for MOS transistor

Publications (2)

Publication Number Publication Date
US20010011756A1 true US20010011756A1 (en) 2001-08-09
US6313505B2 US6313505B2 (en) 2001-11-06

Family

ID=22514541

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/145,785 Expired - Lifetime US6313505B2 (en) 1998-09-02 1998-09-02 Method for forming shallow source/drain extension for MOS transistor

Country Status (1)

Country Link
US (1) US6313505B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030223258A1 (en) * 2002-06-04 2003-12-04 Wei Andy C. Method of making an soi semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
US20040023500A1 (en) * 2002-08-05 2004-02-05 International Business Machines Corporation Method for blocking implants from the gate of an electronic device via planarizing films
US20050233558A1 (en) * 2003-06-20 2005-10-20 Fujitsu Limited Semiconductor device and manufacturing method thereof
US20090108336A1 (en) * 2007-10-31 2009-04-30 Kai Frohberg Method for adjusting the height of a gate electrode in a semiconductor device

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3054123B2 (en) * 1998-06-08 2000-06-19 アプライド マテリアルズ インコーポレイテッド Ion implantation method
US6211026B1 (en) * 1998-12-01 2001-04-03 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming elevated source/drain regions of a field effect transistor, and methods of forming field effect transistors
KR100354438B1 (en) * 2000-12-12 2002-09-28 삼성전자 주식회사 Method of forming germanium doped polycrystaline silicon gate of mos transistor and method of forming cmos transistor using the same
US6660600B2 (en) 2001-01-26 2003-12-09 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming elevated source/drain regions of a field effect transistor, and methods of forming field effect transistors
US6570235B2 (en) * 2001-03-20 2003-05-27 Macronix International Co., Ltd. Cells array of mask read only memory
KR100855281B1 (en) * 2002-03-06 2008-09-01 매그나칩 반도체 유한회사 Method for fabricating semiconductor device
US6872610B1 (en) 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing
US7645687B2 (en) * 2005-01-20 2010-01-12 Chartered Semiconductor Manufacturing, Ltd. Method to fabricate variable work function gates for FUSI devices

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5012306A (en) * 1989-09-22 1991-04-30 Board Of Regents, The University Of Texas System Hot-carrier suppressed sub-micron MISFET device
US5571738A (en) * 1992-09-21 1996-11-05 Advanced Micro Devices, Inc. Method of making poly LDD self-aligned channel transistors
JP2848299B2 (en) * 1995-12-21 1999-01-20 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6025635A (en) * 1997-07-09 2000-02-15 Advanced Micro Devices, Inc. Short channel transistor having resistive gate extensions
US5998835A (en) * 1998-02-17 1999-12-07 International Business Machines Corporation High performance MOSFET device with raised source and drain
US5937297A (en) * 1998-06-01 1999-08-10 Chartered Semiconductor Manufacturing, Ltd. Method for making sub-quarter-micron MOSFET

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050151133A1 (en) * 2002-06-04 2005-07-14 Advanced Micro Devices, Inc. SOI semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
WO2003103040A2 (en) * 2002-06-04 2003-12-11 Advanced Micro Devices, Inc. Method of making an soi semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
US7544999B2 (en) 2002-06-04 2009-06-09 Advanced Micro Devices, Inc. SOI semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
WO2003103040A3 (en) * 2002-06-04 2004-03-18 Advanced Micro Devices Inc Method of making an soi semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
US20030223258A1 (en) * 2002-06-04 2003-12-04 Wei Andy C. Method of making an soi semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
US6884702B2 (en) 2002-06-04 2005-04-26 Advanced Micro Devices, Inc. Method of making an SOI semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
US6803315B2 (en) 2002-08-05 2004-10-12 International Business Machines Corporation Method for blocking implants from the gate of an electronic device via planarizing films
US20040023500A1 (en) * 2002-08-05 2004-02-05 International Business Machines Corporation Method for blocking implants from the gate of an electronic device via planarizing films
US20050233558A1 (en) * 2003-06-20 2005-10-20 Fujitsu Limited Semiconductor device and manufacturing method thereof
US8084338B2 (en) * 2003-06-20 2011-12-27 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method thereof
US20090108336A1 (en) * 2007-10-31 2009-04-30 Kai Frohberg Method for adjusting the height of a gate electrode in a semiconductor device
DE102007052167A1 (en) * 2007-10-31 2009-05-07 Advanced Micro Devices, Inc., Sunnyvale Method for adjusting the height of a gate electrode in a semiconductor device
DE102007052167B4 (en) * 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale A semiconductor device and method for adjusting the height of a gate electrode in the semiconductor device
US20100190309A1 (en) * 2007-10-31 2010-07-29 Globalfoundries Inc. Method for adjusting the height of a gate electrode in a semiconductor device
US8361844B2 (en) 2007-10-31 2013-01-29 Globalfoundries Inc. Method for adjusting the height of a gate electrode in a semiconductor device

Also Published As

Publication number Publication date
US6313505B2 (en) 2001-11-06

Similar Documents

Publication Publication Date Title
US6214654B1 (en) Method for forming super-steep retrograded channel (SSRC) for CMOS transistor using rapid laser annealing to reduce thermal budget
US6451693B1 (en) Double silicide formation in polysicon gate without silicide in source/drain extensions
US6500720B2 (en) Method of manufacturing semiconductor device
US6355543B1 (en) Laser annealing for forming shallow source/drain extension for MOS transistor
US6630721B1 (en) Polysilicon sidewall with silicide formation to produce high performance MOSFETS
US6468888B1 (en) Method for forming polysilicon-germanium gate in CMOS transistor and device made thereby
US6784506B2 (en) Silicide process using high K-dielectrics
US6313505B2 (en) Method for forming shallow source/drain extension for MOS transistor
US6451679B1 (en) Ion mixing between two-step titanium deposition process for titanium salicide CMOS technology
US6319798B1 (en) Method for reducing lateral dopant gradient in source/drain extension of MOSFET
US6096647A (en) Method to form CoSi2 on shallow junction by Si implantation
US6372584B1 (en) Method for making raised source/drain regions using laser
US6767831B1 (en) Method for forming cobalt salicides
US20050104135A1 (en) Semiconductor device and manufacturing method thereof
US5858846A (en) Salicide integration method
US7208409B2 (en) Integrated circuit metal silicide method
US6740570B2 (en) Method for forming a self-aligned silicide of a metal oxide semiconductor
US6653227B1 (en) Method of cobalt silicidation using an oxide-Titanium interlayer
US6727558B1 (en) Channel isolation using dielectric isolation structures
US7211489B1 (en) Localized halo implant region formed using tilt pre-amorphization implant and laser thermal anneal
US7517780B2 (en) Method for eliminating polycide voids through nitrogen implantation
US6503817B1 (en) Method for establishing dopant profile to suppress silicidation retardation effect in CMOS process
KR100192537B1 (en) Method of manufacturing semiconductor device
KR100486649B1 (en) Method for forming salicide of a semiconductor device
KR20030013882A (en) Method for manufacturing a silicide layer of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED MICRO DEVICES, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:YU, BIN;REEL/FRAME:009433/0547

Effective date: 19980827

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12