US11726134B2 - Substrate inspection device and substrate inspection method - Google Patents

Substrate inspection device and substrate inspection method Download PDF

Info

Publication number
US11726134B2
US11726134B2 US16/959,145 US201816959145A US11726134B2 US 11726134 B2 US11726134 B2 US 11726134B2 US 201816959145 A US201816959145 A US 201816959145A US 11726134 B2 US11726134 B2 US 11726134B2
Authority
US
United States
Prior art keywords
substrate
substrates
monitoring
measurement part
monitoring information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US16/959,145
Other versions
US20200341049A1 (en
Inventor
Gu Hyun JUNG
Young Rok Kim
Se Yong Oh
Chul Joo Hwang
Jin An JUNG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jusung Engineering Co Ltd
Original Assignee
Jusung Engineering Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jusung Engineering Co Ltd filed Critical Jusung Engineering Co Ltd
Priority claimed from PCT/KR2018/016696 external-priority patent/WO2019132528A1/en
Assigned to JUSUNG ENGINEERING CO., LTD. reassignment JUSUNG ENGINEERING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HWANG, CHUL JOO, JUNG, GU HYUN, JUNG, JIN AN, KIM, YOUNG ROK, OH, SE YONG
Publication of US20200341049A1 publication Critical patent/US20200341049A1/en
Application granted granted Critical
Publication of US11726134B2 publication Critical patent/US11726134B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2601Apparatus or methods therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/04Housings; Supporting members; Arrangements of terminals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/265Contactless testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Definitions

  • the present disclosure herein relates to a device and a method for inspecting substrates, and more particularly, to a device and a method for monitoring substrates to determine processed states of the substrates and inspecting presence of abnormality in the processed substrates.
  • the control part may perform control so that the measurement part has a linear movement path.
  • a method for inspecting substrates includes: selecting a first substrate and a second substrate from among a plurality of substrates; monitoring some regions of the first substrate; monitoring some regions of the second substrate; and determining presence of abnormality from monitoring information about the first substrate and the second substrate.
  • FIG. 7 is a view illustrating a state in which a device for inspecting a substrate is installed in accordance with an exemplary embodiment is installed.
  • the substrate mounting part 100 mounts the substrate S to be monitored.
  • the device 10 for inspecting substrates in accordance with an exemplary embodiment monitors the substrate S for which a predetermined process such as a lamination process has been performed and determines the processed state to inspect the presence of abnormality.
  • the substrate S may include a dummy substrate which is not a substrate manufactured for actual use but for monitoring only, and a thin film without a pattern formed thereon may be deposited on the dummy substrate. Of course, such a dummy substrate may be reused in a later monitoring process after the monitoring is completed.
  • the control part 300 controls the movement path of the measurement part 200 so that at least some regions are monitored at positions different from each other with respect to the plurality of such substrates.
  • the control part 300 may control the movement path of the measurement part 200 so that at least some regions may be monitored at positions different from each other with respect to notches each formed on edges of the plurality of substrates.
  • the notches N are generally used to distinguish upper and lower surfaces of a substrate, to determine whether the substrate has rotated, and determine the angle or direction of the rotation.
  • Positions different from each other in a plurality of substrates means the positions different from each other when each of the notches N are located at the same position and are superposed with respect to the plurality of substrates as illustrated in FIG. 6 .
  • a device 10 for inspecting substrates monitors each of the plurality of substrates selected from substrates on which the same predetermined process has been performed, so that the monitoring information obtained for a first substrate may be directly applied to a second substrate among the plurality of substrates, and conversely, the monitoring information obtained for the second substrate among the plurality of substrates may also be directly applied to the first substrate among the plurality of substrates.
  • the substrates for which the same predetermined process has been performed may be recognized to have the same processed state as the virtual substrate S 0 illustrated in FIG. 6 , and the analysis part 400 determines the presence of abnormality of the processed state for the virtual substrate S 0 illustrated in FIG. 6 .

Abstract

Provided are a device and a method for monitoring substrates to determine a processed state of the substrates and inspecting presence of abnormality in the processed substrates.
A device for inspecting substrates includes a substrate mounting part moving relative to the substrate and for mounting a substrate, a measurement part for monitoring the substrate, a control part configured to control a movement path of the measurement part so that at least some regions are monitored from positions different from each other with respect to a plurality of substrates, and an analysis part configured to determine presence of abnormality from monitoring information about the plurality of substrates.

Description

TECHNICAL FIELD
The present disclosure herein relates to a device and a method for inspecting substrates, and more particularly, to a device and a method for monitoring substrates to determine processed states of the substrates and inspecting presence of abnormality in the processed substrates.
BACKGROUND ART
Semiconductor devices are manufactured by forming various shapes of pattern layers on substrates such as wafers, and in order to form such pattern layers, in general, a predetermined pattern layers are laminated by using chemical vapor deposition (CVD) or physical vapor deposition (PVD).
In addition, even after the process of laminating the pattern layers, in order to patterning the laminated pattern layers in a desired shape, a process of etching the pattern layer by using a photoresist as a mask and a process of stripping the photoresist are performed.
Meanwhile, according to reduction of design rules, semiconductor manufacturing processes may be operating closer to limits of performance capability of the processes. Moreover, in some cases of smaller design rules, processes causing a failure tend to be systematic. That is, a process causing a failure tends to cause the failure in predetermined design patterns which are repeated a plurality of times among the above design. It is important to detect and remove spatially systematic and electrically related defects, and this is because the removal of such defects very comprehensively affects a yield.
Thus, it is urgent to speed up the substrate inspection for determining the processed state of a substrate corresponding to technological advance due to rapidly developing production technology for semiconductor devices and an increase in demand for high density integration and semiconductor devices.
RELATED ART DOCUMENTS
  • (Patent document 1) KR10-2017-0068419 A
Technical Problem
The present disclosure provides a device and a method for inspecting substrates capable of inspecting processed states and presence of abnormality at high speed.
Technical Solution
In accordance with an exemplary embodiment, a device for inspecting substrates includes: a substrate mounting part moving relative to the substrate and for mounting a substrate; a measurement part for monitoring the substrate; a control part configured to control a movement path of the measurement part so that at least some regions are monitored from positions different from each other with respect to a plurality of substrates; and an analysis part configured to determine presence of abnormality from monitoring information about the plurality of substrates.
The plurality of substrates may be selected from substrates for which a same predetermined process has been performed.
The device for inspecting substrates may further include a support part installed over the substrate mounting part, wherein the measurement part may be installed under the support part so as to be movable in one direction.
At least one of the substrate mounting part and the support part may be installed so as to be rotatable around a center axis of the substrate.
The control part may perform control so that the measurement part has a linear movement path.
The control part may perform control so that the measurement part has a movement path via a central portion of the substrate.
The control part may perform control so that the measurement part has a movement path bent at the central portion of the substrate.
The control part may perform control so that the movement path of the measurement part has a length not greater than a diameter of the substrate.
The substrate mounting part may be installed in a loadlock chamber configured to store the plurality of substrates which are transported from a process chamber in which the predetermined process is performed, or be installed in a front end module (EFEM) connected to the loadlock chamber and configured to discharge the plurality of substrates.
In accordance with another exemplary embodiment, a method for inspecting substrates includes: selecting a first substrate and a second substrate from among a plurality of substrates; monitoring some regions of the first substrate; monitoring some regions of the second substrate; and determining presence of abnormality from monitoring information about the first substrate and the second substrate.
In the selecting of the first substrate and the second substrate, the first substrate and the second substrate may be selected from among a plurality of substrates belonging to one lot.
In the selecting of the first substrate and the second substrate, the first substrate and the second substrate may be selected from among a plurality of substrates for which a predetermined process is performed in a same chamber.
In the monitoring of some regions of the second substrate, at least some regions of a monitoring region of the second substrate and at least some regions of a monitoring region of the first substrate may be different each other.
The monitoring of some regions of the first substrate may be performed by moving a measurement part, for monitoring the first substrate, relative to the first substrate in a first direction via a central portion of the substrate.
The monitoring of some regions of the second substrate may be performed by moving a measurement part, for monitoring the second substrate, relative to the second substrate in a second direction, which is different from the first direction, via the central portion of the substrate.
In the determining of presence of abnormality, when the monitoring information about the first substrate and the information about the second substrate both fall within an error range, a processed state may be determined to be good.
In the determining of presence of abnormality, when a monitoring region of the first substrate and a monitoring region of the second substrate partially overlap, the error range may be corrected by using a deviation in monitoring information about an overlapping region.
Advantageous Effects
In accordance with a device and a method for inspecting substrates of an exemplary embodiment, at least some regions are monitored from position different from each other with respect to a plurality of substrates, and thus, the processed states of the substrates may be determined in short time and the time consumed for inspection may be reduced.
Accordingly, an instantaneous feedback may be provided in response to the processed state, and a pre-action, such as operation stop, improvement, or maintenance, is taken due to equipment abnormality, and thus, a defect rate is minimized during manufacturing of substrates, and the capacity utilization of equipment may be improved.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic view illustrating a device for inspecting substrates in accordance with an exemplary embodiment;
FIGS. 2 to 5 are views illustrating states in which a substrate is monitored in accordance with exemplary embodiments;
FIG. 6 is a view for describing a state in which presence of abnormality is determined in accordance with an exemplary embodiment;
FIG. 7 is a view illustrating a state in which a device for inspecting a substrate is installed in accordance with an exemplary embodiment is installed; and
FIG. 8 is a schematic view illustrating a method for inspecting substrates in accordance with an exemplary embodiment.
DESCRIPTION
Exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. The present disclosure may, however, be embodied in different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the present disclosure to those skilled in the art. In the figures, like reference numerals refer to like elements throughout.
FIG. 1 is a schematic view illustrating a device for inspecting substrates in accordance with an exemplary embodiment, and FIGS. 2 to 5 are views illustrating states in which a substrate is monitored in accordance with en exemplary embodiment. In addition, FIG. 6 is a view for describing a state in which presence of abnormality is determined in accordance with an exemplary embodiment.
Referring to FIGS. 1 to 6 , a device 10 for inspecting substrates in accordance with an exemplary embodiment includes: a substrate mounting part 100 for mounting a substrate S; a measurement part 200 for monitoring the substrate S by moving relative to the substrate S; a control part 300 which controls a movement path of the measurement part 200 so that at least some regions are monitored at positions different from each other with respect to a plurality of substrates; and an analysis part 400 which determines presence of abnormality from monitoring information of the plurality of substrates.
The substrate mounting part 100 mounts the substrate S to be monitored. Here, the device 10 for inspecting substrates in accordance with an exemplary embodiment monitors the substrate S for which a predetermined process such as a lamination process has been performed and determines the processed state to inspect the presence of abnormality. The substrate S may include a dummy substrate which is not a substrate manufactured for actual use but for monitoring only, and a thin film without a pattern formed thereon may be deposited on the dummy substrate. Of course, such a dummy substrate may be reused in a later monitoring process after the monitoring is completed.
In addition, the device 10 for inspecting substrates in accordance with an exemplary embodiment may also monitor the substrate S for which processes such as an etching process and a strip process have been performed, and at this point, the substrate S on which a pattern has been formed by the above-mentioned processes may be mounted on the substrate mounting part 100.
The substrate mounting part 100 may be provided to a loadlock chamber to store a plurality of substrates which are transported from a process chamber in which the above-mentioned predetermined processes are performed, or be provided to an equipment front end module (EFEM) connected to the loadlock chamber in order to discharge the substrates stored in the loadlock chamber. Here, when the substrate mounting part 100 is provided to the loadlock chamber, the substrate mounting part 100 may include at least one slot among the plurality of slots installed in the loadlock chamber. In addition, when the substrate mounting part 100 is provided to the front end module, the substrate mounting part 100 may be provided to a portion of the space inside the front end module. An exemplary embodiment, in which the substrate mounting part 100 is provided to the loadlock chamber or to the front end module, will be described with reference to FIG. 7 .
The measurement part 200 is installed on the substrate mounting part 100 and monitors the substrate S. Here, the measurement part 200 moves relative to the substrate S. That is, the measurement part 200 is movably installed and the position of the substrate mounting part 100 is fixed, and thus, not only the measurement part 200 may move relative to the substrate S, but also the position of the measurement part 200 may be fixed, the substrate mounting part 100 may be movably installed, and the measurement part 200 may thereby also move relative to the substrate S. Hereinafter, a case in which the measurement part 200 is movably installed will be described as an example, but also in a case in which the substrate mounting part 100 is moved and the measurement part 200 thereby moves relative to the substrate S, the exemplary embodiment may, of course, be equally applied.
Here, the measurement part 200 monitors the thickness and reflectivity of the substrate S, and the presence and distribution range of particles, and the like. To this end, the measurement part 200 may be composed of various units for measuring a distance from the substrate S, an image of the substrate S, a spectrum, and resistance value, and the units for measuring the thickness, reflectivity, and presence of particles, distribution range, and the like, of the substrate S are variously well known, and the detailed description thereon will be omitted.
The measurement part 200 may be installed under a support part 500 so as to be movable in one direction. That is, the device 10 for inspecting substrates in accordance with an exemplary embodiment may further include a support part 500 installed at a position spaced apart a predetermined distance above the substrate mounting part 100, and the measurement part 200 may be movably installed under the support part 500, for example, on a lower surface so as to be movable in one direction. A configuration, in which various movement paths are formed by the measurement part 200 which moves in the one direction under the support part 500, will be described later with reference to FIGS. 2 to 5 .
The control part 300 controls the movement path of the measurement part 200 so that at least some regions are monitored at positions different from each other with respect to a plurality of substrates for which predetermined processes such as a lamination process, an etching process, and a stripping process have been performed.
Here, a device 10 for inspecting substrates in accordance with an exemplary embodiment monitors each of the plurality of substrates selected from substrates for which the same predetermined process has been performed, and uses the fact that the monitoring information obtained for a first substrate among the plurality of substrates may be directly applied to a second substrate among the plurality of substrates, and conversely, the monitoring information obtained for the second substrate among the plurality of substrates may be applied to the first substrate among the plurality of substrates. Here, the plurality of substrates selected from substrates for which the same predetermined process has been performed may be the entirety of the substrates on which the predetermined process has been performed, and also be a portion of the substrates among the substrates on which the predetermined process has been performed.
Thus, the control part 300 controls the movement path of the measurement part 200 so that at least some regions are monitored at positions different from each other with respect to the plurality of such substrates. Here, the control part 300 may control the movement path of the measurement part 200 so that at least some regions may be monitored at positions different from each other with respect to notches each formed on edges of the plurality of substrates. Here, the notches N are generally used to distinguish upper and lower surfaces of a substrate, to determine whether the substrate has rotated, and determine the angle or direction of the rotation. Positions different from each other in a plurality of substrates means the positions different from each other when each of the notches N are located at the same position and are superposed with respect to the plurality of substrates as illustrated in FIG. 6 .
In addition, the control part 300 may also control the movement path of the measurement part 200 so that the substrates are monitored at positions different from each other, but some regions may be monitored at an overlapped position, and the other regions may be monitored at positions different from each other. As such, when the regions being monitored partially overlap with respect to the plurality of substrates, the processed state of each substrate may be compared by using the monitoring information of the overlapped region, and as described later, an error range or the like may be corrected for determining presence of abnormality by using the deviation in the monitoring information of the overlapped region.
Hereinafter with reference to FIGS. 2 to 5 , exemplary embodiments, in each of which the control part 300 controls the movement path of the measurement part 200 and monitors each of the plurality of substrates, will be described in detail. In FIGS. 2 to 5 , dotted arrows mean the movement path of the measurement part 200.
As illustrated in FIG. 2 , the control part 300 moves the measurement part 200 in different directions with respect to a first substrate and a second substrate included in a plurality substrates, and thereby allows at least some regions to be monitored at different positions from each other. Here, the different directions from each other mean, for example, directions different from each other when each of the substrates is disposed so that the notch N is located on an upper portion.
That is, the control part 300 may move the measurement 200, with respect to the first substrate S1, from an upper edge of the substrate to a lower edge of the first substrate S1 and form a first monitoring region M1. In addition, the control part 300 moves, with respect to the second substrate S2, the measurement part 200 from a left edge of the substrate to a right edge of the second substrate S2 to form a second monitoring region M2. As such, in order to move the measurement part 200 in directions different from each other, at least one of the substrate mounting part 100 and the support part 500 may be installed to be rotatable around the center axis of the substrate. That is, the control part 300 moves the measurement part 200 form the upper edge of the first substrate to the lower edge in one direction to form the first monitoring region M1. Subsequently, at least one of the substrate mounting part 100 and the support part 500 is rotated 90°, and the measurement part 200 is moved in one direction from the left edge of the second substrate to the right edge to form the second monitoring region M2. In this case, the movement paths of the measurement part 200 with respect to the first substrate and the second substrate may have the same length as the diameters of the first substrate and the second substrate.
In addition, as illustrated in FIG. 3 , the control part 300 may move the measurement 200, with respect to the first substrate S1, from the upper edge of the substrate to the central portion of the first substrate S1 to form a first monitoring region M1. In addition, the control part 300 may move the measurement part 200, with respect to the second substrate S2, from the central portion of the substrate to the lower edge of the second substrate S2 and form a second monitoring region M2. In this case, the substrate mounting part 100 and the support part 500 are not required to rotate, and the control part 300 adjusts only the length of the movement path of the measurement part 200, and the movement path of the measurement part 200 with respect to the first substrate and the second substrate has the same length as the radii of the first substrate and the second substrate which are smaller than the diameters of the first substrate and the second substrate.
In addition, as illustrated in FIG. 4 , the control part 300 may move, with respect to the first substrate S1, the measurement part 200 from the upper edge of the first substrate S1 to the central portion of the first substrate, and move the measurement part 200 from the central portion of the first substrate S1 to the right edge of the first substrate S1 again to form the first monitoring region M1. In addition, the control part 300 may move, with respect to the second substrate S2, the measurement part 200 from an edge between the upper and right portions to the central portion of the substrate S2, and move the measurement part 200 from the central portion of the second substrate S2 to a lower portion of the second substrate S2 again, to form the second monitoring region M2. That is, the measurement part 200 may have the movement path bent at the central portion of the substrate. To this end, the control part 300 may move the measurement part 200 from the upper edge of the first substrate S1 to the central portion of the first substrate S1, partially rotate at least one of the substrate mounting part 100 and the support part 500, and then move the measurement part 200 from the central part of the first substrate S1 to a right edge of the first substrate S1. Subsequently, the control part 300 may partially rotate the substrate mounting part 100 to move measurement part 200 from an edge between an upper portion and right portion to the central portion of the second substrate S2, and rotate the substrate mounting part 100 again to move the measurement part 200 from the central portion of the second substrate S2 to a lower portion of the second substrate S2. In this case, the movement paths of the measurement part 200 with respect to the first substrate and the second substrate may have the same length as the diameters of the first substrate and the second substrate.
Furthermore, the measurement part 200 may be configured so as not to perform monitoring in the entirety of region along the movement path, but to perform the monitoring only at a specific position. That is, the measurement part 200 may monitor a specific position inside the first substrate S1 and the second substrate S2, and in this case, the special position may be one or a plurality of positions located at the central portion of the substrate of located outside the central portion.
As illustrated in FIG. 5 , when the measurement part 200 monitors a plurality of positions, the measurement part 200 moves, for example, along the movement path as in FIG. 2 on the first substrate S1 and the second substrate S2, and a position or an interval is designated on the movement path and thus, monitoring may be performed only at the specific positions M1 and M2. That is, the measurement part 200 may intermittently monitor each substrate on the movement paths of the first substrate S1 and the second substrate S2. Here, FIG. 5 illustrates that each substrate is monitored at specific positions M1 and M2 each including five positions, but the number of the monitored positions is not limited thereto. However, it may also be configured such that three specific positions including the central portion are monitored on the first substrate S1, and a different movement path of the measurement part 200 is set to monitor three specific positions including the central position on the second substrate S2, and in this case, when the first substrate S1 and the second substrate S2 are superposed, a total of five specific positions may be monitored along a cross shape crossing at the central portion.
The monitoring regions M1 of the first substrate S1 and the monitoring region M2 of the second substrate are exemplarily illustrated in FIGS. 2 to 5 , and each monitoring region may, of course, be variously configured so that at least some regions may be monitored at positions different from each other. However, in order to minimize the time consumed for monitoring, the control part 300 may control the measurement part 200 to have a linear movement path with a length not greater than the diameter of the substrate as illustrated in FIGS. 2 to 5 , and each substrate has a characteristic symmetric about the central portion thereof, and thus, the control part may control the measurement part 200 to have a movement path via the central portion of the substrate.
In addition, in FIGS. 2 to 5 , a configuration in which the measurement part 200 sequentially monitors the first substrate S1 and the second substrate S2 is exemplarily described, but the measurement part 200 in accordance with an exemplary embodiment may be provide in plurality and, of course, be configured such that one of a plurality of measurement parts 200 performs monitoring with respect to the first substrate S1, and the other measurement part 200 performs monitoring with respect to the second substrate S2.
The analysis part 400 determines presence of abnormality from monitoring information about a plurality of substrates. That is, when the monitoring information about the first substrate and the monitoring information about the second substrate both fall within the error range, the analysis part 400 determines the processed state as a good state, and when at least one of when the monitoring information about the first substrate and the monitoring information about the second substrate falls out of the error range, the analysis part determines the processed state as a defective state.
FIG. 6 illustrates a case in which the first substrate S1 and the second substrate S2 in FIG. 2 are superposed with respect to notches N at the same position. As in FIG. 6 , on a virtual substrate S0 on which the first substrate S1 and the second substrate S2 are superposed, a first monitoring region M1 is formed from an upper edge of the substrate S0 to a lower edge, and a second monitoring region M2 is formed from a left edge to a right edge. As described above, a device 10 for inspecting substrates in accordance with an exemplary embodiment monitors each of the plurality of substrates selected from substrates on which the same predetermined process has been performed, so that the monitoring information obtained for a first substrate may be directly applied to a second substrate among the plurality of substrates, and conversely, the monitoring information obtained for the second substrate among the plurality of substrates may also be directly applied to the first substrate among the plurality of substrates. Thus, the substrates for which the same predetermined process has been performed may be recognized to have the same processed state as the virtual substrate S0 illustrated in FIG. 6 , and the analysis part 400 determines the presence of abnormality of the processed state for the virtual substrate S0 illustrated in FIG. 6 .
That is, in the first monitoring region M1 excluding a region MC at which the first monitoring region M1 and the second monitoring region M2 are superposed, the analysis part 400 determines whether the first monitoring information which has been measured by the first monitoring falls within the error range of a result value of a predetermined treatment process. In addition, in the second monitoring region M2 excluding a region MC at which the first monitoring region M1 and the second monitoring region M2 are superposed, the analysis part 400 determines whether the second monitoring information which has been measured by the second monitoring falls within the error range of a result value of the predetermined treatment process. Here, for the region MC at which the first monitoring region M1 and the second monitoring region M2 are superposed, the analysis part 400 determines the processed state as a good state when the first monitoring information and the second monitoring information both fall within the error range, and determines as a defective state when at least one of the first monitoring information and the second monitoring information both falls out of the error range.
In addition, the analysis part 400 may adjust the error range of the result value for the predetermined treatment process by using the deviation in the first monitoring information and the second monitoring information in the region MC at which the first monitoring region M1 and second monitoring region M2 are superposed. Here, the region MC at which the first monitoring region M1 and second monitoring region M2 are superposed may include the central portion of the substrate. The predetermined treatment process is mostly well performed in the central portion of the substrate, but the closer to an edge of the substrate, the higher the probability of being determined as a defect. Thus, the error range of the first monitoring region M1 is set with respect to the monitoring information about the central portion of the first substrate for which the first monitoring is performed, and error range of the second monitoring region M2 is set with respect to the monitoring information about the central portion of the second substrate for which the second monitoring is performed. At this point, the monitoring information about the central portions of the first substrate and the second substrate may be different from each other, so that in this case, the error range of the result value may be corrected by adding or subtracting the deviation in the monitoring information about the central portion of the first substrate and the second substrate to or from the monitoring information measured from any one substrate.
FIG. 7 is a view illustrating a state in which a device for inspecting substrates in accordance with an exemplary embodiment is installed. FIG. 7 illustrates a case as an example, in which a device 10 for inspecting substrates according to an exemplary embodiment is installed in a loadlock chamber, but in a case in which the device 10 for inspecting substrates is installed in a front end module, the entirety of process may also be applied in the same manner.
As described above, a substrate mounting part 100 according to an exemplary embodiment may be transported from process chambers P1 and P2, in which a predetermined process is performed, and be provided to a loadlock chamber L, which stores a plurality of substrates, or a front end module E (EFEM) connected to a loadlock chamber L in order to discharge the substrates stored in the loadlock chamber L. Here, when the substrate mounting part 100 is provided to the loadlock chamber L, the substrate mounting part 100 may include at least one slot among the plurality of slots installed in the loadlock chamber L. Here, the measurement part 200 may also be installed inside the loadlock chamber L, but may, of course, be configured so as to be installed outside the loadlock chamber L, and perform monitoring, through a view port installed in the loadlock chamber, with respect to the substrate mounted on the uppermost stage slot among the plurality of slots installed in the loadlock chamber L. Conversely, when the substrate mounting part 100 is provided to the front end module E, the substrate mounting part 100 may be provided to a portion of a space inside the front end module E or to one side of the front end module E.
In the device for inspecting substrates, substrates are loaded on respective cassettes C1 and C2 and provided to the front end module E. In general, in the device for inspecting substrates, each of treatment processes collects a certain number of substrates and performs the corresponding process in lot units. Also, in case of a sheet-fed process, an actual process is performed by unit of one substrate, but the management thereof is performed in lot units. Thus, for example, the number of substrates in lot units may be approximately 25, and 25 substrates may be loaded on one cassette.
The substrates are provided from the front end module E to the loadlock chamber L and stored therein. The loadlock chamber L stores a portion of the substrates loaded in each of the cassettes C1 and C2, and when the substrate mounting part 100 of the device 10 for inspecting substrates is installed in the loadlock chamber L, monitoring for measuring an initial state with respect to the substrate provided to the loadlock chamber L may be performed. In this case, the analysis part 400 may, of course, compare the monitoring information about the initial state before a predetermined process is performed in the process chambers P1 and P2 and the monitoring information after the predetermined process is performed, and set an error range for determining the processed state as a good or defective state.
The substrates stored in the loadlock chamber L are transported from a transportation chamber T to respective process chambers P1 and P2. Here, the process chambers may be provided in plurality, and a plurality of substrates may simultaneously be processed inside the process chambers P1 and P2. The substrates for which a predetermined process has been performed are stored again into the loadlock chamber L. At this point, the measurement part 200 monitors the substrates, and the control part 300 controls the movement path of the measurement part 200 so that at least some regions are monitored at mutually different positions with respect to the plurality of substrates which are sequentially or simultaneously stored in the loadlock chamber L. Here, the plurality of substrates may be the entirety of the substrates stored in the loadlock chamber L, but may be the plurality of substrates selected from among the substrates included in the above-mentioned one lot. In addition, the plurality of substrates may be selected from among the substrates for which the predetermined process is performed in the same process chamber. When the plurality of substrates are selected from among the substrates included in one lot, the presence of abnormality may be determined in the entirety of the device for inspecting substrates, and in particular, when the plurality of substrates are selected from the substrates for which the predetermined process is performed inside the same process chamber, the presence of abnormality of the corresponding process chamber may easily be determined.
Here, FIG. 7 illustrates, as an example, a configuration in which a device 10 for inspecting substrates, which has one measurement part 200 is installed in a loadlock chamber L, but a plurality of devices 10 for inspecting substrates may, of course, be installed in the loadlock chamber L so as to have a plurality of measurements parts 200 to simultaneously inspect a plurality of substrates.
In addition, an analysis part 400 determines presence of abnormality in the processed state in process chambers P1 and P2 from monitoring information about the plurality of substrates which are sequentially or simultaneously stored in the loadlock chamber L. That is, when the processed state is determined to be good from the monitoring information about the plurality of substrates, the process in the process chambers P1 and P2 is continuously performed, and when the processed state is determined to be defective from the monitoring information of the plurality of substrates, it is determined that a problem occurred in the substrate processing equipment, so that the process of the substrate processing equipment may be temporarily stopped and a warning may be issued by an alarm etc, so that the corresponding chamber may be repaired and serviced.
As such, when the substrate mounting part 100 includes at least one slot from among a plurality of slots installed in the loadlock chamber L, a process for inspecting the substrate may be performed without changing the transportation path of the substrate, and it is unnecessary to adjust the sequence of a transportation robot included in a transportation chamber T. In addition, when the substrate mounting part 100 is provided to a portion of a space inside the front end module E or to a side of the front end module, there is no limitation in selecting an arbitrary substrate from the substrates for which a predetermined process is completed, and a space for installing the device 10 for inspecting substrates may easily be ensured. As such, when the substrate mounting part 100 is provided to the loadlock chamber L or to the front end module E, monitoring of the processed state of the substrate may be performed while a predetermined process for the substrate in the process chambers P1 and P2 and while minimizing influence to the movement of the substrate, in the substrate processing equipment composed of a transportation chamber T connected to the plurality of process chambers P1 and P2 and a loadlock chamber L for incoming or outgoing substrates. In addition, according to a monitoring result, a process of a specific process chamber or processes of the entirety of the substrate process equipment may be stopped, or a change in the processing process may easily be performed.
Hereinafter, a method for inspecting substrate in accordance with an exemplary embodiment will be described in detail. Here, in the method for inspecting substrates in accordance with an exemplary embodiment, descriptions about content overlapping the content of the device for inspecting substrates will be omitted.
FIG. 8 is a schematic view illustrating a method for inspecting substrates in accordance with an exemplary embodiment.
Referring to FIG. 8 , a method for inspecting substrates in accordance with an exemplary embodiment includes: selecting a first substrate and a second substrate from among a plurality of substrates (S100); monitoring some regions of the first substrate (S200); monitoring some regions of the second substrate (S300); and determining presence of abnormality from monitoring information about the first substrate and the second substrate (S400).
In the selecting of a first substrate and a second substrate from among a plurality of substrates (S100), firstly, a first substrate and a second substrate to be monitored are selected from among a plurality of substrates for which a predetermined process is performed. Here, the first substrate and the second substrate may be selected from one cassette in which a plurality of substrates are loaded, and in this case, the first substrate and the second substrate may be selected from the plurality of substrates included in one lot, and thus presence of abnormality may be determined as the entirety of substrate processing equipment. In addition, the first substrate and the second substrate may also be selected from among a plurality of substrates for which a predetermined process is performed in the same process chamber. That is, when three to six substrates are simultaneously processed in the same process chamber, the first substrate and the second substrate may be selected from among the entirety of or a portion of the three to six substrates, and thus, presence of abnormality in the corresponding process chamber may easily be determined.
In the monitoring of some regions of the first substrate (S200), the thickness, reflectivity, presence and distribution range of particles, etc. are monitored from a measurement part 200 with respect to the portion of regions of the first substrate. Furthermore, in the monitoring of some regions of the second substrate (S300), the thickness, reflectivity, presence and distribution range of particles, etc. are monitored from the measurement part 200 with respect to the portion of regions of the second substrate.
Here, a control part 300 may control the movement path of the measurement part 200 so that at least portions of regions with respect to the first substrate and the second substrate may be monitored from positions different from each other, and thus, in the monitoring of a portion of the second substrate (S300), a monitoring region of the first substrate and at least some regions are different from each other.
In addition, the monitoring of the first substrate may be performed by moving the measurement part 200 for monitoring the first substrate in a first direction via the central portion of the first substrate, and may also be performed such that while the measurement part 200 moves in the first direction via the central portion of the first substrate, the first substrate is intermittently monitored on the movement path of the measurement part 200. In addition, the monitoring of some regions of the second substrate may be performed by moving the measurement part 200 for monitoring the first substrate in a second direction, which is different from the first direction, via the central portion of the second substrate, and may also be performed such that while the measurement part 200 moves in the second direction via the central portion of the second substrate, the second substrate is intermittently monitored on the movement path of the measurement part 200. To this end, as described above, at least one of a substrate mounting part 100 and a support part 500 may be rotatably installed around a center axis of the substrate. That is, the control part 300 may form a first monitoring region including the central portion on the first substrate by moving the measurement part 200 in the first direction, and the control part 300 may form a second monitoring region including the central portion on the second substrate by rotating at least one of the substrate mounting part 100 and the supporting part 500 to move the measurement part 200 in the second direction, which is different from the first direction.
The descriptions described above with reference to FIGS. 2 to 5 may be applied in the same manner to the monitoring of some regions of the first substrate (S200) and the monitoring of some regions of the second substrate (S300). The monitoring region of the first substrate and the monitoring region of the second substrate are exemplarily illustrated, and as described above, each of the monitoring regions may variously be configured so that at least some regions may be monitored from positions different from each other.
The determining present of abnormality from monitoring information of the first substrate and the second substrate (S400) is performed by an analysis part 400. That is, the analysis part 400 determines whether the monitoring information about some regions of the first substrate falls within an error range of a result value with respect to a predetermined treatment process. In addition, the analysis part 400 determines whether the monitoring information about some regions of the second substrate falls within the error range of a result value with respect to a predetermined treatment process. Accordingly, in the determining present of abnormality from monitoring information of the first substrate and the second substrate (S400), when any one of the monitoring information about the first substrate and the monitoring information about the second substrate falls out of the error range, the processed state is determined to be defective and notifies the substrate processing equipment of occurrence of abnormality, and when the monitoring information about the first substrate and the monitoring information about the second substrate both fall within the error range, the processed state is determined to be good, and the treatment process is continuously performed.
In addition, in determining present of abnormality from monitoring information of the first substrate and the second substrate (S400), when the monitoring region of the first substrate and the monitoring region of the second substrate partially overlap, the error range may be corrected by using a deviation in the monitoring information about the overlapping region. That is, the analysis part 400 may correct the error range of the result value for a predetermined treatment process by using the deviation in the first monitoring information and the second monitoring information in the region, such as the central portion of the substrate, at which the first monitoring region and second monitoring region are superposed. This is because the predetermined treatment process is mostly well performed in the central portion of the substrate, but the closer to an edge of the substrate, the higher the probability of being determined as defect, and since the value of a result of the predetermined treatment process is set with respect to the monitoring information about the central portion of the substrate, the error range of the result value, in this case, may be adjusted, as described above, by a value to which the deviation in the first monitoring information and the second information is added.
As such, in accordance with a device and a method for inspecting substrates of an exemplary embodiment, at least some regions are monitored from position different from each other with respect to a plurality of substrates, and thus, the processed states of the substrates may be determined in short time and the time consumed for inspection may be reduced.
Accordingly, an instantaneous feedback may be provided in response to the processed state, and a pre-action, such as operation stop, improvement, or maintenance, is taken due to equipment abnormality, and thus, a defect rate is minimized during manufacturing of substrates, and the capacity utilization of equipment may be improved.
While preferred exemplary embodiments have been described and illustrated by using specific terms, these terms are used to merely explaining the present disclosure clearly, and various modifications can be made to the embodiments and terms used without departing from the spirit and scope of the present disclosure. Such modified embodiments should not be separately understood from the spirit and scope of the present disclosure, and will be construed as being included in the claims of the present disclosure.

Claims (18)

What is claimed is:
1. A device for inspecting substrates, the device comprising:
a substrate mounting part for mounting a substrate;
a measurement part moving relative to the substrate and for monitoring the substrate;
a control part configured to control a movement path of the measurement part so that only some regions of a first substrate, and only some regions of a second substrate of which at least a portion thereof is different from the some regions of the first substrate, are monitored with respect to a plurality of substrates comprising the first substrate and the second substrate, wherein the first substrate and the second substrate are different from each other; and
an analysis part configured to determine presence of abnormality of the plurality of substrates by determining whether monitoring information of the first substrate falls within an error range set based on the first substrate and whether monitoring information of the second substrate falls within an error range set based on the second substrate,
wherein the analysis part configured to determine both a processed state of the first substrate and a processed state of the second substrate to be defective when at least one of the monitoring information of the first substrate or the monitoring information of the second substrate falls out of the error range by directly applying the monitoring information of the first substrate to the second substrate and directly applying the monitoring information of the second substrate to the first substrate.
2. The device of claim 1, wherein the plurality of substrates are selected from substrates for which a same predetermined process has been performed.
3. The device of claim 1, further comprising a support part installed over the substrate mounting part, wherein the measurement part is installed under the support part so as to be movable in one direction.
4. The device of claim 3, wherein at least one of the substrate mounting part and the support part is installed so as to be rotatable around a center axis of the substrate.
5. The device of claim 1, wherein the control part controls the measurement part so that the measurement part has a linear movement path.
6. The device of claim 1, wherein the control part performs control so that the measurement part has a movement path via a central portion of the substrate.
7. The device of claim 1, wherein the control part performs control so that the measurement part has a movement path bent at a central portion of the substrate.
8. The device of claim 1, wherein the control part performs control so that the movement path of the measurement part has a length not greater than a diameter of the substrate.
9. The device for inspecting substrates of claim 1, wherein the measurement part intermittently monitors the substrate on the movement path.
10. The device for inspecting substrates of claim 1, wherein the substrate mounting part is installed in a loadlock chamber configured to store the plurality of substrates which are transported from a process chamber in which a predetermined process is performed, or is installed in a front end module (EFEM) connected to the loadlock chamber and configured to discharge the plurality of substrates.
11. A method for inspecting substrates, the method comprising:
selecting a first substrate and a second substrate, which are different from each other, from a plurality of substrates;
monitoring only some regions of the first substrate;
monitoring only some regions of the second substrate of which at least a portion thereof is different from the some regions of the first substrate; and
determining presence of abnormality of the plurality of substrates by determining whether monitoring information of the first substrate falls within an error range set based on the first substrate and whether monitoring information of the second substrate falls within an error range set based on the second substrate,
wherein, in the determining of the presence of abnormality, both a processed state of the first substrate and a processed state of the second substrate are determined to be defective when at least one of the monitoring information of the first substrate or the monitoring information of the second substrate falls out of the error range by directly applying the monitoring information of the first substrate to the second substrate and directly applying the monitoring information of the second substrate to the first substrate.
12. The method of claim 11, wherein in the selecting of the first substrate and the second substrate, the first substrate and the second substrate are selected from among a plurality of substrates belonging to one lot.
13. The method of claim 11, wherein, in the selecting of the first substrate and the second substrate, the first substrate and the second substrate are selected from among a plurality of substrates for which a predetermined process is performed in a same chamber.
14. The method of claim 11, wherein the monitoring of some regions of the first substrate is performed by moving a measurement part, for monitoring the first substrate, relative to the first substrate in a first direction via a central portion of the first substrate.
15. The method of claim 14, wherein the monitoring of some regions of the second substrate is performed by moving the measurement part, for monitoring the second substrate, relative to the second substrate in a second direction, which is different from the first direction, via the central portion of the second substrate.
16. The method of claim 14, wherein the monitoring of some regions of the first substrate is performed by intermittently monitoring the first substrate while the measurement part for monitoring the first substrate moves, and the monitoring of some regions of the second substrate is performed by intermittently monitoring the second substrate while the measurement part for monitoring the second substrate moves.
17. The method of claim 11, wherein, in the determining of presence of abnormality, when the monitoring information about the first substrate and the information about the second substrate each fall within the error range, a processed state is determined to be good.
18. The method of claim 17, wherein, in the determining of presence of abnormality, when a monitoring region of the first substrate and a monitoring region of the second substrate partially overlap, the error range is corrected by using a deviation in monitoring information about an overlapping region.
US16/959,145 2017-12-27 2018-12-27 Substrate inspection device and substrate inspection method Active 2039-04-26 US11726134B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR20170181351 2017-12-27
KR10-2017-0181351 2017-12-27
KR1020180169241A KR20190079560A (en) 2017-12-27 2018-12-26 Apparatus and method for inspecting substrate
KR10-2018-0169241 2018-12-26
PCT/KR2018/016696 WO2019132528A1 (en) 2017-12-27 2018-12-27 Substrate inspection device and substrate inspection method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2018/016696 A-371-Of-International WO2019132528A1 (en) 2017-12-27 2018-12-27 Substrate inspection device and substrate inspection method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/216,470 Continuation US20230341454A1 (en) 2017-12-27 2023-06-29 Substrate inspection device and substrate inspection method

Publications (2)

Publication Number Publication Date
US20200341049A1 US20200341049A1 (en) 2020-10-29
US11726134B2 true US11726134B2 (en) 2023-08-15

Family

ID=67225171

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/959,145 Active 2039-04-26 US11726134B2 (en) 2017-12-27 2018-12-27 Substrate inspection device and substrate inspection method
US18/216,470 Pending US20230341454A1 (en) 2017-12-27 2023-06-29 Substrate inspection device and substrate inspection method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/216,470 Pending US20230341454A1 (en) 2017-12-27 2023-06-29 Substrate inspection device and substrate inspection method

Country Status (5)

Country Link
US (2) US11726134B2 (en)
JP (1) JP7295861B2 (en)
KR (1) KR20190079560A (en)
CN (1) CN111566788A (en)
TW (2) TWI820074B (en)

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04733A (en) 1990-04-17 1992-01-06 Fujitsu Ltd Inspecting device for wafer
JP2001196302A (en) 1999-11-02 2001-07-19 Tokyo Electron Ltd Heating treatment method and heating treater
JP2003254741A (en) 2002-02-28 2003-09-10 Shin Etsu Handotai Co Ltd Measurement method, measurement device, manufacturing method for semiconductor epitaxial wafer, and computer program
US20040208353A1 (en) * 2003-02-27 2004-10-21 Omron Corporation Substrate inspecting method and substrate inspecting apparatus using the method
US6819416B2 (en) 2000-07-27 2004-11-16 Hitachi, Ltd. Defect inspection method and apparatus therefor
US6927847B2 (en) * 2001-09-13 2005-08-09 Hitachi High-Technologies Corporation Method and apparatus for inspecting pattern defects
US20050200841A1 (en) 1999-01-08 2005-09-15 Applied Materials, Inc. Detection of defects in patterned substrates
US20070177136A1 (en) * 2006-01-23 2007-08-02 Hiroyuki Nakano Apparatus and method for inspecting defects
JP2008103710A (en) 2006-09-29 2008-05-01 Tokyo Electron Ltd Method of real time dynamic cd control
US20080204736A1 (en) * 2007-02-28 2008-08-28 Hitachi High-Technologies Corporation Defect Inspection Method and Defect Inspection Apparatus
JP4247076B2 (en) * 2003-08-25 2009-04-02 日本電産リード株式会社 Substrate inspection system and substrate inspection method
JP2009188175A (en) 2008-02-06 2009-08-20 Tokyo Seimitsu Co Ltd External appearance inspecting apparatus and method
US20100182589A1 (en) * 2009-01-16 2010-07-22 Hitachi, Ltd. Spectral detection method and device, and defect inspection method and apparatus using the same
US20110052040A1 (en) * 2009-09-02 2011-03-03 Hermes Microvision, Inc. Substrate inspection method
US20110310244A1 (en) * 2009-02-27 2011-12-22 Saint-Gobain Glass France System and method for detecting a defect of a substrate
TW201213794A (en) 2010-04-28 2012-04-01 Rigaku C0Rporation Inspection method and inspection apparatus of color filter substrate
KR20120133589A (en) * 2011-05-31 2012-12-11 (주)루멘시스 Inspection apparatus for patterned sapphire substrate
TWI420096B (en) 2008-12-15 2013-12-21 Hermes Microvision Inc An e-beam defect review system
US20150276623A1 (en) * 2014-03-25 2015-10-01 Hitachi High-Technologies Corporation Defect Inspection Method and Defect Inspection Device
US20150286001A1 (en) * 2014-04-08 2015-10-08 Hitachi High-Technologies Corporation Defect Review Apparatus, Defect Review Method
KR20160028954A (en) 2014-09-04 2016-03-14 가부시키가이샤 뉴플레어 테크놀로지 Inspection method
JP2016076611A (en) 2014-10-07 2016-05-12 東京エレクトロン株式会社 Substrate inspection device and control method therefor
US20160261786A1 (en) 2015-03-03 2016-09-08 Samsung Electronics Co., Ltd. Wafer Inspection Apparatus Using Three-Dimensional Image
KR20160116534A (en) 2015-03-30 2016-10-10 삼성전자주식회사 Method for inspecting wafer using electron beam
KR20170068419A (en) 2017-06-01 2017-06-19 세메스 주식회사 Inspecting method and Apparatus for treating a substrate
US10067067B2 (en) * 2015-09-09 2018-09-04 Samsung Electronics Co., Ltd. Substrate inspection apparatus
US20190131156A1 (en) * 2017-10-31 2019-05-02 Samsung Electronics Co., Ltd. Substrate transfer apparatus and substrate inspection apparatus including the same
US20190162756A1 (en) * 2016-05-11 2019-05-30 Wit Co., Ltd. Multifunctional substrate inspection apparatus and multifunctional substrate inspection method

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101809654B1 (en) * 2014-06-03 2017-12-18 에이피시스템 주식회사 Substrate processing apparatus and operating method thereof
KR101796647B1 (en) * 2016-05-03 2017-11-10 (주)에스티아이 Substrate processing apparatus and substrate processing method

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04733A (en) 1990-04-17 1992-01-06 Fujitsu Ltd Inspecting device for wafer
US20050200841A1 (en) 1999-01-08 2005-09-15 Applied Materials, Inc. Detection of defects in patterned substrates
JP2001196302A (en) 1999-11-02 2001-07-19 Tokyo Electron Ltd Heating treatment method and heating treater
US6819416B2 (en) 2000-07-27 2004-11-16 Hitachi, Ltd. Defect inspection method and apparatus therefor
US6927847B2 (en) * 2001-09-13 2005-08-09 Hitachi High-Technologies Corporation Method and apparatus for inspecting pattern defects
JP2003254741A (en) 2002-02-28 2003-09-10 Shin Etsu Handotai Co Ltd Measurement method, measurement device, manufacturing method for semiconductor epitaxial wafer, and computer program
US20040208353A1 (en) * 2003-02-27 2004-10-21 Omron Corporation Substrate inspecting method and substrate inspecting apparatus using the method
JP4247076B2 (en) * 2003-08-25 2009-04-02 日本電産リード株式会社 Substrate inspection system and substrate inspection method
US20070177136A1 (en) * 2006-01-23 2007-08-02 Hiroyuki Nakano Apparatus and method for inspecting defects
US20100265496A1 (en) * 2006-01-23 2010-10-21 Hiroyuki Nakano Apparatus and method for inspecting defects
JP2008103710A (en) 2006-09-29 2008-05-01 Tokyo Electron Ltd Method of real time dynamic cd control
US20080204736A1 (en) * 2007-02-28 2008-08-28 Hitachi High-Technologies Corporation Defect Inspection Method and Defect Inspection Apparatus
JP2009188175A (en) 2008-02-06 2009-08-20 Tokyo Seimitsu Co Ltd External appearance inspecting apparatus and method
TWI420096B (en) 2008-12-15 2013-12-21 Hermes Microvision Inc An e-beam defect review system
US20100182589A1 (en) * 2009-01-16 2010-07-22 Hitachi, Ltd. Spectral detection method and device, and defect inspection method and apparatus using the same
US20110310244A1 (en) * 2009-02-27 2011-12-22 Saint-Gobain Glass France System and method for detecting a defect of a substrate
US20110052040A1 (en) * 2009-09-02 2011-03-03 Hermes Microvision, Inc. Substrate inspection method
TW201213794A (en) 2010-04-28 2012-04-01 Rigaku C0Rporation Inspection method and inspection apparatus of color filter substrate
KR20120133589A (en) * 2011-05-31 2012-12-11 (주)루멘시스 Inspection apparatus for patterned sapphire substrate
US20150276623A1 (en) * 2014-03-25 2015-10-01 Hitachi High-Technologies Corporation Defect Inspection Method and Defect Inspection Device
US20150286001A1 (en) * 2014-04-08 2015-10-08 Hitachi High-Technologies Corporation Defect Review Apparatus, Defect Review Method
KR20160028954A (en) 2014-09-04 2016-03-14 가부시키가이샤 뉴플레어 테크놀로지 Inspection method
JP2016076611A (en) 2014-10-07 2016-05-12 東京エレクトロン株式会社 Substrate inspection device and control method therefor
US20160261786A1 (en) 2015-03-03 2016-09-08 Samsung Electronics Co., Ltd. Wafer Inspection Apparatus Using Three-Dimensional Image
KR20160116534A (en) 2015-03-30 2016-10-10 삼성전자주식회사 Method for inspecting wafer using electron beam
US10067067B2 (en) * 2015-09-09 2018-09-04 Samsung Electronics Co., Ltd. Substrate inspection apparatus
US20190162756A1 (en) * 2016-05-11 2019-05-30 Wit Co., Ltd. Multifunctional substrate inspection apparatus and multifunctional substrate inspection method
KR20170068419A (en) 2017-06-01 2017-06-19 세메스 주식회사 Inspecting method and Apparatus for treating a substrate
US20190131156A1 (en) * 2017-10-31 2019-05-02 Samsung Electronics Co., Ltd. Substrate transfer apparatus and substrate inspection apparatus including the same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
International Search Report for PCT/KR2018/016696 dated Apr. 3, 2019.
Written Opinion for PCT/KR2018/016696 dated Jul. 9, 2019.

Also Published As

Publication number Publication date
CN111566788A (en) 2020-08-21
KR20190079560A (en) 2019-07-05
JP7295861B2 (en) 2023-06-21
JP2021509535A (en) 2021-03-25
TWI820074B (en) 2023-11-01
TW201928515A (en) 2019-07-16
US20200341049A1 (en) 2020-10-29
TW202403442A (en) 2024-01-16
US20230341454A1 (en) 2023-10-26

Similar Documents

Publication Publication Date Title
JP4566035B2 (en) Coating and developing apparatus and method thereof
KR101312789B1 (en) Method for positioning a wafer
US8852345B2 (en) Method and apparatus for electronic device manufacture using shadow masks
JP7220060B2 (en) Substrate inspection system, electronic device manufacturing apparatus, substrate inspection method, and electronic device manufacturing method
KR20170025417A (en) Shower head of Combinatorial Spatial Atomic Layer Deposition apparatus
JP2009278138A (en) Coating and developing apparatus, and method therefor
KR20220018014A (en) Automated transport of edge rings requiring rotational alignment
US20100280643A1 (en) Lot processing start determining method and control unit
US20230374647A1 (en) Substrate processing apparatus and substrate processing method
US20160307784A1 (en) Substrate processing system
US11726134B2 (en) Substrate inspection device and substrate inspection method
JP2004311714A (en) Substrate treating device
US9087864B2 (en) Multipurpose combinatorial vapor phase deposition chamber
US20080145957A1 (en) Wafer transferring robot in semiconductor device fabrication equipmentand method of detecting wafer warpage using the same
US10007198B2 (en) Method including an adjustment of a plurality of wafer handling elements, system including a plurality of wafer handling elements and photolithography track
US11275044B2 (en) Anomaly determination method and writing apparatus
KR20200048841A (en) Mask replacement timing determination apparatus, film forming apparatus, mask replacement timing determination method, film forming method, and manufacturing method of electronic device
US20150079795A1 (en) Substrate Processing System with Multiple Processing Devices Deployed in Shared Ambient Environment and Associated Methods
WO2019132528A1 (en) Substrate inspection device and substrate inspection method
US9824861B2 (en) Substrate processing apparatus, substrate processing method and recording medium recording substrate processing program
US20230386942A1 (en) Deposition system and method
KR20200010744A (en) Apparatus and method for predicting fault of substrate processing apparatus
JP2007324199A (en) Exposure system and exposure method
KR20200048838A (en) Apparatus and method for checking adhesion degree and apparatus and method for forming film using the same, and manufacturing method of electronic device
KR20170078478A (en) Stage used in apparatus for measuring film thickness of sola cell using parallel x―ray beams

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: JUSUNG ENGINEERING CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JUNG, GU HYUN;KIM, YOUNG ROK;OH, SE YONG;AND OTHERS;REEL/FRAME:053080/0047

Effective date: 20200612

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO SMALL (ORIGINAL EVENT CODE: SMAL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT RECEIVED

STCF Information on status: patent grant

Free format text: PATENTED CASE