US11031501B2 - Isolation structure having different distances to adjacent FinFET devices - Google Patents
Isolation structure having different distances to adjacent FinFET devices Download PDFInfo
- Publication number
- US11031501B2 US11031501B2 US16/715,584 US201916715584A US11031501B2 US 11031501 B2 US11031501 B2 US 11031501B2 US 201916715584 A US201916715584 A US 201916715584A US 11031501 B2 US11031501 B2 US 11031501B2
- Authority
- US
- United States
- Prior art keywords
- source
- fin
- drain
- gate
- semiconductor device
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000002955 isolation Methods 0.000 title claims description 85
- 239000010410 layer Substances 0.000 claims description 98
- 239000004065 semiconductor Substances 0.000 claims description 38
- 239000000463 material Substances 0.000 claims description 24
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 10
- 239000000203 mixture Substances 0.000 claims description 8
- 239000011229 interlayer Substances 0.000 claims description 5
- 238000005530 etching Methods 0.000 description 79
- 238000000034 method Methods 0.000 description 55
- 239000003989 dielectric material Substances 0.000 description 24
- 238000004519 manufacturing process Methods 0.000 description 23
- 229910052751 metal Inorganic materials 0.000 description 19
- 239000002184 metal Substances 0.000 description 19
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 17
- 230000008901 benefit Effects 0.000 description 12
- 229910052814 silicon oxide Inorganic materials 0.000 description 12
- 238000011049 filling Methods 0.000 description 10
- 239000000758 substrate Substances 0.000 description 10
- 125000006850 spacer group Chemical group 0.000 description 9
- 239000000126 substance Substances 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 230000000875 corresponding effect Effects 0.000 description 6
- 229920002120 photoresistant polymer Polymers 0.000 description 6
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910052681 coesite Inorganic materials 0.000 description 3
- 229910052906 cristobalite Inorganic materials 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 238000007517 polishing process Methods 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 229910052682 stishovite Inorganic materials 0.000 description 3
- 229910052905 tridymite Inorganic materials 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 229910021389 graphene Inorganic materials 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910003855 HfAlO Inorganic materials 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 210000000988 bone and bone Anatomy 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000012777 electrically insulating material Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 230000009931 harmful effect Effects 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/105—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
- H01L21/76229—Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/30604—Chemical etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823481—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823878—Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0214—Particular design considerations for integrated circuits for internal polarisation, e.g. I2L
- H01L27/0218—Particular design considerations for integrated circuits for internal polarisation, e.g. I2L of field effect structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1203—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
- H01L27/1211—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
Definitions
- FinFET fin-like field effect transistor
- a typical FinFET device is fabricated with a thin “fin” (or fin-like structure) extending from a substrate.
- the fin usually includes silicon and forms the body of the transistor device.
- the channel of the transistor is formed in this vertical fin.
- a gate is provided over (e.g., wrapping around) the fin. This type of gate allows greater control of the channel.
- Other advantages of FinFET devices include reduced short channel effect and higher current flow.
- conventional FinFET devices may still have certain drawbacks.
- the fabrication of conventional FinFET devices may involve etching processes that could inadvertently or unintentionally etch away source/drain epitaxial materials.
- FIG. 1 is a perspective view of an example FinFET device.
- FIG. 2 is a top view of a FinFET device according to various embodiments of the present disclosure.
- FIG. 3 is a cross-sectional side view of a FinFET device according to various embodiments of the present disclosure.
- FIG. 4 is a cross-sectional side view of a FinFET device according to various embodiments of the present disclosure.
- FIGS. 5A-12A are different cross-sectional side views of a FinFET device at various stages of fabrication according to various embodiments of the present disclosure.
- FIGS. 5B-12B are different cross-sectional side views of a FinFET device at various stages of fabrication according to various embodiments of the present disclosure.
- FIGS. 5C-12C are different cross-sectional side views of a FinFET device at various stages of fabrication according to various embodiments of the present disclosure.
- FIGS. 13A-15A are different cross-sectional side views of a FinFET device at various stages of fabrication according to various embodiments of the present disclosure.
- FIGS. 13B-15B are different cross-sectional side views of a FinFET device at various stages of fabrication according to various embodiments of the present disclosure.
- FIGS. 13C-15C are different cross-sectional side views of a FinFET device at various stages of fabrication according to various embodiments of the present disclosure.
- FIGS. 13D-15D are different top views of a FinFET device at various stages of fabrication according to various embodiments of the present disclosure.
- FIG. 16 is a flow chart of a method for fabricating a FinFET device in accordance with embodiments of the present disclosure.
- first and second features are formed in direct contact
- additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
- present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the sake of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
- various features may be arbitrarily drawn in different scales for the sake of simplicity and clarity.
- spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
- the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as being “below” or “beneath” other elements or features would then be oriented “above” the other elements or features.
- the exemplary term “below” can encompass both an orientation of above and below.
- the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
- the present disclosure is directed to, but not otherwise limited to, a fin-like field-effect transistor (FinFET) device.
- the FinFET device may be a complementary metal-oxide-semiconductor (CMOS) device including a P-type metal-oxide-semiconductor (PMOS) FinFET device and an N-type metal-oxide-semiconductor (NMOS) FinFET device.
- CMOS complementary metal-oxide-semiconductor
- PMOS P-type metal-oxide-semiconductor
- NMOS N-type metal-oxide-semiconductor
- FIG. 1 a perspective view of an example FinFET device 50 is illustrated.
- the FinFET device 50 is a non-planar multi-gate transistor that is built over a substrate (such as a bulk substrate).
- a thin silicon-containing “fin-like” structure forms the body of the FinFET device 50 .
- the fin has a fin width W fin .
- a gate 60 of the FinFET device 50 is wrapped around this fin.
- Lg denotes a length (or width, depending on the perspective) of the gate 60 .
- the gate 60 may include a gate electrode component 60 A and a gate dielectric component 60 B.
- the gate dielectric 60 B has a thickness t ox .
- a portion of the gate 60 is located over a dielectric isolation structure such as shallow trench isolation (STI).
- a source 70 and a drain 80 of the FinFET device 50 are formed in extensions of the fin on opposite sides of the gate 60 .
- the STI shallow trench isolation
- FinFET devices offer several advantages over traditional Metal-Oxide Semiconductor Field Effect Transistor (MOSFET) devices (also referred to as planar transistor devices). These advantages may include better chip area efficiency, improved carrier mobility, and fabrication processing that is compatible with the fabrication processing of planar devices. Thus, it may be desirable to design an integrated circuit (IC) chip using FinFET devices for a portion of, or the entire IC chip.
- MOSFET Metal-Oxide Semiconductor Field Effect Transistor
- FinFET fabrication may still have shortcomings.
- FinFET devices typically grow an epitaxial layer on their fins to serve as the source/drain of the FinFET transistor.
- Gate structures are then formed over the fins.
- An isolation structure may be implemented to provide electrical isolation between the gate structures of adjacent FinFET devices.
- one or more etching processes may be performed to etch a recess between adjacent FinFET devices.
- conventional methods of fabricating FinFET devices have not taken necessary precautions to avoid etching into the source/drain regions when the recess is etched as a part of the isolation structure formation. The inadvertent or unintentional etching of the source/drain regions may damage the source/drain regions, thereby degrading the performance of the FinFET device, or it may render the FinFET device defective.
- the present disclosure performs the etching of the recess (through the low-k dielectric material) in a manner such that the etched recess is not equidistant to the adjacent FinFET devices that are located on opposing sides of the etched recess. Instead, the recess (and thus the isolation structure subsequently formed within the recess) will be formed substantially closer to a FinFET device located on one side of the recess than to the FinFET device located on the other side of the recess.
- the FinFET device that is located closer to the recess may have a smaller source/drain region (which makes it less prone to being inadvertently etched), or it may have a source/drain region that is more etching resistant (which allows it to better withstand the etching chemicals). As a result, the overall FinFET device performance may be improved.
- the various aspects of the present disclosure will now be discussed below in more detail with reference to FIGS. 2-4, 5A-12A, 5B-12B, 5C-12C, 13A-15A, 13B-15B, 13C-15C, 13D-15D, and 16 below.
- FIG. 2 illustrates a diagrammatic fragmentary top view of a FinFET device 100 according to an embodiment of the present disclosure.
- FIGS. 3 and 4 each illustrate a diagrammatic cross-sectional side view of the FinFET device 100 , where the cross-sectional side view of the FinFET device 100 in FIG. 3 is taken along a cut M-M′ shown in the top view of FIG. 2 , and the cross-sectional side view of the FinFET device 100 in FIG. 4 is taken along a cut N-N′ shown in the top view of FIG. 2 .
- the FinFET device 100 is fabricated over a substrate.
- the substrate includes a semiconductor material such as silicon. Other suitable materials may also be used for the substrate in alternative embodiments.
- a semiconductor layer may be formed over the substrate.
- the semiconductor layer includes a crystal silicon material.
- the semiconductor layer may include silicon germanium.
- An implantation process (e.g., an anti-punch-through implantation process) may be performed to implant a plurality of dopant ions to the semiconductor layer.
- the dopant ions may include an n-type material in some embodiments, for example arsenic (As) or phosphorous (P), or they may include a p-type material in some other embodiments, for example boron (B), depending on whether an NMOS or a PMOS is needed.
- n-type material for example arsenic (As) or phosphorous (P)
- P phosphorous
- B boron
- the FinFET device 100 includes at least a FinFET device 100 A and a FinFET device 100 B, where the FinFET device 100 A and the FinFET device 100 B are different types of devices.
- the FinFET device 100 A may be a PFET (or PMOS), while the FinFET device 100 B may be an NFET (or NMOS), or vice versa. Due to the FinFET devices 100 A and 100 B being different types of devices, they may have different characteristics, such as different sizes/shapes for their respective source/drain regions, or different etching characteristics of their respective source/drain regions, as discussed in more detail later.
- the FinFET device 100 also includes a plurality of fin structures, for example fin structures 150 A belonging to the FinFET device 100 A and fin structures 150 B belonging to the FinFET device 100 B.
- the fin structures 150 A and 150 B protrude upwardly in the Z-direction.
- the fin structures 150 A or 150 B may include, but are not limited to, Si, SiGe, Ge, a III-V group compound, or graphene.
- the fin structures 150 A and 150 B protrude upwards and out of the isolation structures 120 .
- each fin structure 150 A or 150 B is not covered by the isolation structures 120 .
- the fin structures 150 A and 150 B are elongated structures that each extend in the X-direction (i.e., the same X-direction that is shown in FIG. 1 ).
- An inter-layer dielectric (ILD) 170 may be formed over the isolation structures 120 and over portions of the fin structures 150 A and 150 B.
- the ILD 170 may include a low-k dielectric material.
- the ILD 170 may contain silicon oxide.
- the ILD 170 may be formed by a suitable deposition process followed by a polishing process such as chemical-mechanical-polishing (CMP), so as to planarize the upper surface of the ILD 170 .
- CMP chemical-mechanical-polishing
- Gate structures 200 are formed over (and partially wrapping around) the fin structures 150 A and 150 B.
- the gate structures 200 include gate structures 200 A for the FinFET device 100 A and gate structures 200 B for the FinFET device 100 B.
- the gate structures 200 A and 200 B each extend in the Y-direction of FIG. 2 (i.e., the same Y-direction that is shown in FIG. 1 ).
- the gate structures 200 are high-k metal gate structures.
- the high-k metal gate structures may be formed in a gate replacement process, in which a dummy gate dielectric and a dummy gate electrode are replaced by a high-k gate dielectric and a metal gate electrode.
- a high-k dielectric material is a material having a dielectric constant that is greater than a dielectric constant of SiO 2 , which is approximately 4.
- the high-k gate dielectric includes hafnium oxide (HfO 2 ), which has a dielectric constant that is in a range from approximately 18 to approximately 40.
- the high-k gate dielectric may include ZrO 2 , Y 2 O 3 , La 2 O 5 , Gd 2 O 5 , TiO 2 , Ta 2 O 5 , HfErO, HfLaO, HfYO, HfGdO, HfAlO, HfZrO, HfTiO, HfTaO, or SrTiO.
- the high-k gate dielectric is illustrated in FIG. 4 as a high-k gate dielectric 210 A and a high-k gate dielectric 210 B.
- the high-k gate dielectric 210 A is formed on the side and upper surfaces a portion of the fin structure 150 A of the FinFET device 100 A
- the high-k gate dielectric 210 B is formed on the side and upper surfaces a portion of the fin structure 150 B of the FinFET device 100 B.
- the metal gate electrode may include a work function metal component and a fill metal component.
- the work functional metal component is configured to tune a work function of its corresponding FinFET to achieve a desired threshold voltage Vt.
- the work function metal component may contain: TiAl, TiAlN, TaCN, TiN, WN, or W, or combinations thereof.
- the fill metal component is configured to serve as the main conductive portion of the functional gate structure 400 .
- the fill metal component may contain Aluminum (Al), Tungsten (W), Copper (Cu), or combinations thereof.
- the FinFET device 100 also includes source/drain regions, for example source/drain regions 250 A for the FinFET device 100 A and source/drain regions 250 B for the FinFET device 100 B.
- the source/drain regions 250 A and 250 B may each be formed using one or more epitaxial growth processes, and as such they are epitaxially-grown structures.
- the source/drain regions 250 A or 250 B may include, but are not limited to, Si, SiP, SiAs, SiGe, Ge, a III-V group compound semiconductor, or graphene.
- the source/drain regions 250 A and 250 B have different characteristics.
- the source/drain regions 250 A and 250 B have different geometric profiles. The difference in their geometric profiles may refer to their sizes or dimensions.
- the source/drain region 250 A is substantially smaller than the source/drain region 250 B, at least in terms of their respective lateral dimensions.
- the source/drain region 250 A may have a lateral dimension 255 A (measured in the Y-direction)
- the source/drain region 250 B may have a lateral dimension 255 B (measured in the Y-direction), where the dimension 255 B is substantially greater than the dimension 255 A.
- the size difference between the source/drain regions 250 A and 250 B is also shown in the cross-sectional side view of FIG. 3 .
- the source/drain region 250 A has a lateral dimension 260 A (which is a part of the dimension 255 A) in the Y-direction (i.e., the Y-direction shown in FIGS. 1 and 2 ), where the dimension 260 A is measured from an outer side surface of the fin structure 150 A to an outer side surface of the source/drain region 250 A.
- the dimension 260 A is in a range between about 3 nanometers (nm) and about 30 nm.
- the source/drain region 250 B has a lateral dimension 260 B (which is a part of the dimension 255 B) in the Y-direction, where the dimension 260 B is measured from an outer side surface of the fin structure 150 B to an outer side surface of the source/drain region 250 B.
- the dimension 260 B is in a range between about 3 nanometers (nm) and about 30 nm.
- the dimension 260 B is substantially greater than the dimension 260 A, for example at least 25% greater in some embodiments, at least 50% greater in some other embodiments, or at least 100% greater in yet other embodiments.
- the size difference between the source/drain region 250 A and the source/drain region 250 B may be attributed to the fact that the FinFET device 100 A and the FinFET device 100 B are different types of devices, for example one of the FinFET devices 100 A and 100 B may be an NFET, while the other one of the FinFET devices 100 A and 100 B may be a PFET.
- the size difference between the source/drain region 250 A and the source/drain region 250 B also means that, when an etching process is performed etch an opening in the ILD 170 and the gate structure 200 (which is done to form an isolation structure 300 , as discussed below in more detail), the larger source/drain region 250 B is more prone to being inadvertently etched, especially if there are alignment or overlay issues.
- the present disclosure will etch the opening at a spot not right in the middle between the FinFET devices 100 A and 100 B, but closer to the FinFET device with the smaller source/drain region (e.g., the FinFET device 100 A in the embodiment shown in FIG. 3 ). By doing so, the size difference between the source/drain regions 250 A and 250 B will be accounted for, and the larger source/drain region is less likely to be inadvertently etched.
- Source/drain region 250 A and the source/drain region 250 B may be their resistance to etching.
- different materials may be used to form the source/drain regions 250 A and 250 B for the FinFET devices 100 A and 100 B, respectively.
- source/drain regions for PFETs may include SiGe
- source/drain regions for NFETs may include SiC or SiP.
- Some of these materials may be more resistant to etching than others.
- Cl 2 is used as an etchant in an etching process
- SiGe is more resistant to etching than SiP.
- SiP is more resistant to etching than SiGe.
- the greater resistance to etching means that the material may not suffer as much damage as the other materials even if the etching process discussed above (to form the isolation structure 300 ) is inadvertently performed on them.
- the difference resistance to etching between the source/drain regions 250 A and 250 B may be measured in terms of their etching rates in response to the etching process discussed above.
- the source/drain region having a lower etching rate means that it is more etching resistant than the other source/drain region.
- one goal of the present disclosure is to reduce the harmful effects caused by the inadvertent or unintentional etching of either of the source/drain regions 250 A or 250 B, the present disclosure will try to perform the etching of the ILD 170 at a spot that is closer to the more etching resistant one of the source/drain regions 250 A or 250 B.
- the source/drain region 250 A is more etching resistant than the source/drain region 250 B in the illustrated embodiment, for example when the etchant is Cl 2 , and the source/drain region 250 A includes SiGe while the source/drain region 250 B includes SiP.
- the etching process will be performed at a location that is closer to the source/drain region 250 A than to the source/drain region 250 B.
- the source/drain region 250 A is better able to withstand the etching chemicals without being damaged too much (as opposed to the “weaker” source/drain region 250 B being exposed to the etching chemicals).
- the etching process may be performed to form an opening closer to the source/drain region 250 B for the same reasons discussed above.
- the isolation structure 300 includes an electrically insulating material, for example a suitable dielectric material.
- the dielectric material of the isolation structure 300 may still be different from the dielectric material used for the ILD 170 .
- the isolation structure 300 is elongated and extends in the X-direction (i.e., the same X-direction shown in FIG. 1 ). Due to its position of being located in between the FinFET devices 100 A and 100 B, the isolation structure 300 provides electrical isolation between the FinFET devices 100 A and 100 B, for example electrical isolation between the gate structures 200 A and 200 B. Good electrical isolation between the gate structures 200 A and 200 B will result in lower noise or interference between the FinFET devices 100 A and 100 B, and thus better performance of the FinFET device 100 as a whole.
- the lateral dimension (or width) of the isolation structure 300 in the Y-direction may be measured in at least two different regions.
- the isolation structure 300 may have a dimension 310 in the Y-direction.
- the dimension 310 is measured at a portion of the isolation structure 300 that is embedded within (or surrounded by) the ILD 170 .
- the dimension 310 is in a range between about 3 nm and about 100 nm.
- the isolation structure 300 may also have a dimension 320 in the Y-direction.
- the dimension 320 is measured at a portion of the isolation structure 300 that is embedded within (or surrounded by) the gate structure 200 .
- One sidewall 330 of the isolation structure 300 borders a sidewall of one of the gate structures 200 A, while another sidewall 331 (opposite of the sidewall 330 ) of the isolation structure 300 borders another sidewall of one of the gate structures 200 B.
- the gate structures 200 A and 200 B would have remained as a continuous gate structure 200 .
- the isolation structure 300 “cuts” the continuous gate structure 200 into two separate and distinct segments (i.e., the gate structure 200 A for the FinFET device 100 A and the gate structure 200 B for the FinFET device 100 B, respectively).
- the dimension 320 is in a range between about 5 nm and about 100 nm.
- the present disclosure does not form the isolation structure 300 exactly in the middle between the two FinFET devices 100 A and 100 B.
- the isolation structure 300 is not equidistant to the nearest fin structure 150 A on one side and the nearest fin structure 150 B on the other side.
- the isolation structure is formed to be closer to the FinFET device that has a smaller source/drain region in some embodiments, or it may be formed to be closer to the FinFET device that has a more etching resistant source/drain region in some embodiments.
- the FinFET device that has the smaller source/drain region is also the FinFET device that has the more etching resistant source/drain region.
- the FinFET device 100 A herein has a smaller source/drain region 250 A (or a more etching resistant source/drain region 250 A), and therefore the isolation structure 300 is formed to be closer to the FinFET device 100 A.
- the closer distance to the FinFET device 100 A reduces the likelihood of the source/drain regions being inadvertently etched when an etching process is performed to form the isolation structure 300 , and/or any inadvertent etching of the source/drain regions—if it indeed occurs—does not pose a significant problem anyway.
- the distances between the isolation structure 300 and the FinFET devices 100 A and 100 B may be measured by distances 350 A- 350 B and 360 A- 360 B, all measured in the Y-direction.
- the distance 350 A is measured from the sidewall 330 of the isolation structure 300 to a sidewall 370 of the fin structure 150 A
- the distance 350 B is measured from the sidewall 331 of the isolation structure 300 to a sidewall 371 of the fin structure 150 B.
- the distances 350 A and 350 B are measured from a region of the FinFET device 100 where gate structures 200 are not present.
- the distance 350 A is in a range between about 2 nm and about 40 nm, and the distance 350 B is also in a range between about 2 nm and about 40 nm. However, since the isolation structure 300 is closer to the FinFET device 100 A, the distance 350 A is smaller than the distance 350 B. In some embodiments, the difference between the distance 350 B and 350 A is at least 2 nm, for example such difference may be in a range between about 2 nm and about 30 nm. The distance 350 B may be at least 25% larger than the distance 350 A in some embodiments, or at least 50% larger in some other embodiments, or at least 100% larger in yet other embodiments.
- the distance 360 A is measured from the sidewall 330 of the isolation structure 300 to a sidewall 380 of the fin structure 150 B
- the distance 360 B is measured from the sidewall 331 of the isolation structure 300 to a sidewall 381 of the fin structure 150 B.
- the distances 360 A and 360 B are measured from a region of the FinFET device 100 where gate structures 200 are present.
- the distance 360 A is in a range between about 4 nm and about 40 nm
- the distance 360 B is also in a range between about 4 nm and about 40 nm.
- the isolation structure 300 is closer to the FinFET device 100 A, the distance 360 A is smaller than the distance 360 B.
- the difference between the distance 360 B and 360 A is at least 2 nm, for example such difference may be in a range between about 2 nm and about 30 nm.
- the distance 360 B may be at least 25% larger than the distance 360 A in some embodiments, or at least 50% larger in some other embodiments, or at least 100% larger in yet other embodiments. It is understood that the distance 350 A may not be necessarily equal to the distance 360 A, and that the distance 350 B may not necessarily be equal to the distance 360 B.
- FIGS. 5A-12A, 5B-12B, and 5C-12C The process flow of fabricating the FinFET device 100 according to aspects of the present disclosure will now be discussed below with reference to FIGS. 5A-12A, 5B-12B, and 5C-12C .
- FIGS. 5A-12A, 5B-12B, and 5C-12C Similar components appearing in FIGS. 2-4 and FIGS. 5A-12A, 5B-12B, and 5C-12C will be labeled the same.
- FIGS. 5A-12A are fragmentary cross-sectional side views of the FinFET device 100 at different stages of fabrication, where the cross-section is taken along the X-direction in FIG. 1 .
- FIGS. 5B-12B and FIGS. 5C-12C are fragmentary cross-sectional side views of the FinFET device 100 at different stages of fabrication, where the cross-section is taken along the Y-direction in FIG. 1 .
- FIGS. 5A-12A may be referred to as X-cuts
- FIGS. 5B-12B and 5C-12C may be referred to as Y-cuts.
- FIGS. 5B-12B and 5C-12C each illustrate a cross-sectional cut taken in the Y-direction, they are taken at different regions of the FinFET device 100 .
- the cross-sectional cuts in FIGS. 5B-12B are taken at a region corresponding to one of the gate structures 200
- the cross-sectional cuts in FIGS. 5C-12C are taken at a region corresponding to the ILD 170 .
- FIGS. 5B-12B illustrate a region similar to the region shown in FIG. 4 (e.g., cross-sectional cut taken along N-N′)
- FIGS. 5C-12C illustrate a region similar to the region shown in FIG. 3 (e.g., cross-sectional cut taken along M-M′).
- the high-k metal gates discussed above have been formed.
- the dummy gate dielectric e.g., silicon oxide gate dielectric
- dummy gate electrode e.g., polysilicon gate electrode
- the gate structures 200 may have spacers 390 formed on their sidewalls.
- the spacers 390 may include dielectric materials such as silicon oxide, silicon nitride, or silicon oxynitride, etc. It is understood that a polishing process such as chemical mechanical polishing (CMP) may be performed to planarize an upper surface of the gate structures 200 and the ILD 170 .
- CMP chemical mechanical polishing
- the gate structure 200 wraps around a portion of each of the fin structures 150 A and 150 B, where the fin structures 150 A and 150 B are formed over a substrate 110 .
- the substrate 110 may correspond to the semiconductor layer from which the fin structures 150 A and 150 B are formed.
- the subsequent processes will form an opening that extends through the gate structure 200 and between the fin structures 150 A and 150 A, and the opening will then be filled to form the isolation structure 300 discussed above.
- the source/drain regions such as the source/drain regions 250 A and 250 B discussed above have already been formed at this stage of fabrication, but they are not specifically illustrated herein for reasons of simplicity.
- the portions of the fin structures 150 A and 150 B shown in FIG. 5B (and in FIGS. 6B-12B ) represent both the fin structure itself, as well as the epitaxially grown source/drain regions, such as the source/drain regions 250 A or 250 B discussed above.
- a hardmask layer 410 is formed over the planarized upper surface of the gate structures 200 and the ILD 170 , and another hardmask layer 420 is formed over the hardmask layer 410 .
- the hardmask layer 410 includes a first type of dielectric material
- the hardmask layer 420 includes a second type of dielectric material different from the first type.
- the first type of dielectric material may include silicon oxide
- the second type of dielectric material may include silicon nitride, or vice versa.
- one of the hardmask layers 410 - 420 is formed, but not both.
- a patterned photoresist layer 430 is formed over the hard mask layer 420 .
- the photoresist layer 430 may be formed by one or more lithography processes such as spin coating, exposing, post-exposure baking, developing, etc.
- the patterned photoresist layer 430 includes openings, for example openings 450 , 451 , 452 , 453 as shown in FIGS. 7A-7C . Note that some of these openings 450 - 453 may in fact be the same opening, for example the openings 452 and 453 may be illustrating different parts of the same opening.
- the openings 450 and 451 are each aligned with (or located above) a respective one of the gate structures 200 . This is so that the gate structures 200 disposed below the openings 450 and 451 will be removed in a later process.
- the openings 452 and 453 are intentionally formed to be closer to one of the fin structures 150 A or 150 B, for example closer to the fin structure that has a smaller epitaxially grown source/drain region, or to the fin structure that has a more etching resistant epitaxially grown source/drain region. For the sake of providing an example, suppose the source/drain region of the fin structure 150 A is smaller or more etching resistant. As such, the openings 452 and 453 are formed closer to the fin structure 150 A.
- the hard mask layers 410 and 420 are patterned using the patterned photoresist layer 430 , which transfers the openings 450 - 453 to the hard mask layers 410 - 420 .
- the patterned photoresist layer 430 is then removed, for example through a photoresist stripping or ashing process.
- the openings 450 - 452 now expose some of the gate structures 200 .
- a layer 470 may be optionally formed over the hard mask layer 420 and in the openings 450 - 453 .
- the layer 470 may contain a dielectric material, for example silicon oxide or silicon nitride.
- the layer 470 may also serve as a protective mask in a subsequent etching process.
- the presence of the layer 470 in the openings 450 - 453 effectively reduces the lateral dimension of the openings 450 - 453 .
- the layer 470 may be formed to “shrink” the openings 450 - 453 , if that is needed.
- the FinFET device 100 is etched via an etching process 500 .
- the etching process 500 etches away portions of the ILD 170 and the gate structures 200 exposed by the openings 450 - 453 , while the hardmask layers 410 - 420 serve as etching masks to protect the materials underneath from being etched.
- the openings 450 - 453 are effectively transferred downwards through the ILD 170 and/or the gate structures 200 .
- the gate structure 200 in FIG. 10B is now cut into gate structures 200 A and 200 B, which are separated by the opening 452 .
- the gate structure 200 is a high-k metal gates (i.e., containing a high-k gate dielectric and a metal gate electrode), and the openings 450 - 453 are “cut” into the gate structure 200 , the openings 450 - 453 may be referred to as “cut-metal gate” (CMG) openings or trenches.
- CMG cut-metal gate
- the openings 452 - 453 are formed to be closer to the smaller source/drain region and away from the larger source/drain region, and thus the larger source/drain region is less likely to be exposed by the openings 452 - 453 and as such less likely to suffer etching damage due to the etching process 500 .
- the openings 452 - 453 are formed closer to the source/drain region with the greater etching resistance.
- the etching process will cause significantly less damage to the inadvertently etched source/drain region.
- a layer 510 is formed to fill the openings 450 - 451 , and a layer 520 is formed over the layer 510 .
- the layer 510 contains a dielectric material with a good gap-filling or recess-filling characteristic. This is so that the layer 510 can still effectively fill the openings 450 - 453 , even if they are small.
- the layer 510 is deposited using an atomic layer deposition (ALD) process, which may be slow but offers good gap-filling performance.
- the layer 510 may be deposited using a plasma enhanced chemical vapor deposition (PECVD) process.
- PECVD plasma enhanced chemical vapor deposition
- the layer 510 may comprise a single type of dielectric material, such as silicon oxide. Note that even when the layer 510 comprises a single type of dielectric material, it may still have different physical characteristics from other components that comprise the same type of dielectric material, because the other components may be formed using different processes.
- shallow trench isolation STI
- the silicon oxide of the STI may be formed by flowable chemical vapor deposition (FCVD), which is different than the PECVD or ALD that forms the silicon oxide of the layer 510 .
- FCVD flowable chemical vapor deposition
- the silicon oxide of the STI and the silicon oxide of the layer 510 may have different characteristics such as density, or etching rate.
- the layer 510 may be formed by a plurality of processes and may include a plurality of different layers/materials.
- the layer 510 may comprise a multi-stack structure with a plurality of layers formed one over another. Since the material composition and the thicknesses of each of the layers in the multi-stack structure is configurable, the overall dielectric constant (k) value of the layer 510 may be specifically configured optimize the functionality of the layer 510 , which is to provide electrical isolation between adjacent metal gate structures.
- the layer 510 may include low-k oxide or Al 2 O 3 .
- the layer 520 may be deposited over the layer 510 using a process such as chemical vapor deposition (CVD), such as a plasma enhanced CVD (PECVD) process.
- CVD chemical vapor deposition
- PECVD plasma enhanced CVD
- the layer 520 may include a dielectric material different from the dielectric material of the layer 510 . This is because the layer 520 is not concerned with the gap-filling performance, since the openings 450 - 453 have already been filled by the layer 510 .
- the purpose of the layer 520 is to provide a flat or smooth upper surface.
- the layer 520 can be a cheaper material, and its deposition may be performed using a process that is not as costly or time-consuming as the ALD process used to form the layer 510 .
- the layer 510 may include SiO 2 , SiON, SiOCN, SiCN, SiN, or combinations thereof, and the layer 520 may include SiO 2 , SiC, or combinations thereof.
- the deposition (e.g., ALD) of the layer 510 may leave a seam 530 formed therein.
- the seam 530 extends vertically at least in part through the previous openings 452 - 453 .
- the seam 530 is filled (or at least partially filled) by the subsequently deposited layer 520 .
- the seam 530 includes one or more air gaps trapped by the layers 510 and 520 .
- the layer 520 does not fill the seam 530 , thereby leaving air trapped inside the seam 530 . Since air has a low dielectric constant, the presence of the seam 530 filled with air gaps could improve the low-k dielectric properties of the isolation structure filling the openings 452 - 453 .
- a polishing process is performed to remove the portions of the layers 510 and 520 formed outside of the openings 450 - 453 and to planarize the upper surface of the portion of the layer 510 formed inside the openings 450 - 453 .
- the remaining portions of the layer 510 form the isolation structure 300 discussed above.
- the isolation structure 300 may be referred to as “cut-metal-gate” (CMG) structures, since they provide electrical isolation between adjacent high-k metal gates.
- the isolation structure 300 may also include the seam 530 , which as discussed above may include air gaps and/or portions of the layer 520 .
- the isolation structures 300 herein not only provide electrical isolation between the gate structures 200 , but they also reduce the likelihood of the source/drain regions being damaged (or reduce the adverse impacts of the damage) from the etching process 500 used to form the isolation structures 300 .
- FIGS. 13A-15A, 13B-15B, 13C-15C, and 13D-15D illustrate different cross-sectional side views (taken at different locations) of the FinFET device 100 discussed above at different stages of fabrication
- FIGS. 13D-15D illustrate top views of the FinFET device 100 at different stages of fabrication.
- FIGS. 13A-15A, 13B-15B, and 13C-15D illustrate top views of the FinFET device 100 at different stages of fabrication.
- FIGS. 13D-15D illustrate top views of the FinFET device 100 at different stages of fabrication.
- FIGS. 13A-15A, 13B-15B, 13C-15C, and 13D-15D illustrates the top views of FIGS. 13D-15D .
- the top views of FIGS. 13D-15D are rotated 90 degrees compared to the top view shown in FIG. 2 discussed above.
- the FinFET device 100 may include a FinFET device 100 A and a FinFET device 100 B, which may be different types of devices.
- the FinFET device 100 A may be a PFET
- the FinFET 100 B may be an NFET.
- a boundary 600 exists between the PFET 100 A and the NFET 100 B, as shown in FIGS. 13A-13B and 13D .
- the NFET 100 B is larger than the PFET 100 A, for example the NFET 100 B may have a greater dimension in the Y-direction, as shown in the top view of FIG. 13D .
- the PFET device 100 A includes a plurality of fin structures 150 A
- the NFET device 100 B includes a plurality of fin structures 150 B.
- Gate structures 200 are also formed in both the PFET device 100 A and the NFET device 100 B. At this stage of fabrication, the gate structures 200 are continuous and have not been “cut” yet. As shown in the top view of FIG. 13D , the fin structures 150 A and 150 B each extend in the X-direction, while the gate structures 200 each extend in the Y-direction.
- Source/drain regions 250 A and 250 B are also formed in the PFET devices 100 A and NFET devices 100 B, respectively.
- the source/drain region 250 A may be the source/drain region of the PFET 100 A
- the source/drain region 250 B may be the source/drain region of the NFET 100 B.
- the boundaries of the source/drain regions 250 A and 250 B are illustrated as dashed/broken lines.
- the top view geometric profile of the source/drain regions 250 A and 250 B may not necessarily resemble the rectangles shown in FIG. 13D .
- the top view geometric profiles of the source/drain regions 250 A and 250 B may be curved/rounded (e.g., resembling an ellipse or an oval), or it may exhibit a somewhat irregular top view profile.
- FIGS. 13A, 13B, and 13C are obtained by taking a cross-section of the FinFET device 100 from points A-A′, B-B′, and C-C′ on FIG. 13D , respectively.
- FIG. 13A illustrates a cross-section that spans partially over both the PFET 100 A and the NFET 100 B along the Y-direction, as does FIG. 13B .
- the location of points A-A′ are between two adjacent gate structures 200 , and as such, the FIG. 13A illustrates the cross-sectional side views of source/drain regions 250 A (for the PFET 100 A) and source/drain regions 250 B (for the NFET 100 B).
- FIG. 13B illustrates the cross-sectional side view of a portion of one of the gate structures 200 (that spans across the boundary 600 between the PFET 100 A and the NFET 100 B).
- FIG. 13C illustrates a cross-section taken in the PFET 100 A and across several of the gate structures 200 .
- the fin structures 150 A and 150 B are formed to protrude vertically upwards from the substrate 110 in the PFET 100 A and the NFET 100 B, respectively.
- the source/drain regions 250 A and 250 B for the PFET 100 A and the NFET 100 B are then epitaxially grown on the fin structures 150 A and 150 B, respectively.
- the source/drain region 250 B for the NFET 100 B is substantially larger than the source/drain region 250 A for the PFET 100 A and as such may be more prone to inadvertent etching, which is an issue the present disclosure addresses by forming the isolation structure 300 closer to the PFET 100 A (discussed above with reference to FIGS. 12A-12C and further below).
- a layer 610 is formed over the source/drain regions 250 A and 250 B.
- the layer 610 contains a dielectric material and may serve as an etching-stop layer.
- the ILD 170 (discussed above with reference to FIG. 3 ) is formed over the source/drain regions 250 A- 250 B and over the layer 610 .
- the fin structures 150 A- 150 B and the gate structure 200 are visible, but the source/drain regions 250 A- 250 B, the layer 610 , and the ILD 170 are not.
- the gate structure 200 partially wraps around each of the fin structures 150 A and 150 B, for example around the upper surface and a portion of the side surfaces of each of the fin structures 150 A and 150 B.
- FIG. 13C shows the gate structures 200 located over the isolation structure 120 .
- the spacers 390 (discussed above with reference to FIGS. 5A-5C ) are formed on both of the sidewalls of each of the gate structures 200 .
- the layer 610 is located between the ILD 170 and the spacers 390 .
- openings 450 are formed.
- the openings 450 may also be referred to as cut-metal-gate (CMG) openings or CMG trenches.
- CMG cut-metal-gate
- the CMG openings 450 may be defined by the hardmask layer 410 (also discussed above in association with FIGS. 10A-10C ) and may extend vertically downward through the ILD 170 and through the gate structures 200 .
- the CMG openings 450 each span horizontally through one or more gate structures 200 , and as such they “cut open” the gate structures 200 .
- the “cut” gate structure 200 is divided or separated into adjacent gate structures 200 A and 200 B, as shown in FIG. 14D .
- the CMG openings 450 are formed to be closer to the FinFET device that has the smaller source/drain region, so as to prevent inadvertent etching of the source/drain region.
- the CMG opening 450 may be formed to be closer to the FinFET device that has the stronger etching resistance, so that any inadvertent etching to the source/drain region will not cause significant damage to the source/drain region. In the embodiment shown in FIGS.
- the CMG openings 450 are formed to be closer to the PFET 100 A than to the NFET 100 B, due to the smaller volume of the source/drain region 250 A and/or the stronger etching resistance of the source/drain region 250 A (compared to the source/drain region 250 B). This is demonstrated in FIGS. 14A, 14B, and 14D , as the distance 350 A is significantly smaller than the distance 350 B, and the distance 360 A is significantly smaller than the distance 360 B.
- the distance 350 A and the distance 360 A are the distances between the CMG opening 450 and the nearest fin structure 150 A of the PFET 100 A
- the distances 350 B and 360 B are the distances between the CMG opening 450 and the nearest fin structure 150 B of the NFET 100 B.
- the distances 350 A- 350 B are measured from locations of the CMG opening 450 not overlying the gate structure 200
- the distances 360 A- 360 B are measured from locations of the CMG opening 450 overlying the gate structure 200 .
- the CMG opening 450 may have a top view profile similar to a dumbbell (or a bone), such that its end portions protrude laterally outwards more than its middle portion. Since the portion of the CMG opening 450 is “fatter” where the distance 350 A is measured, the distance 350 A is smaller than the distance 360 A.
- the dumbbell-like shape of the CMG opening 450 is also illustrated by the fact that the dimension 310 is greater than the dimension 320 (shown in FIGS. 14A-14B and 14D ).
- the dimension 310 is the dimension of the CMG opening 450 at its end portion (not overlapping with the gate structure 200 ), and the dimension 320 is the dimension of the CMG opening 450 closer to its middle (overlapping with the gate structure 200 ), where both the dimensions 310 and 320 are measured in the Y-direction.
- the top view profile of the CMG opening 450 may be correlated to the etching recipe used to form the CMG opening 450 .
- the top view profile of the CMG opening 450 may resemble an ellipse, such that the dimension 310 may be smaller than the dimension 320 , and that the distance 350 A may be greater than the distance 360 A.
- the CMG opening 450 may be formed to have varying depths at different locations.
- the CMG opening 450 may have a depth 710 , a depth 720 , and a depth 730 that are different from one another.
- the depth 710 may correspond to a location of the ILD 170
- the depth 720 may correspond to a location where the etched-away gate structure 200 used to be (before the etching process was performed to form the CMG opening 200 )
- the depth 730 may correspond to a location of the spacers (e.g., the spacers 390 ) and the etching-stop layer (e.g., the layer 610 ) that are also etched away along with the gate structure 200 .
- the etching rates of the ILD 170 and the spacers 390 (and/or the etching-stop layer 610 ) are less than that of the gate structure 200 , and thus the depths 710 and 730 are less than the depth 720 .
- the etching rate of the spacers 390 (and/or the etching-stop layer 610 ) may be greater than, or less than, the etching rate of the ILD 170 .
- the depth 730 may be less than the depth 710
- the depth 730 may be greater than the depth 710 .
- the CMG openings 450 do not need to have the same horizontal and/or lateral dimensions as one another.
- some of the gate structures may be configured to have a larger gate length Lg (discussed above in association with FIG. 1 , where the Lg is measured in the X-direction) than the rest of the gate structures 200 .
- the gate structure 200 having the larger gate length is more difficult to cut/etch, and thus the corresponding CMG opening 450 for that gate structure is configured to have larger lateral dimensions (i.e., in the X-direction and the Y-direction), so as to make it easier to etch the gate structure 200 with the larger gate length.
- the CMG openings 450 may have different dimensions 310 (or 320 ) from one another.
- the different lateral dimensions for the CMG openings 450 may result in different etching depths too, for example a CMG opening with the larger lateral dimensions may lead to a deeper etching depth. Consequently, the CMG openings 450 corresponding to the larger gate length may also have the deeper depths 710 , 720 , or 730 .
- the dielectric layer 510 is formed to fill the CMG openings 450 , followed by a planarization process (e.g. a CMP process).
- a planarization process e.g. a CMP process
- the isolation structures 300 are formed.
- the isolation structures 300 provide electrical isolation between adjacent gate structures 200 A and 200 B, and thus the isolation structures 300 may be referred to as cut-metal-gate (CMG) structures.
- the isolation structure 300 may include a single material or a multi-stack material.
- the overall dielectric constant of the isolation structure 300 may be customized to optimize its functionality (e.g., providing electrical isolation).
- the isolation structure 300 may also have a seam or void, such as the seam 530 discussed above in association with FIGS. 12B-12C .
- FIG. 16 is a flowchart of a method 900 for fabricating a FinFET device in accordance with various aspects of the present disclosure.
- the method 900 includes a step 910 of forming, over a first fin structure and a second fin structure, a gate structure that includes a high-k gate dielectric and a metal gate electrode.
- the gate structure is formed to wrap around the first fin structure and the second fin structure.
- the method 900 includes a step 920 of etching an opening that extends vertically through a portion of the gate structure.
- the opening is located between the first fin structure and the second fin structure but is closer to the first fin structure than to the second fin structure.
- the method 900 includes a step 930 of filling the opening with one or more dielectric materials.
- the filling the opening comprises: substantially filling the opening with a first layer using an atomic layer deposition process, forming a second layer over the first layer using a chemical vapor deposition process, and polishing the second layer and the first layer.
- the filling the opening is performed such that an air gap is trapped inside a portion of the first layer filling the opening.
- the method 900 includes a step of before the forming of the gate structure, epitaxially growing a first source/drain structure on the first fin structure and epitaxially growing a second source/drain structure on the second fin structure.
- the first source/drain structure is grown to have a smaller size than the second source/drain structure or is more resistant to the etching than the second source/drain structure.
- Other steps may be performed but are not discussed herein in detail for reasons of simplicity.
- the present disclosure offers advantages over conventional FinFET devices and the fabrication thereof. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments.
- One advantage is that by forming the isolation structure (e.g., the isolation structure 300 discussed above) to be closer to the FinFET device with the smaller source/drain region, the present disclosure can reduce the risk of the source/drain regions being inadvertently and undesirably etched during the formation of the isolation structure.
- the present disclosure can reduce the potential damage caused by the inadvertent etching. For example, even if the source/drain region (with the stronger etching resistance) is somehow inadvertently exposed to the etching chemicals (e.g., etching gases) used in forming the isolation structure, the stronger etching resistance of the source/drain region means that less damage will occur.
- the etching chemicals e.g., etching gases
- a first FinFET device includes a plurality of first fin structures that each extend in a first direction in a top view.
- a second FinFET device includes a plurality of second fin structures that each extend in the first direction in the top view.
- the first FinFET device and the second FinFET device are different types of FinFET devices.
- a plurality of gate structures each extends in a second direction in the top view. The second direction being different from the first direction. Each of the gate structures partially wraps around the first fin structures and the second fin structures.
- a dielectric structure is disposed between the first FinFET device and the second FinFET device, wherein the dielectric structure cuts each of the gate structures into a first segment for the first FinFET device and a second segment for the second FinFET device.
- the dielectric structure is located closer to the first FinFET device than to the second FinFET device.
- a first fin protrudes upwardly out of a dielectric material.
- the first fin extends in a first direction in a top view.
- a first gate partially wraps around the first fin.
- the first gate extends in a second direction perpendicular to the first direction in the top view.
- a second fin protrudes upwardly out of the dielectric material.
- the second fin extends in the first direction in the top view.
- a second gate partially wraps around the second fin.
- the second gate extends in the second direction.
- An isolation structure is located over the dielectric material and between the first fin and the second fin. The isolation structure extends in the first direction in the top view.
- a first sidewall of the isolation structure borders a first sidewall of the first gate.
- a second sidewall of the isolation structure borders a second sidewall of the second gate.
- a first spacing exists between the first fin and the first sidewall of the isolation structure.
- a second spacing exists between the second fin and the second sidewall of the isolation structure. The first spacing is not equal to the second spacing.
- a gate structure is formed over a first fin structure and a second fin structure.
- the gate structure includes a high-k gate dielectric and a metal gate electrode.
- the gate structure is formed to wrap around the first fin structure and the second fin structure.
- An opening that is etched extends vertically through a portion of the gate structure. The opening is located between the first fin structure and the second fin structure but is closer to the first fin structure than to the second fin structure.
- the opening is filled with one or more dielectric materials.
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- General Engineering & Computer Science (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Thin Film Transistor (AREA)
Abstract
Description
Claims (20)
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/715,584 US11031501B2 (en) | 2017-11-30 | 2019-12-16 | Isolation structure having different distances to adjacent FinFET devices |
US17/341,075 US11699758B2 (en) | 2017-11-30 | 2021-06-07 | Isolation structure having different distances to adjacent FinFET devices |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762592510P | 2017-11-30 | 2017-11-30 | |
US15/941,137 US10510894B2 (en) | 2017-11-30 | 2018-03-30 | Isolation structure having different distances to adjacent FinFET devices |
US16/715,584 US11031501B2 (en) | 2017-11-30 | 2019-12-16 | Isolation structure having different distances to adjacent FinFET devices |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US15/941,137 Continuation US10510894B2 (en) | 2017-11-30 | 2018-03-30 | Isolation structure having different distances to adjacent FinFET devices |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US17/341,075 Continuation US11699758B2 (en) | 2017-11-30 | 2021-06-07 | Isolation structure having different distances to adjacent FinFET devices |
Publications (2)
Publication Number | Publication Date |
---|---|
US20200119183A1 US20200119183A1 (en) | 2020-04-16 |
US11031501B2 true US11031501B2 (en) | 2021-06-08 |
Family
ID=66633521
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US15/941,137 Active US10510894B2 (en) | 2017-11-30 | 2018-03-30 | Isolation structure having different distances to adjacent FinFET devices |
US16/715,584 Active US11031501B2 (en) | 2017-11-30 | 2019-12-16 | Isolation structure having different distances to adjacent FinFET devices |
US17/341,075 Active US11699758B2 (en) | 2017-11-30 | 2021-06-07 | Isolation structure having different distances to adjacent FinFET devices |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US15/941,137 Active US10510894B2 (en) | 2017-11-30 | 2018-03-30 | Isolation structure having different distances to adjacent FinFET devices |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US17/341,075 Active US11699758B2 (en) | 2017-11-30 | 2021-06-07 | Isolation structure having different distances to adjacent FinFET devices |
Country Status (4)
Country | Link |
---|---|
US (3) | US10510894B2 (en) |
KR (1) | KR102120162B1 (en) |
CN (1) | CN109860183B (en) |
TW (1) | TWI708387B (en) |
Families Citing this family (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10510894B2 (en) * | 2017-11-30 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structure having different distances to adjacent FinFET devices |
TWI754722B (en) * | 2018-02-13 | 2022-02-11 | 聯華電子股份有限公司 | Layout of semiconductor device, semiconductor device and method of forming the same |
US10629492B2 (en) * | 2018-04-27 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure having a dielectric gate and methods thereof |
KR102636464B1 (en) * | 2018-06-12 | 2024-02-14 | 삼성전자주식회사 | Semiconductor device having gate isolation layer and method of manufacturing the same |
US12002715B2 (en) | 2019-10-29 | 2024-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
KR102663811B1 (en) * | 2019-11-06 | 2024-05-07 | 삼성전자주식회사 | Integrated circuit device and method of manufacturing the same |
DE102020120099B4 (en) | 2020-01-29 | 2024-07-25 | Taiwan Semiconductor Manufacturing Co. Ltd. | METHOD FOR PRODUCING INSULATION STRUCTURES FOR SEMICONDUCTOR DEVICES |
US11264287B2 (en) | 2020-02-11 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with cut metal gate and method of manufacture |
US11348917B2 (en) * | 2020-04-30 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with isolation structure |
US11515308B2 (en) * | 2020-06-12 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit structure with hybrid cell design |
KR20210158615A (en) | 2020-06-24 | 2021-12-31 | 삼성전자주식회사 | Integrate circuit device including gate line |
US11335603B2 (en) | 2020-06-26 | 2022-05-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-layered insulating film stack |
US11664423B2 (en) * | 2020-08-18 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a source/drain of a semiconductor device having an insulating stack in a recess structure |
US11527445B2 (en) * | 2020-08-31 | 2022-12-13 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor devices and methods of manufacturing thereof |
Citations (86)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6660596B2 (en) * | 2000-03-16 | 2003-12-09 | International Business Machines Corporation | Double planar gated SOI MOSFET structure |
US7425740B2 (en) | 2005-10-07 | 2008-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for a 1T-RAM bit cell and macro |
US7667271B2 (en) | 2007-04-27 | 2010-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistors |
US7825482B2 (en) * | 2007-05-21 | 2010-11-02 | Panasonic Corporation | Semiconductor device and method for fabricating the same |
US7910453B2 (en) | 2008-07-14 | 2011-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Storage nitride encapsulation for non-planar sonos NAND flash charge retention |
US20110068407A1 (en) | 2009-09-24 | 2011-03-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs with Metal Gates and Stressors |
US8048723B2 (en) | 2008-12-05 | 2011-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs having dielectric punch-through stoppers |
US8053299B2 (en) | 2009-04-17 | 2011-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabrication of a FinFET element |
US8183627B2 (en) | 2004-12-01 | 2012-05-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid fin field-effect transistor structures and related methods |
US20130011983A1 (en) | 2011-07-07 | 2013-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | In-Situ Doping of Arsenic for Source and Drain Epitaxy |
US8362575B2 (en) | 2009-09-29 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Controlling the shape of source/drain regions in FinFETs |
US8367498B2 (en) | 2010-10-18 | 2013-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8377779B1 (en) | 2012-01-03 | 2013-02-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of manufacturing semiconductor devices and transistors |
US8399931B2 (en) | 2010-06-30 | 2013-03-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layout for multiple-fin SRAM cell |
US8415718B2 (en) | 2009-10-30 | 2013-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming epi film in substrate trench |
US8440517B2 (en) | 2010-10-13 | 2013-05-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET and method of fabricating the same |
US8487378B2 (en) | 2011-01-21 | 2013-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-uniform channel junction-less transistor |
US8497528B2 (en) | 2010-05-06 | 2013-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a strained structure |
US8497177B1 (en) | 2012-10-04 | 2013-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making a FinFET device |
US20130285153A1 (en) | 2012-04-25 | 2013-10-31 | Taiwan Semiconductor Manufacturing Company Ltd. | Strained structure of semiconductor device and method of making the strained structure |
US8610240B2 (en) | 2009-10-16 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit with multi recessed shallow trench isolation |
US8609518B2 (en) | 2011-07-22 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Re-growing source/drain regions from un-relaxed silicon layer |
US8618556B2 (en) | 2011-06-30 | 2013-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET design and method of fabricating same |
US20140001564A1 (en) * | 2012-06-27 | 2014-01-02 | Tae-Joong Song | Semiconductor integrated circuit, method of designing the same, and method of fabricating the same |
US20140001574A1 (en) | 2011-09-08 | 2014-01-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Improved silicide formation and associated devices |
US8633516B1 (en) | 2012-09-28 | 2014-01-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain stack stressor for semiconductor device |
US8652894B2 (en) | 2010-02-11 | 2014-02-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a FinFET device |
US8680576B2 (en) | 2012-05-16 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS device and method of forming the same |
US8703565B2 (en) | 2010-02-09 | 2014-04-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bottom-notched SiGe FinFET formation using condensation |
US20140110755A1 (en) | 2012-10-24 | 2014-04-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and Method for Forming Semiconductor Contacts |
US8716765B2 (en) | 2012-03-23 | 2014-05-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8723272B2 (en) | 2011-10-04 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
US8729634B2 (en) | 2012-06-15 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with high mobility and strain channel |
US8729627B2 (en) | 2010-05-14 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel integrated circuit devices |
US8735993B2 (en) | 2012-01-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET body contact and method of making same |
US8736056B2 (en) | 2012-07-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device for reducing contact resistance of a metal |
US8742509B2 (en) | 2012-03-01 | 2014-06-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for FinFETs |
US8741722B2 (en) * | 2011-05-31 | 2014-06-03 | International Business Machines Corporation | Formation of dividers between gate ends of field effect transistor devices |
US20140151812A1 (en) | 2012-11-30 | 2014-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact Plugs in SRAM Cells and the Method of Forming the Same |
US20140183600A1 (en) | 2012-12-28 | 2014-07-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Novel fin structure of finfet |
US8776734B1 (en) | 2008-05-19 | 2014-07-15 | Innovative Environmental Solutions, Llc | Remedial system: a pollution control device for utilizing and abating volatile organic compounds |
US8785285B2 (en) | 2012-03-08 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US8796759B2 (en) | 2010-07-15 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8796666B1 (en) | 2013-04-26 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with strain buffer layer and methods of forming the same |
US8809139B2 (en) | 2012-11-29 | 2014-08-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-last FinFET and methods of forming same |
US8816444B2 (en) | 2011-04-29 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and methods for converting planar design to FinFET design |
US8815712B2 (en) | 2011-12-28 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for epitaxial re-growth of semiconductor region |
US8823065B2 (en) | 2012-11-08 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8826213B1 (en) * | 2013-03-11 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Parasitic capacitance extraction for FinFETs |
US8828823B2 (en) | 2011-10-13 | 2014-09-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
US20140252412A1 (en) | 2013-03-06 | 2014-09-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Strained and Uniform Doping Technique for FINFETs |
US8836016B2 (en) | 2012-03-08 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structures and methods with high mobility and high energy bandgap materials |
US20140282326A1 (en) | 2013-03-12 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for Layout Verification for Polysilicon Cell Edge Structures in FinFET Standard Cells |
US20140264590A1 (en) | 2013-03-13 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with Bottom SiGe Layer in Source/Drain |
US20140264592A1 (en) | 2013-03-12 | 2014-09-18 | Taiwan Semiconductor Manufacturing Co. Ltd. | Barrier Layer for FinFET Channels |
US8841701B2 (en) | 2011-08-30 | 2014-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device having a channel defined in a diamond-like shape semiconductor structure |
US8847293B2 (en) | 2012-03-02 | 2014-09-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure for semiconductor device |
US8853025B2 (en) | 2013-02-08 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET/tri-gate channel doping for multiple threshold voltage tuning |
US8860148B2 (en) | 2012-04-11 | 2014-10-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET integrated with capacitor |
US8887106B2 (en) | 2011-12-28 | 2014-11-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process |
US20150054078A1 (en) * | 2013-08-21 | 2015-02-26 | International Business Machines Corporation | Methods of forming gate structures for finfet devices and the resulting smeiconductor products |
US20150145041A1 (en) * | 2013-11-22 | 2015-05-28 | International Business Machines Corporation | Substrate local interconnect integration with finfets |
US20150171217A1 (en) * | 2013-12-12 | 2015-06-18 | Texas Instruments Incorporated | Design and integration of finfet device |
US9105490B2 (en) | 2012-09-27 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US20150263003A1 (en) | 2014-03-13 | 2015-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET Devices with Unique Fin Shape and the Fabrication Thereof |
US20150270262A1 (en) * | 2013-11-13 | 2015-09-24 | Globalfoundries Inc. | Gate structures with protected end surfaces to eliminate or reduce unwanted epi material growth |
US9214358B1 (en) * | 2014-10-30 | 2015-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Equal gate height control method for semiconductor device with different pattern densites |
US20160079354A1 (en) * | 2014-09-17 | 2016-03-17 | Yong-Hee PARK | Integrated circuit devices and methods of manufacturing the same |
US9293459B1 (en) * | 2014-09-30 | 2016-03-22 | International Business Machines Corporation | Method and structure for improving finFET with epitaxy source/drain |
US20160099246A1 (en) | 2014-10-02 | 2016-04-07 | International Business Machines Corporation | Structure and method to increase contact area in unmerged epi integration for cmos finfets |
US20160111536A1 (en) * | 2014-10-15 | 2016-04-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device structure and manufacturing method thereof |
US20160133632A1 (en) * | 2014-11-12 | 2016-05-12 | Hong-bae Park | Integrated circuit device and method of manufacturing the same |
US9543935B1 (en) * | 2015-07-08 | 2017-01-10 | International Business Machines Corporation | Programmable delay circuit including hybrid fin field effect transistors (finFETs) |
US9601492B1 (en) * | 2015-11-16 | 2017-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices and methods of forming the same |
US9601567B1 (en) * | 2015-10-30 | 2017-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multiple Fin FET structures having an insulating separation plug |
US20170221907A1 (en) * | 2016-01-29 | 2017-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US20170229455A1 (en) | 2015-04-07 | 2017-08-10 | International Business Machines Corporation | Minimizing shorting between finfet epitaxial regions |
US20170345820A1 (en) * | 2016-05-31 | 2017-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal Gate Isolation Structure and Method Forming Same |
US9899267B1 (en) * | 2016-11-23 | 2018-02-20 | United Microelectronics Corp. | Semiconductor device and manufacturing method thereof |
US9947592B2 (en) * | 2015-11-16 | 2018-04-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices and methods of forming the same |
US10020307B1 (en) * | 2017-02-10 | 2018-07-10 | Globalfoundries Inc. | Integrated circuit structure including laterally recessed source/drain epitaxial region and method of forming same |
US10043807B1 (en) * | 2017-05-24 | 2018-08-07 | United Microelectronics Corp. | Semiconductor device and method of forming the same |
US10115825B1 (en) * | 2017-04-28 | 2018-10-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for FinFET device with asymmetric contact |
US10177037B2 (en) * | 2017-04-25 | 2019-01-08 | Globalfoundries Inc. | Methods of forming a CT pillar between gate structures in a semiconductor |
US20190096883A1 (en) * | 2017-09-28 | 2019-03-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Asymmetric gate pitch |
US20190165155A1 (en) * | 2017-11-30 | 2019-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structure having different distances to adjacent finfet devices |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9087725B2 (en) * | 2009-12-03 | 2015-07-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with different fin height and EPI height setting |
US9368388B2 (en) * | 2012-04-13 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for FinFETs |
US8703556B2 (en) * | 2012-08-30 | 2014-04-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making a FinFET device |
US20140159123A1 (en) * | 2012-12-07 | 2014-06-12 | International Business Machines Corporation | Etch resistant raised isolation for semiconductor devices |
US20140315371A1 (en) * | 2013-04-17 | 2014-10-23 | International Business Machines Corporation | Methods of forming isolation regions for bulk finfet semiconductor devices |
KR102146469B1 (en) * | 2014-04-30 | 2020-08-21 | 삼성전자 주식회사 | Semiconductor device and method for fabricating the same |
US9257505B2 (en) * | 2014-05-09 | 2016-02-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structures and formation methods of finFET device |
US9941406B2 (en) * | 2014-08-05 | 2018-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with source/drain cladding |
US9373641B2 (en) * | 2014-08-19 | 2016-06-21 | International Business Machines Corporation | Methods of forming field effect transistors using a gate cut process following final gate formation |
KR102227128B1 (en) * | 2014-09-03 | 2021-03-12 | 삼성전자주식회사 | Semiconductor device and method for fabricating the same |
US9331074B1 (en) * | 2015-01-30 | 2016-05-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
KR102312346B1 (en) * | 2015-02-23 | 2021-10-14 | 삼성전자주식회사 | Methods of Fabricating Semiconductor Devices |
KR102245136B1 (en) * | 2015-02-24 | 2021-04-28 | 삼성전자 주식회사 | Methods of Fabricating Semiconductor Devices |
US9666581B2 (en) * | 2015-08-21 | 2017-05-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with source/drain structure and method of fabrication thereof |
US10164059B2 (en) * | 2015-09-04 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device and fabricating method thereof |
US9922975B2 (en) * | 2015-10-05 | 2018-03-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit having field-effect trasistors with dielectric fin sidewall structures and manufacturing method thereof |
US9768178B2 (en) * | 2015-11-11 | 2017-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device, static random access memory cell and manufacturing method of semiconductor device |
KR102604564B1 (en) * | 2016-07-01 | 2023-11-22 | 인텔 코포레이션 | Self-Aligned Gate Edge Tri-Gate and FINFET Devices |
WO2018063359A1 (en) * | 2016-09-30 | 2018-04-05 | Intel Corporation | Multi voltage threshold transistors through process and design-induced multiple work functions |
CN108122976B (en) * | 2016-11-29 | 2020-11-03 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure, forming method thereof and SRAM |
US9911736B1 (en) * | 2017-06-14 | 2018-03-06 | Globalfoundries Inc. | Method of forming field effect transistors with replacement metal gates and contacts and resulting structure |
US10176995B1 (en) * | 2017-08-09 | 2019-01-08 | Globalfoundries Inc. | Methods, apparatus and system for gate cut process using a stress material in a finFET device |
KR102365109B1 (en) * | 2017-08-22 | 2022-02-18 | 삼성전자주식회사 | Integrated circuit devices |
US10403551B2 (en) * | 2017-11-08 | 2019-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain features with an etch stop layer |
US10699940B2 (en) * | 2017-11-20 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate dielectric preserving gate cut process |
US10707133B2 (en) * | 2017-11-30 | 2020-07-07 | Intel Corporation | Trench plug hardmask for advanced integrated circuit structure fabrication |
-
2018
- 2018-03-30 US US15/941,137 patent/US10510894B2/en active Active
- 2018-06-14 KR KR1020180068153A patent/KR102120162B1/en active IP Right Grant
- 2018-07-13 TW TW107124354A patent/TWI708387B/en active
- 2018-07-25 CN CN201810825543.5A patent/CN109860183B/en active Active
-
2019
- 2019-12-16 US US16/715,584 patent/US11031501B2/en active Active
-
2021
- 2021-06-07 US US17/341,075 patent/US11699758B2/en active Active
Patent Citations (94)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6660596B2 (en) * | 2000-03-16 | 2003-12-09 | International Business Machines Corporation | Double planar gated SOI MOSFET structure |
US8183627B2 (en) | 2004-12-01 | 2012-05-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid fin field-effect transistor structures and related methods |
US7425740B2 (en) | 2005-10-07 | 2008-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for a 1T-RAM bit cell and macro |
US7667271B2 (en) | 2007-04-27 | 2010-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistors |
US7825482B2 (en) * | 2007-05-21 | 2010-11-02 | Panasonic Corporation | Semiconductor device and method for fabricating the same |
US8776734B1 (en) | 2008-05-19 | 2014-07-15 | Innovative Environmental Solutions, Llc | Remedial system: a pollution control device for utilizing and abating volatile organic compounds |
US7910453B2 (en) | 2008-07-14 | 2011-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Storage nitride encapsulation for non-planar sonos NAND flash charge retention |
US8048723B2 (en) | 2008-12-05 | 2011-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs having dielectric punch-through stoppers |
US8053299B2 (en) | 2009-04-17 | 2011-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabrication of a FinFET element |
US20110068407A1 (en) | 2009-09-24 | 2011-03-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs with Metal Gates and Stressors |
US8362575B2 (en) | 2009-09-29 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Controlling the shape of source/drain regions in FinFETs |
US8610240B2 (en) | 2009-10-16 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit with multi recessed shallow trench isolation |
US8415718B2 (en) | 2009-10-30 | 2013-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming epi film in substrate trench |
US8703565B2 (en) | 2010-02-09 | 2014-04-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bottom-notched SiGe FinFET formation using condensation |
US8652894B2 (en) | 2010-02-11 | 2014-02-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a FinFET device |
US8497528B2 (en) | 2010-05-06 | 2013-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a strained structure |
US8729627B2 (en) | 2010-05-14 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel integrated circuit devices |
US8399931B2 (en) | 2010-06-30 | 2013-03-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layout for multiple-fin SRAM cell |
US8796759B2 (en) | 2010-07-15 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8440517B2 (en) | 2010-10-13 | 2013-05-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET and method of fabricating the same |
US8367498B2 (en) | 2010-10-18 | 2013-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8487378B2 (en) | 2011-01-21 | 2013-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-uniform channel junction-less transistor |
US8816444B2 (en) | 2011-04-29 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and methods for converting planar design to FinFET design |
US8741722B2 (en) * | 2011-05-31 | 2014-06-03 | International Business Machines Corporation | Formation of dividers between gate ends of field effect transistor devices |
US8618556B2 (en) | 2011-06-30 | 2013-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET design and method of fabricating same |
US20130011983A1 (en) | 2011-07-07 | 2013-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | In-Situ Doping of Arsenic for Source and Drain Epitaxy |
US8609518B2 (en) | 2011-07-22 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Re-growing source/drain regions from un-relaxed silicon layer |
US8841701B2 (en) | 2011-08-30 | 2014-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device having a channel defined in a diamond-like shape semiconductor structure |
US20140001574A1 (en) | 2011-09-08 | 2014-01-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Improved silicide formation and associated devices |
US8686516B2 (en) | 2011-09-08 | 2014-04-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide formation and associated devices |
US8723272B2 (en) | 2011-10-04 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
US8828823B2 (en) | 2011-10-13 | 2014-09-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
US8887106B2 (en) | 2011-12-28 | 2014-11-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process |
US8815712B2 (en) | 2011-12-28 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for epitaxial re-growth of semiconductor region |
US8377779B1 (en) | 2012-01-03 | 2013-02-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of manufacturing semiconductor devices and transistors |
US8735993B2 (en) | 2012-01-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET body contact and method of making same |
US8742509B2 (en) | 2012-03-01 | 2014-06-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for FinFETs |
US8847293B2 (en) | 2012-03-02 | 2014-09-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure for semiconductor device |
US8785285B2 (en) | 2012-03-08 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US8836016B2 (en) | 2012-03-08 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structures and methods with high mobility and high energy bandgap materials |
US8716765B2 (en) | 2012-03-23 | 2014-05-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8860148B2 (en) | 2012-04-11 | 2014-10-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET integrated with capacitor |
US20130285153A1 (en) | 2012-04-25 | 2013-10-31 | Taiwan Semiconductor Manufacturing Company Ltd. | Strained structure of semiconductor device and method of making the strained structure |
US8680576B2 (en) | 2012-05-16 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS device and method of forming the same |
US8729634B2 (en) | 2012-06-15 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with high mobility and strain channel |
US20140001564A1 (en) * | 2012-06-27 | 2014-01-02 | Tae-Joong Song | Semiconductor integrated circuit, method of designing the same, and method of fabricating the same |
US8736056B2 (en) | 2012-07-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device for reducing contact resistance of a metal |
US9105490B2 (en) | 2012-09-27 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8633516B1 (en) | 2012-09-28 | 2014-01-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain stack stressor for semiconductor device |
US8497177B1 (en) | 2012-10-04 | 2013-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making a FinFET device |
US20140110755A1 (en) | 2012-10-24 | 2014-04-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and Method for Forming Semiconductor Contacts |
US8772109B2 (en) | 2012-10-24 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for forming semiconductor contacts |
US8823065B2 (en) | 2012-11-08 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8809139B2 (en) | 2012-11-29 | 2014-08-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-last FinFET and methods of forming same |
US20140151812A1 (en) | 2012-11-30 | 2014-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact Plugs in SRAM Cells and the Method of Forming the Same |
US20140183600A1 (en) | 2012-12-28 | 2014-07-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Novel fin structure of finfet |
US8853025B2 (en) | 2013-02-08 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET/tri-gate channel doping for multiple threshold voltage tuning |
US20140252412A1 (en) | 2013-03-06 | 2014-09-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Strained and Uniform Doping Technique for FINFETs |
US8826213B1 (en) * | 2013-03-11 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Parasitic capacitance extraction for FinFETs |
US20140264592A1 (en) | 2013-03-12 | 2014-09-18 | Taiwan Semiconductor Manufacturing Co. Ltd. | Barrier Layer for FinFET Channels |
US20140282326A1 (en) | 2013-03-12 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for Layout Verification for Polysilicon Cell Edge Structures in FinFET Standard Cells |
US20140264590A1 (en) | 2013-03-13 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with Bottom SiGe Layer in Source/Drain |
US8796666B1 (en) | 2013-04-26 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with strain buffer layer and methods of forming the same |
US9219153B2 (en) * | 2013-08-21 | 2015-12-22 | Globalfoundries Inc. | Methods of forming gate structures for FinFET devices and the resulting semiconductor products |
US20150054078A1 (en) * | 2013-08-21 | 2015-02-26 | International Business Machines Corporation | Methods of forming gate structures for finfet devices and the resulting smeiconductor products |
US20150270262A1 (en) * | 2013-11-13 | 2015-09-24 | Globalfoundries Inc. | Gate structures with protected end surfaces to eliminate or reduce unwanted epi material growth |
US9711503B2 (en) * | 2013-11-13 | 2017-07-18 | Globalfoundries Inc. | Gate structures with protected end surfaces to eliminate or reduce unwanted EPI material growth |
US20150145041A1 (en) * | 2013-11-22 | 2015-05-28 | International Business Machines Corporation | Substrate local interconnect integration with finfets |
US20150171217A1 (en) * | 2013-12-12 | 2015-06-18 | Texas Instruments Incorporated | Design and integration of finfet device |
US20150263003A1 (en) | 2014-03-13 | 2015-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET Devices with Unique Fin Shape and the Fabrication Thereof |
US20160079354A1 (en) * | 2014-09-17 | 2016-03-17 | Yong-Hee PARK | Integrated circuit devices and methods of manufacturing the same |
US9293459B1 (en) * | 2014-09-30 | 2016-03-22 | International Business Machines Corporation | Method and structure for improving finFET with epitaxy source/drain |
US20160093614A1 (en) | 2014-09-30 | 2016-03-31 | International Business Machines Corporation | Method and structure for improving finfet with epitaxy source/drain |
US20160099246A1 (en) | 2014-10-02 | 2016-04-07 | International Business Machines Corporation | Structure and method to increase contact area in unmerged epi integration for cmos finfets |
US20160111536A1 (en) * | 2014-10-15 | 2016-04-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device structure and manufacturing method thereof |
US9214358B1 (en) * | 2014-10-30 | 2015-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Equal gate height control method for semiconductor device with different pattern densites |
US9508727B2 (en) * | 2014-11-12 | 2016-11-29 | Samsung Electronics Co., Ltd. | Integrated circuit device and method of manufacturing the same |
US20160133632A1 (en) * | 2014-11-12 | 2016-05-12 | Hong-bae Park | Integrated circuit device and method of manufacturing the same |
US20170229455A1 (en) | 2015-04-07 | 2017-08-10 | International Business Machines Corporation | Minimizing shorting between finfet epitaxial regions |
US9543935B1 (en) * | 2015-07-08 | 2017-01-10 | International Business Machines Corporation | Programmable delay circuit including hybrid fin field effect transistors (finFETs) |
US9601567B1 (en) * | 2015-10-30 | 2017-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multiple Fin FET structures having an insulating separation plug |
US10157746B2 (en) * | 2015-10-30 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9947592B2 (en) * | 2015-11-16 | 2018-04-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices and methods of forming the same |
US9601492B1 (en) * | 2015-11-16 | 2017-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices and methods of forming the same |
US20170221907A1 (en) * | 2016-01-29 | 2017-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US20170345820A1 (en) * | 2016-05-31 | 2017-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal Gate Isolation Structure and Method Forming Same |
US9917085B2 (en) * | 2016-05-31 | 2018-03-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate isolation structure and method forming same |
US9899267B1 (en) * | 2016-11-23 | 2018-02-20 | United Microelectronics Corp. | Semiconductor device and manufacturing method thereof |
US10020307B1 (en) * | 2017-02-10 | 2018-07-10 | Globalfoundries Inc. | Integrated circuit structure including laterally recessed source/drain epitaxial region and method of forming same |
US10177037B2 (en) * | 2017-04-25 | 2019-01-08 | Globalfoundries Inc. | Methods of forming a CT pillar between gate structures in a semiconductor |
US10115825B1 (en) * | 2017-04-28 | 2018-10-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for FinFET device with asymmetric contact |
US10043807B1 (en) * | 2017-05-24 | 2018-08-07 | United Microelectronics Corp. | Semiconductor device and method of forming the same |
US20190096883A1 (en) * | 2017-09-28 | 2019-03-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Asymmetric gate pitch |
US20190165155A1 (en) * | 2017-11-30 | 2019-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structure having different distances to adjacent finfet devices |
Also Published As
Publication number | Publication date |
---|---|
US20200119183A1 (en) | 2020-04-16 |
KR102120162B1 (en) | 2020-06-09 |
US20210296484A1 (en) | 2021-09-23 |
CN109860183A (en) | 2019-06-07 |
CN109860183B (en) | 2021-03-16 |
US20190165155A1 (en) | 2019-05-30 |
KR20190064389A (en) | 2019-06-10 |
US10510894B2 (en) | 2019-12-17 |
TWI708387B (en) | 2020-10-21 |
US11699758B2 (en) | 2023-07-11 |
TW201926682A (en) | 2019-07-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11031501B2 (en) | Isolation structure having different distances to adjacent FinFET devices | |
US10431473B2 (en) | FINFET with source/drain structure and method of fabrication thereof | |
US9461041B2 (en) | Metal gate finFET device | |
US10971605B2 (en) | Dummy dielectric fin design for parasitic capacitance reduction | |
US10818658B2 (en) | Integrated circuit with a gate structure and method making the same | |
US12057398B2 (en) | Semiconductor device with multi-layer dielectric and methods of forming the same | |
US11387146B2 (en) | Semiconductor device with air gaps between metal gates and method of forming the same | |
US11527444B2 (en) | Air spacer formation for semiconductor devices | |
US20180366553A1 (en) | Methods of forming an air gap adjacent a gate structure of a finfet device and the resulting devices | |
CN108573922B (en) | Method of forming a protective device with an internal contact spacer and resulting device | |
US20230260848A1 (en) | Semiconductor device and manufacturing method thereof | |
US11776961B2 (en) | Semiconductor device and manufacturing method thereof for selectively etching dummy fins | |
US11355615B2 (en) | FinFET having fluorine-doped gate sidewall spacers | |
US9171922B1 (en) | Combination finFET/ultra-thin body transistor structure and methods of making such structures | |
TWI827252B (en) | Semiconductor structure and forming method thereof | |
US20230411479A1 (en) | Semiconductor device and manufacturing method thereof | |
US20240355898A1 (en) | Field effect transistor with spacers and manufacturing method thereof |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIWAN Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, CHANG-YUN;CHANG, MING-CHING;KU, SHU-YUAN;REEL/FRAME:051295/0053 Effective date: 20180326 |
|
FEPP | Fee payment procedure |
Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
STPP | Information on status: patent application and granting procedure in general |
Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS |
|
STPP | Information on status: patent application and granting procedure in general |
Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT RECEIVED |
|
STPP | Information on status: patent application and granting procedure in general |
Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |