US10910258B2 - Engineered substrate structure and method of manufacture - Google Patents

Engineered substrate structure and method of manufacture Download PDF

Info

Publication number
US10910258B2
US10910258B2 US16/673,710 US201916673710A US10910258B2 US 10910258 B2 US10910258 B2 US 10910258B2 US 201916673710 A US201916673710 A US 201916673710A US 10910258 B2 US10910258 B2 US 10910258B2
Authority
US
United States
Prior art keywords
layer
shell
adhesion
single crystal
encapsulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/673,710
Other versions
US20200066574A1 (en
Inventor
Vladimir Odnoblyudov
Cem Basceri
Shari Farrens
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qromis Inc
Original Assignee
Qromis Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qromis Inc filed Critical Qromis Inc
Priority to US16/673,710 priority Critical patent/US10910258B2/en
Assigned to QROMIS, Inc. reassignment QROMIS, Inc. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BASCERI, CEM, FARRENS, SHARI, ODNOBLYUDOV, VLADIMIR
Publication of US20200066574A1 publication Critical patent/US20200066574A1/en
Application granted granted Critical
Publication of US10910258B2 publication Critical patent/US10910258B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/005Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising one layer of ceramic material, e.g. porcelain, ceramic tile
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B37/00Joining burned ceramic articles with other burned ceramic articles or other articles by heating
    • C04B37/001Joining burned ceramic articles with other burned ceramic articles or other articles by heating directly with other burned ceramic articles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/06Joining of crystals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4871Bases, plates or heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/704Crystalline
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • B32B2309/105Thickness
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/343Alumina or aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • C04B2237/368Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/50Processing aspects relating to ceramic laminates or to the joining of ceramic articles with other articles by heating
    • C04B2237/70Forming laminates or joined articles comprising layers of a specific, unusual thickness
    • C04B2237/704Forming laminates or joined articles comprising layers of a specific, unusual thickness of one or more of the ceramic layers or articles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Definitions

  • LED structures are typically epitaxially grown on sapphire substrates. Many products currently use LED devices, including lighting, computer monitors, and other display devices.
  • the growth of gallium nitride based LED structures on a sapphire substrate is a heteroepitaxial growth process since the substrate and the epitaxial layers are composed of different materials. Due to the heteroepitaxial growth process, the epitaxially grown material can exhibit a variety of adverse effects, including reduced uniformity and reductions in metrics associated with the electronic/optical properties of the epitaxial layers. Accordingly, there is a need in the art for improved methods and systems related to epitaxial growth processes and substrate structures.
  • the present invention relates generally to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes. Merely by way of example, the invention has been applied to a method and system for providing a substrate structure suitable for epitaxial growth that is characterized by a coefficient of thermal expansion (CTE) that is substantially matched to epitaxial layers grown thereon.
  • CTE coefficient of thermal expansion
  • the methods and techniques can be applied to a variety of semiconductor processing operations.
  • a substrate includes a polycrystalline ceramic core; a first adhesion layer, such as a tetraethyl orthosilicate (TEOS) layer, encapsulating the polycrystalline ceramic core; a conductive layer, such as a polysilicon layer, encapsulating the first adhesion layer; a second adhesion layer, such as a second TEOS layer, encapsulating the conductive layer; and a barrier layer, such as a silicon nitride layer, encapsulating the second adhesion layer.
  • the substrate also includes a bonding layer, such as a silicon oxide layer, coupled to the barrier layer, and a substantially single crystal silicon layer coupled to the bonding layer.
  • a method of manufacturing a substrate includes providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core in a first adhesion shell, such as a first tetraethyl orthosilicate (TEOS) shell; encapsulating the first adhesion shell in a conductive shell, such as a polysilicon shell; encapsulating the conductive shell in a second adhesion shell, such as a second TEOS shell; and encapsulating the second adhesion shell in a barrier shell, such as a silicon nitride shell.
  • the method also includes joining a bonding layer, such as a silicon oxide layer, to the barrier shell, and joining a substantially single crystal silicon layer to the bonding layer.
  • embodiments of the present invention provide an engineered substrate structure that is CTE matched to gallium nitride based epitaxial layers suitable for use in optical, electronic, and optoelectronic applications. Encapsulating layers utilized as components of the engineered substrate structure block diffusion of impurities present in central portions of the substrate from reaching the semiconductor processing environment in which the engineered substrate is utilized.
  • FIG. 1 is a simplified schematic diagram illustrating an engineered substrate structure according to an embodiment of the present invention.
  • FIG. 2A is a SIMS profile illustrating species concentration as a function of depth for an engineered structure according to an embodiment of the present invention.
  • FIG. 2B is a SIMS profile illustrating species concentration as a function of depth for an engineered structure after anneal according to an embodiment of the present invention.
  • FIG. 2C is a SIMS profile illustrating species concentration as a function of depth for an engineered structure with a silicon nitride layer after anneal according to an embodiment of the present invention.
  • FIG. 3 is a simplified flowchart illustrating a method of fabricating an engineered substrate according to an embodiment of the present invention.
  • Embodiments of the present invention relate to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes. Merely by way of example, the invention has been applied to a method and system for providing a substrate structure suitable for epitaxial growth that is characterized by a coefficient of thermal expansion (CTE) that is substantially matched to epitaxial layers grown thereon.
  • CTE coefficient of thermal expansion
  • the methods and techniques can be applied to a variety of semiconductor processing operations.
  • FIG. 1 is a simplified schematic diagram illustrating an engineered substrate according to an embodiment of the present invention.
  • the engineered substrate 100 illustrated in FIG. 1 is suitable for a variety of electronic and optical applications.
  • the engineered substrate includes a core 110 that can have a coefficient of thermal expansion (CTE) that is substantially matched to the CTE of the epitaxial material that will be grown on the engineered substrate 100 .
  • Epitaxial material 130 is illustrated as optional because it is not required as an element of the engineered substrate, but will typically be grown on the engineered substrate.
  • the core 110 can be a polycrystalline ceramic material, for example, polycrystalline aluminum nitride (AlN) with binding agents, such as yttrium oxide.
  • AlN polycrystalline aluminum nitride
  • the thickness of the core can be on the order of 100 to 1,500 ⁇ m, for example, 725 ⁇ m.
  • the core 110 is encapsulated in a first adhesion layer 112 , such as a layer of tetraethyl orthosilicate (TEOS), on the order of 1,000 ⁇ in thickness.
  • TEOS tetraethyl orthosilicate
  • the first adhesion layer 112 completely surrounds the core 110 in some embodiments to form a fully encapsulated core and can be formed using an LPCVD process.
  • a conductive layer 114 such as a layer of polysilicon, is formed surrounding the first adhesion layer 112 .
  • the thickness of the conductive layer 114 can be on the order of 500-5,000 ⁇ , for example, 2,500 ⁇ .
  • the conductive layer 114 completely surrounds the first adhesion layer 112 in some embodiments to form a fully encapsulated first adhesion layer and can be formed using an LPCVD process.
  • the polysilicon layer 114 can be doped to provide a highly conductive layer, for example, doped with boron to provide a p-type polysilicon layer.
  • the doping with boron is at a level ranging from about 1 ⁇ 10 19 cm ⁇ 3 to about 1 ⁇ 10 20 cm ⁇ 3 to provide for high conductivity.
  • the conductive layer 114 can be useful during electrostatic chucking of the engineered substrate.
  • One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • a second adhesion layer 116 such as a layer of TEOS, is formed surrounding the conductive layer 114 .
  • the second adhesion layer 116 can be on the order of 1,000 ⁇ in thickness.
  • the second adhesion layer 112 completely surrounds the conductive layer 114 in some embodiments to form a fully encapsulated structure and can be formed using an LPCVD process.
  • a barrier layer 118 such as a layer of silicon nitride, is formed surrounding the second adhesion layer 116 .
  • the barrier layer 118 can be on the order of 1,000 ⁇ to 10,000 ⁇ in thickness.
  • the barrier layer 118 completely surrounds the second adhesion layer 112 in some embodiments to form a fully encapsulated structure and can be formed using an LPCVD process.
  • the barrier layer prevents diffusion and/or outgassing of elements present in the core 110 , for example, yttrium oxide (i.e., yttria), oxygen, metallic impurities, other trace elements, and the like into the environment of the semiconductor processing chambers in which the engineered substrate could be present, for example, during a high temperature (e.g., 1,000° C.) epitaxial growth process.
  • yttrium oxide i.e., yttria
  • oxygen i.e., metallic impurities, other trace elements, and the like
  • metallic impurities e.g., metallic impurities, other trace elements, and the like
  • FIG. 2A is a SIMS profile illustrating species concentration as a function of depth for an engineered structure according to an embodiment of the present invention.
  • the engineered structure did not include silicon nitride layer 118 .
  • several species present in the ceramic core e.g., yttrium, calcium, and aluminum
  • concentrations of calcium, yttrium, and aluminum drop by three, four, and six orders of magnitude, respectively.
  • FIG. 2B is a SIMS profile illustrating species concentration as a function of depth for an engineered structure without a silicon nitride layer after anneal according to an embodiment of the present invention.
  • the engineered substrate structures provided by embodiments of the present invention can be exposed to high temperatures ( ⁇ 1,100° C.) for several hours, for example, during epitaxial growth of GaN-based layers.
  • the engineered substrate structure was annealed at 1,100° C. for a period of four hours.
  • calcium, yttrium, and aluminum, originally present in low concentrations in the as deposited sample have diffused into the engineered layers (denoted as “Eng. Layers” in FIG. 2B ), reaching concentrations similar to other elements.
  • FIG. 2C is a SIMS profile illustrating species concentration as a function of depth for an engineered structure with a silicon nitride layer after anneal according to an embodiment of the present invention.
  • the integration of the silicon nitride layer 118 into the engineered substrate structure prevents the diffusion of calcium, yttrium, and aluminum into the engineered layers during the annealing process that occurred when the silicon nitride layer was not present.
  • calcium, yttrium, and aluminum present in the ceramic core remain at low concentrations in the engineered layers (denoted as “Eng. Layers” in FIG. 2C ) post-anneal.
  • the use of the silicon nitride layer 118 prevents these elements from diffusing through the diffusion barrier and thereby prevents their release into the environment surrounding the engineered substrate. Similarly, any other impurities contained within the bulk ceramic material would be contained by the barrier layer.
  • ceramic materials utilized to form the core 110 are fired at temperatures in the range of 1,800° C. It would be expected that this process would drive out a significant amount of impurities present in the ceramic materials. These impurities can include yttrium, which results from the use of yttria as sintering agent, calcium, and other elements and compounds. Subsequently, during epitaxial growth processes, which are conducted at much lower temperatures in the range of 800° C. to 1,100° C., it would be expected that the subsequent diffusion of these impurities would be insignificant. However, contrary to conventional expectations, the inventors have determined that even during epitaxial growth processes at temperatures much less than the firing temperature of the ceramic materials, significant diffusion of elements through the layers of the engineered substrate can occur.
  • embodiments of the present invention integrate a silicon nitride layer 118 to prevent out-diffusion of the background elements from the polycrystalline ceramic material (e.g., AlN) into the engineered layers 120 / 122 and epitaxial layers such as optional GaN layer 130 .
  • the silicon nitride layer 118 encapsulating the underlying layers and material provides the desired barrier layer functionality.
  • a bonding layer 120 (e.g., a silicon oxide layer) is deposited on a portion of the barrier layer 118 (e.g., a silicon nitride layer), for example, the top surface of the barrier layer 118 , and subsequently used during the bonding of a substantially single crystal silicon layer 122 .
  • the bonding layer 120 can be approximately 1.5 ⁇ m in thickness in some embodiments.
  • the substantially single crystalline silicon layer 122 is suitable for use as a growth layer during an epitaxial growth process for the formation of epitaxial material 130 .
  • the epitaxial material 130 includes a GaN layer 2 ⁇ m to 10 ⁇ m in thickness, which can be utilized as one of a plurality of layers utilized in optoelectronic devices, RF devices, power devices, and the like.
  • the substantially single crystalline silicon layer 122 includes a single crystal silicon layer that is attached to the bonding layer 118 using a layer transfer process.
  • FIG. 3 is a simplified flowchart illustrating a method of fabricating an engineered substrate according to an embodiment of the present invention.
  • the method can be utilized to manufacture a substrate that is CTE matched to one or more of the epitaxial layers grown on the substrate.
  • the method 300 includes providing a polycrystalline ceramic core ( 310 ), encapsulating the polycrystalline ceramic core in a first adhesion shell ( 312 ), and encapsulating the first adhesion shell in a conductive shell ( 314 ).
  • the polycrystalline ceramic core can include polycrystalline aluminum nitride.
  • the first adhesion shell can include a single layer of tetraethyl orthosilicate (TEOS).
  • the conductive shell can include a single layer of polysilicon.
  • the method also includes encapsulating the conductive shell in a second adhesion shell ( 316 ) and encapsulating the second conductive shell in a barrier shell ( 318 ).
  • the second adhesion shell can include a single layer of TEOS.
  • the barrier shell can include a single layer of silicon nitride.
  • the method further includes joining a bonding layer to the barrier shell ( 320 ), and joining a substantially single crystalline silicon layer to the bonding layer ( 322 ).
  • the bonding layer can include silicon oxide.
  • joining the substantially single crystalline silicon layer to the bonding layer utilizes a layer transfer process in which the single crystal silicon layer is transferred from a bare silicon wafer.
  • the bonding layer 120 can be formed by a deposition of a thick (e.g., 2-5 ⁇ m thick) oxide layer followed by a chemical mechanical polishing (CMP) process to thin the oxide to approximately 1.5 ⁇ m in thickness.
  • the thick initial oxide serves to smooth surface features present on the support structure that may remain after fabrication of the polycrystalline core and continue to be present as the encapsulating layers illustrated in FIG. 1 are formed.
  • the CMP process provides a substantially planar surface free of voids, which can then be used during a wafer transfer process to bond the substantially single crystalline silicon layer 122 to the silicon oxide layer 120 .
  • a layer transfer process can be used to join the substantially single crystalline silicon layer 122 to the bonding layer 120 .
  • a silicon wafer e.g., a silicon ( 111 ) wafer
  • the silicon substrate can be removed along with the portion of the single crystal silicon layer below the cleave plane, resulting in the exfoliated single crystal silicon layer 122 illustrated in FIG. 1 .
  • the thickness of the substantially single crystal layer 122 can be varied to meet the specifications of various applications.
  • the crystal orientation of the substantially single crystal layer 122 can be varied to meet the specifications of the application.
  • the doping levels and profile in the substantially single crystal layer 122 can be varied to meet the specifications of the particular application.
  • the method illustrated in FIG. 3 may also include smoothing the substantially single crystal layer ( 324 ).
  • the thickness and the surface roughness of the substantially single crystal layer 122 can be modified for high quality epitaxial growth. Different device applications may have slightly different specifications regarding the thickness and surface smoothness of the substantially single crystal layer 122 .
  • the cleave process delaminates the substantially single crystal layer 122 from a bulk single crystal silicon wafer at a peak of an implanted ion profile. After cleaving, the substantially single crystal layer 122 can be adjusted or modified in several aspects before it is utilized as a growth surface for epitaxial growth of other materials, such as gallium nitride.
  • the transferred substantially single crystal layer 122 may contain a small amount of residual hydrogen concentration and may have some crystal damage from the implant. Therefore, it may be beneficial to remove a thin portion of the transferred substantially single crystal layer 122 where the crystal lattice is damaged.
  • the depth of the implant may be adjusted to be greater than the desired final thickness of substantially single crystal layer 122 . The additional thickness allows for the removal of the thin portion of the transferred substantially single crystal layer that is damaged, leaving behind the undamaged portion of the desired final thickness.
  • the substantially single crystal layer 122 may be desirable to adjust the total thickness of the substantially single crystal layer 122 .
  • the substantially single crystal layer 122 may be said to be “compliant” when the substantially single crystal layer 122 is relatively thin such that its physical properties are less constrained and able to mimic those of the materials surrounding it with less propensity to generate crystalline defects.
  • the compliance of the substantially single crystal layer 122 may be inversely related to the thickness of the substantially single crystal layer 122 . A higher compliance can result in lower defect densities in the epitaxial layers grown on the template and enable thicker epitaxial layer growth.
  • the thickness of the substantially single crystal layer 122 may be increased by epitaxial growth of silicon on the exfoliated silicon layer.
  • the smoothness of the layer may be related to the total hydrogen dose, the presence of any co-implanted species, and the annealing conditions used to form the hydrogen-based cleave plane.
  • the initial roughness resulting from the layer transfer (i.e., the cleave step) may be mitigated by thermal oxidation and oxide strip, as discussed below.
  • the removal of the damaged layer and adjusting the final thickness of the substantially single crystal layer 122 may be achieved through thermal oxidation of a top portion of the exfoliated silicon layer, followed by an oxide layer strip with hydrogen fluoride (HF) acid.
  • HF hydrogen fluoride
  • an exfoliated silicon layer having an initial thickness of 0.5 ⁇ m may be thermally oxidized to create a silicon dioxide layer that is about 420 nm thick. After removal of the grown thermal oxide, the remaining silicon thickness in the transferred layer may be about 53 nm.
  • implanted hydrogen may migrate toward the surface.
  • the subsequent oxide layer strip may remove some damage.
  • thermal oxidation is typically performed at a temperature of 1000° C. or higher. The elevated temperature can may also repair lattice damage.
  • the silicon oxide layer formed on the top portion of the substantially single crystal layer during thermal oxidation can be stripped using HF acid etching.
  • the etching selectivity between silicon oxide and silicon (SiO 2 :Si) by HF acid may be adjusted by adjusting the temperature and concentration of the HF solution and the stoichiometry and density of the silicon oxide.
  • Etch selectivity refers to the etch rate of one material relative to another.
  • the selectivity of the HF solution can range from about 10:1 to about 100:1 for (SiO 2 :Si).
  • a high etch selectivity may reduce the surface roughness by a similar factor from the initial surface roughness. However, the surface roughness of the resultant substantially single crystal layer 122 may still be larger than desired.
  • a bulk Si (111) surface may have a root-mean-square (RMS) surface roughness of less than 0.1 nm as determined by a 2 ⁇ m ⁇ 2 ⁇ m atomic force microscope (AFM) scan before additional processing.
  • RMS root-mean-square
  • AFM atomic force microscope
  • the desired surface roughness for epitaxial growth of gallium nitride materials on Si (111) may be, for example, less than 1 nm, less than 0.5 nm, or less than 0.2 nm, on a 30 ⁇ m ⁇ 30 ⁇ m AFM scan area.
  • additional surface smoothing may be performed.
  • These methods may include hydrogen annealing, laser trimming, plasma smoothing, and touch polish (e.g., chemical mechanical polishing or CMP). These methods may involve preferential attack of high aspect ratio surface peaks. Hence, high aspect ratio features on the surface may be removed more quickly than low aspect ratio features, thus resulting in a smoother surface.
  • FIG. 3 provides a particular method of fabricating an engineered substrate according to an embodiment of the present invention. Other sequences of steps may also be performed according to alternative embodiments. For example, alternative embodiments of the present invention may perform the steps outlined above in a different order. Moreover, the individual steps illustrated in FIG. 3 may include multiple sub-steps that may be performed in various sequences as appropriate to the individual step. Furthermore, additional steps may be added or removed depending on the particular applications.
  • One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

Abstract

A substrate includes a polycrystalline ceramic core; a first adhesion layer encapsulating the polycrystalline ceramic core; a conductive layer encapsulating the first adhesion layer; a second adhesion layer encapsulating the conductive layer; a barrier layer encapsulating the second adhesion layer, and a bonding layer coupled to the barrier layer, and a substantially single crystalline silicon layer coupled to the bonding layer.

Description

CROSS-REFERENCES TO RELATED APPLICATIONS
This application is a divisional of U.S. patent application Ser. No. 15/621,338, filed Jun. 13, 2017, entitled “ENGINEERED SUBSTRATE STRUCTURE AND METHOD OF MANUFACTURE,” which claims priority to U.S. Provisional Patent Application No. 62/350,077, filed on Jun. 14, 2016, entitled “ENGINEERED SUBSTRATE STRUCTURE AND METHOD OF MANUFACTURE,” the disclosures of which are hereby incorporated by reference in its entirety for all purposes.
This application is related to U.S. patent application Ser. No. 15/621,335, filed Jun. 13, 2017, entitled “ENGINEERED SUBSTRATE STRUCTURE FOR POWER AND RF APPLICATIONS,” the entire disclosure of which is incorporated by reference into this application for all purposes
BACKGROUND OF THE INVENTION
Light-emitting diode (LED) structures are typically epitaxially grown on sapphire substrates. Many products currently use LED devices, including lighting, computer monitors, and other display devices.
The growth of gallium nitride based LED structures on a sapphire substrate is a heteroepitaxial growth process since the substrate and the epitaxial layers are composed of different materials. Due to the heteroepitaxial growth process, the epitaxially grown material can exhibit a variety of adverse effects, including reduced uniformity and reductions in metrics associated with the electronic/optical properties of the epitaxial layers. Accordingly, there is a need in the art for improved methods and systems related to epitaxial growth processes and substrate structures.
SUMMARY OF THE INVENTION
The present invention relates generally to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes. Merely by way of example, the invention has been applied to a method and system for providing a substrate structure suitable for epitaxial growth that is characterized by a coefficient of thermal expansion (CTE) that is substantially matched to epitaxial layers grown thereon. The methods and techniques can be applied to a variety of semiconductor processing operations.
According to an embodiment of the present invention, a substrate is provided. The substrate includes a polycrystalline ceramic core; a first adhesion layer, such as a tetraethyl orthosilicate (TEOS) layer, encapsulating the polycrystalline ceramic core; a conductive layer, such as a polysilicon layer, encapsulating the first adhesion layer; a second adhesion layer, such as a second TEOS layer, encapsulating the conductive layer; and a barrier layer, such as a silicon nitride layer, encapsulating the second adhesion layer. The substrate also includes a bonding layer, such as a silicon oxide layer, coupled to the barrier layer, and a substantially single crystal silicon layer coupled to the bonding layer.
According to another embodiment of the present invention a method of manufacturing a substrate is provided. The method includes providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core in a first adhesion shell, such as a first tetraethyl orthosilicate (TEOS) shell; encapsulating the first adhesion shell in a conductive shell, such as a polysilicon shell; encapsulating the conductive shell in a second adhesion shell, such as a second TEOS shell; and encapsulating the second adhesion shell in a barrier shell, such as a silicon nitride shell. The method also includes joining a bonding layer, such as a silicon oxide layer, to the barrier shell, and joining a substantially single crystal silicon layer to the bonding layer.
Numerous benefits are achieved by way of the present invention over conventional techniques. For example, embodiments of the present invention provide an engineered substrate structure that is CTE matched to gallium nitride based epitaxial layers suitable for use in optical, electronic, and optoelectronic applications. Encapsulating layers utilized as components of the engineered substrate structure block diffusion of impurities present in central portions of the substrate from reaching the semiconductor processing environment in which the engineered substrate is utilized. These and other embodiments of the invention along with many of its advantages and features are described in more detail in conjunction with the text below and attached figures.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a simplified schematic diagram illustrating an engineered substrate structure according to an embodiment of the present invention.
FIG. 2A is a SIMS profile illustrating species concentration as a function of depth for an engineered structure according to an embodiment of the present invention.
FIG. 2B is a SIMS profile illustrating species concentration as a function of depth for an engineered structure after anneal according to an embodiment of the present invention.
FIG. 2C is a SIMS profile illustrating species concentration as a function of depth for an engineered structure with a silicon nitride layer after anneal according to an embodiment of the present invention.
FIG. 3 is a simplified flowchart illustrating a method of fabricating an engineered substrate according to an embodiment of the present invention.
DETAILED DESCRIPTION OF SPECIFIC EMBODIMENTS
Embodiments of the present invention relate to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes. Merely by way of example, the invention has been applied to a method and system for providing a substrate structure suitable for epitaxial growth that is characterized by a coefficient of thermal expansion (CTE) that is substantially matched to epitaxial layers grown thereon. The methods and techniques can be applied to a variety of semiconductor processing operations.
FIG. 1 is a simplified schematic diagram illustrating an engineered substrate according to an embodiment of the present invention. The engineered substrate 100 illustrated in FIG. 1 is suitable for a variety of electronic and optical applications. The engineered substrate includes a core 110 that can have a coefficient of thermal expansion (CTE) that is substantially matched to the CTE of the epitaxial material that will be grown on the engineered substrate 100. Epitaxial material 130 is illustrated as optional because it is not required as an element of the engineered substrate, but will typically be grown on the engineered substrate.
For applications including the growth of gallium nitride (GaN)-based materials (epitaxial layers including GaN-based layers), the core 110 can be a polycrystalline ceramic material, for example, polycrystalline aluminum nitride (AlN) with binding agents, such as yttrium oxide. The thickness of the core can be on the order of 100 to 1,500 μm, for example, 725 μm. The core 110 is encapsulated in a first adhesion layer 112, such as a layer of tetraethyl orthosilicate (TEOS), on the order of 1,000 Å in thickness. The first adhesion layer 112 completely surrounds the core 110 in some embodiments to form a fully encapsulated core and can be formed using an LPCVD process.
A conductive layer 114, such as a layer of polysilicon, is formed surrounding the first adhesion layer 112. The thickness of the conductive layer 114 can be on the order of 500-5,000 Å, for example, 2,500 Å. The conductive layer 114 completely surrounds the first adhesion layer 112 in some embodiments to form a fully encapsulated first adhesion layer and can be formed using an LPCVD process. The polysilicon layer 114 can be doped to provide a highly conductive layer, for example, doped with boron to provide a p-type polysilicon layer. In some embodiments, the doping with boron is at a level ranging from about 1×1019 cm−3 to about 1×1020 cm−3 to provide for high conductivity. The conductive layer 114 can be useful during electrostatic chucking of the engineered substrate. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
A second adhesion layer 116, such as a layer of TEOS, is formed surrounding the conductive layer 114. The second adhesion layer 116 can be on the order of 1,000 Å in thickness. The second adhesion layer 112 completely surrounds the conductive layer 114 in some embodiments to form a fully encapsulated structure and can be formed using an LPCVD process.
A barrier layer 118, such as a layer of silicon nitride, is formed surrounding the second adhesion layer 116. The barrier layer 118 can be on the order of 1,000 Å to 10,000 Å in thickness. The barrier layer 118 completely surrounds the second adhesion layer 112 in some embodiments to form a fully encapsulated structure and can be formed using an LPCVD process.
In some embodiments, the barrier layer prevents diffusion and/or outgassing of elements present in the core 110, for example, yttrium oxide (i.e., yttria), oxygen, metallic impurities, other trace elements, and the like into the environment of the semiconductor processing chambers in which the engineered substrate could be present, for example, during a high temperature (e.g., 1,000° C.) epitaxial growth process. Utilizing the encapsulating layers described herein, ceramic materials, including polycrystalline AlN that are designed for non-clean room environments can be utilized in semiconductor process flows and clean room environments.
FIG. 2A is a SIMS profile illustrating species concentration as a function of depth for an engineered structure according to an embodiment of the present invention. The engineered structure did not include silicon nitride layer 118. Referring to FIG. 2A, several species present in the ceramic core (e.g., yttrium, calcium, and aluminum) drop to negligible concentrations in the engineered layers 120/122 (denoted as “Eng. Layers” in FIG. 2A). The concentrations of calcium, yttrium, and aluminum drop by three, four, and six orders of magnitude, respectively.
FIG. 2B is a SIMS profile illustrating species concentration as a function of depth for an engineered structure without a silicon nitride layer after anneal according to an embodiment of the present invention. As discussed above, during semiconductor processing operations, the engineered substrate structures provided by embodiments of the present invention can be exposed to high temperatures (˜1,100° C.) for several hours, for example, during epitaxial growth of GaN-based layers.
For the profile illustrated in FIG. 2B, the engineered substrate structure was annealed at 1,100° C. for a period of four hours. As shown by FIG. 2B, calcium, yttrium, and aluminum, originally present in low concentrations in the as deposited sample, have diffused into the engineered layers (denoted as “Eng. Layers” in FIG. 2B), reaching concentrations similar to other elements.
FIG. 2C is a SIMS profile illustrating species concentration as a function of depth for an engineered structure with a silicon nitride layer after anneal according to an embodiment of the present invention. The integration of the silicon nitride layer 118 into the engineered substrate structure prevents the diffusion of calcium, yttrium, and aluminum into the engineered layers during the annealing process that occurred when the silicon nitride layer was not present. As illustrated in FIG. 2C, calcium, yttrium, and aluminum present in the ceramic core remain at low concentrations in the engineered layers (denoted as “Eng. Layers” in FIG. 2C) post-anneal. Thus, the use of the silicon nitride layer 118 prevents these elements from diffusing through the diffusion barrier and thereby prevents their release into the environment surrounding the engineered substrate. Similarly, any other impurities contained within the bulk ceramic material would be contained by the barrier layer.
Typically, ceramic materials utilized to form the core 110 are fired at temperatures in the range of 1,800° C. It would be expected that this process would drive out a significant amount of impurities present in the ceramic materials. These impurities can include yttrium, which results from the use of yttria as sintering agent, calcium, and other elements and compounds. Subsequently, during epitaxial growth processes, which are conducted at much lower temperatures in the range of 800° C. to 1,100° C., it would be expected that the subsequent diffusion of these impurities would be insignificant. However, contrary to conventional expectations, the inventors have determined that even during epitaxial growth processes at temperatures much less than the firing temperature of the ceramic materials, significant diffusion of elements through the layers of the engineered substrate can occur. Thus, embodiments of the present invention integrate a silicon nitride layer 118 to prevent out-diffusion of the background elements from the polycrystalline ceramic material (e.g., AlN) into the engineered layers 120/122 and epitaxial layers such as optional GaN layer 130. The silicon nitride layer 118 encapsulating the underlying layers and material provides the desired barrier layer functionality.
Referring once again to FIG. 1, a bonding layer 120 (e.g., a silicon oxide layer) is deposited on a portion of the barrier layer 118 (e.g., a silicon nitride layer), for example, the top surface of the barrier layer 118, and subsequently used during the bonding of a substantially single crystal silicon layer 122. The bonding layer 120 can be approximately 1.5 μm in thickness in some embodiments.
The substantially single crystalline silicon layer 122 is suitable for use as a growth layer during an epitaxial growth process for the formation of epitaxial material 130. In some embodiments, the epitaxial material 130 includes a GaN layer 2 μm to 10 μm in thickness, which can be utilized as one of a plurality of layers utilized in optoelectronic devices, RF devices, power devices, and the like. In an embodiment, the substantially single crystalline silicon layer 122 includes a single crystal silicon layer that is attached to the bonding layer 118 using a layer transfer process.
FIG. 3 is a simplified flowchart illustrating a method of fabricating an engineered substrate according to an embodiment of the present invention. The method can be utilized to manufacture a substrate that is CTE matched to one or more of the epitaxial layers grown on the substrate. The method 300 includes providing a polycrystalline ceramic core (310), encapsulating the polycrystalline ceramic core in a first adhesion shell (312), and encapsulating the first adhesion shell in a conductive shell (314). In some embodiments, the polycrystalline ceramic core can include polycrystalline aluminum nitride. The first adhesion shell can include a single layer of tetraethyl orthosilicate (TEOS). The conductive shell can include a single layer of polysilicon.
The method also includes encapsulating the conductive shell in a second adhesion shell (316) and encapsulating the second conductive shell in a barrier shell (318). In some embodiments, the second adhesion shell can include a single layer of TEOS. The barrier shell can include a single layer of silicon nitride.
The method further includes joining a bonding layer to the barrier shell (320), and joining a substantially single crystalline silicon layer to the bonding layer (322). In some embodiments, the bonding layer can include silicon oxide. In an embodiment as described below, joining the substantially single crystalline silicon layer to the bonding layer utilizes a layer transfer process in which the single crystal silicon layer is transferred from a bare silicon wafer.
Referring to FIG. 1, the bonding layer 120 can be formed by a deposition of a thick (e.g., 2-5 μm thick) oxide layer followed by a chemical mechanical polishing (CMP) process to thin the oxide to approximately 1.5 μm in thickness. The thick initial oxide serves to smooth surface features present on the support structure that may remain after fabrication of the polycrystalline core and continue to be present as the encapsulating layers illustrated in FIG. 1 are formed. The CMP process provides a substantially planar surface free of voids, which can then be used during a wafer transfer process to bond the substantially single crystalline silicon layer 122 to the silicon oxide layer 120.
A layer transfer process can be used to join the substantially single crystalline silicon layer 122 to the bonding layer 120. In some embodiments, a silicon wafer (e.g., a silicon (111) wafer) is implanted to form a cleave plane. After wafer bonding, the silicon substrate can be removed along with the portion of the single crystal silicon layer below the cleave plane, resulting in the exfoliated single crystal silicon layer 122 illustrated in FIG. 1. The thickness of the substantially single crystal layer 122 can be varied to meet the specifications of various applications. Moreover, the crystal orientation of the substantially single crystal layer 122 can be varied to meet the specifications of the application. Additionally, the doping levels and profile in the substantially single crystal layer 122 can be varied to meet the specifications of the particular application.
The method illustrated in FIG. 3 may also include smoothing the substantially single crystal layer (324). In some embodiments, the thickness and the surface roughness of the substantially single crystal layer 122 can be modified for high quality epitaxial growth. Different device applications may have slightly different specifications regarding the thickness and surface smoothness of the substantially single crystal layer 122. The cleave process delaminates the substantially single crystal layer 122 from a bulk single crystal silicon wafer at a peak of an implanted ion profile. After cleaving, the substantially single crystal layer 122 can be adjusted or modified in several aspects before it is utilized as a growth surface for epitaxial growth of other materials, such as gallium nitride.
First, the transferred substantially single crystal layer 122 may contain a small amount of residual hydrogen concentration and may have some crystal damage from the implant. Therefore, it may be beneficial to remove a thin portion of the transferred substantially single crystal layer 122 where the crystal lattice is damaged. In some embodiments, the depth of the implant may be adjusted to be greater than the desired final thickness of substantially single crystal layer 122. The additional thickness allows for the removal of the thin portion of the transferred substantially single crystal layer that is damaged, leaving behind the undamaged portion of the desired final thickness.
Second, it may be desirable to adjust the total thickness of the substantially single crystal layer 122. In general, it may be desirable to have the substantially single crystal layer 122 thick enough to provide a high quality lattice template for the subsequent growth of one or more epitaxial layers but thin enough to be highly compliant. The substantially single crystal layer 122 may be said to be “compliant” when the substantially single crystal layer 122 is relatively thin such that its physical properties are less constrained and able to mimic those of the materials surrounding it with less propensity to generate crystalline defects. The compliance of the substantially single crystal layer 122 may be inversely related to the thickness of the substantially single crystal layer 122. A higher compliance can result in lower defect densities in the epitaxial layers grown on the template and enable thicker epitaxial layer growth. In some embodiments, the thickness of the substantially single crystal layer 122 may be increased by epitaxial growth of silicon on the exfoliated silicon layer.
Third, it may be beneficial to improve the smoothness of the substantially single crystal layer 122. The smoothness of the layer may be related to the total hydrogen dose, the presence of any co-implanted species, and the annealing conditions used to form the hydrogen-based cleave plane. The initial roughness resulting from the layer transfer (i.e., the cleave step) may be mitigated by thermal oxidation and oxide strip, as discussed below.
In some embodiments, the removal of the damaged layer and adjusting the final thickness of the substantially single crystal layer 122 may be achieved through thermal oxidation of a top portion of the exfoliated silicon layer, followed by an oxide layer strip with hydrogen fluoride (HF) acid. For example, an exfoliated silicon layer having an initial thickness of 0.5 □m may be thermally oxidized to create a silicon dioxide layer that is about 420 nm thick. After removal of the grown thermal oxide, the remaining silicon thickness in the transferred layer may be about 53 nm. During thermal oxidation, implanted hydrogen may migrate toward the surface. Thus, the subsequent oxide layer strip may remove some damage. Also, thermal oxidation is typically performed at a temperature of 1000° C. or higher. The elevated temperature can may also repair lattice damage.
The silicon oxide layer formed on the top portion of the substantially single crystal layer during thermal oxidation can be stripped using HF acid etching. The etching selectivity between silicon oxide and silicon (SiO2:Si) by HF acid may be adjusted by adjusting the temperature and concentration of the HF solution and the stoichiometry and density of the silicon oxide. Etch selectivity refers to the etch rate of one material relative to another. The selectivity of the HF solution can range from about 10:1 to about 100:1 for (SiO2:Si). A high etch selectivity may reduce the surface roughness by a similar factor from the initial surface roughness. However, the surface roughness of the resultant substantially single crystal layer 122 may still be larger than desired. For example, a bulk Si (111) surface may have a root-mean-square (RMS) surface roughness of less than 0.1 nm as determined by a 2 μm×2 μm atomic force microscope (AFM) scan before additional processing. In some embodiments, the desired surface roughness for epitaxial growth of gallium nitride materials on Si (111) may be, for example, less than 1 nm, less than 0.5 nm, or less than 0.2 nm, on a 30 μm×30 μm AFM scan area.
If the surface roughness of the substantially single crystal layer 122 after thermal oxidation and oxide layer strip exceeds the desired surface roughness, additional surface smoothing may be performed. There are several methods of smoothing a silicon surface. These methods may include hydrogen annealing, laser trimming, plasma smoothing, and touch polish (e.g., chemical mechanical polishing or CMP). These methods may involve preferential attack of high aspect ratio surface peaks. Hence, high aspect ratio features on the surface may be removed more quickly than low aspect ratio features, thus resulting in a smoother surface.
It should be appreciated that the specific steps illustrated in FIG. 3 provide a particular method of fabricating an engineered substrate according to an embodiment of the present invention. Other sequences of steps may also be performed according to alternative embodiments. For example, alternative embodiments of the present invention may perform the steps outlined above in a different order. Moreover, the individual steps illustrated in FIG. 3 may include multiple sub-steps that may be performed in various sequences as appropriate to the individual step. Furthermore, additional steps may be added or removed depending on the particular applications. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
It is also understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art and are to be included within the spirit and purview of this application and scope of the appended claims.

Claims (8)

What is claimed is:
1. A method of fabricating a substrate, the method comprising:
providing a polycrystalline ceramic core;
encapsulating the polycrystalline ceramic core in a first adhesion shell;
encapsulating the first adhesion shell in a conductive shell;
encapsulating the conductive shell in a second adhesion shell;
encapsulating the second adhesion shell in a barrier shell;
joining a bonding layer to the barrier shell; and
joining a single crystal silicon layer to the bonding layer.
2. The method of claim 1 wherein the polycrystalline ceramic core comprises polycrystalline aluminum nitride.
3. The method of claim 1 wherein the first adhesion shell comprises tetraethyl orthosilicate (TEOS).
4. The method of claim 1 wherein the conductive shell comprises polysilicon.
5. The method of claim 1 wherein the second adhesion shell comprises tetraethyl orthosilicate (TEOS).
6. The method of claim 1 wherein the barrier shell comprises silicon nitride.
7. The method of claim 1 wherein joining the single crystal silicon layer comprises performing a layer transfer process from a silicon-on-insulator wafer.
8. The method of claim 7 further comprising smoothing the single crystal silicon layer.
US16/673,710 2016-06-14 2019-11-04 Engineered substrate structure and method of manufacture Active US10910258B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/673,710 US10910258B2 (en) 2016-06-14 2019-11-04 Engineered substrate structure and method of manufacture

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662350077P 2016-06-14 2016-06-14
US15/621,338 US10510582B2 (en) 2016-06-14 2017-06-13 Engineered substrate structure
US16/673,710 US10910258B2 (en) 2016-06-14 2019-11-04 Engineered substrate structure and method of manufacture

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/621,338 Division US10510582B2 (en) 2016-06-14 2017-06-13 Engineered substrate structure

Publications (2)

Publication Number Publication Date
US20200066574A1 US20200066574A1 (en) 2020-02-27
US10910258B2 true US10910258B2 (en) 2021-02-02

Family

ID=61160341

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/621,338 Active 2037-09-24 US10510582B2 (en) 2016-06-14 2017-06-13 Engineered substrate structure
US16/673,710 Active US10910258B2 (en) 2016-06-14 2019-11-04 Engineered substrate structure and method of manufacture

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/621,338 Active 2037-09-24 US10510582B2 (en) 2016-06-14 2017-06-13 Engineered substrate structure

Country Status (1)

Country Link
US (2) US10510582B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11121244B2 (en) 2017-02-21 2021-09-14 QROMIS, Inc. RF device integrated on an engineered substrate

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10346592B2 (en) 2014-10-21 2019-07-09 uBiome, Inc. Method and system for microbiome-derived diagnostics and therapeutics for neurological health issues
US10290674B2 (en) 2016-04-22 2019-05-14 QROMIS, Inc. Engineered substrate including light emitting diode and power circuitry

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4430149A (en) 1981-12-30 1984-02-07 Rca Corporation Chemical vapor deposition of epitaxial silicon
US5986310A (en) 1997-09-08 1999-11-16 Winbond Electronics Corp. Prolonging a polysilicon layer in smaller memory cells to prevent polysilicon load punch through
US6328796B1 (en) 1999-02-01 2001-12-11 The United States Of America As Represented By The Secretary Of The Navy Single-crystal material on non-single-crystalline substrate
US20050092235A1 (en) 2003-03-13 2005-05-05 Brabant Paul D. Epitaxial semiconductor deposition methods and structures
US20070141770A1 (en) 2003-07-28 2007-06-21 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (arc) and method therefor
US20100006857A1 (en) 2007-02-14 2010-01-14 S.O.I.Tec Silicon On Insulator Technologies Multilayer structure and fabrication thereof
US20110117726A1 (en) 2008-07-24 2011-05-19 Amberwave Systems Corporation Bonded intermediate substrate and method of making same
US20130292691A1 (en) * 2012-05-04 2013-11-07 Silicon Genesis Corporation Techniques for forming optoelectronic devices
US20140021483A1 (en) 2010-11-02 2014-01-23 Tsmc Solid State Lighting Ltd. Forming Light-Emitting Diodes Using Seed Particles
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US20140183442A1 (en) 2013-01-02 2014-07-03 Micron Technology, Inc. Engineered substrate assemblies with epitaxial templates and related systems, methods, and devices
US20140264719A1 (en) 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varied STI Liners for Isolation Structures in Image Sensing Devices
US20150090956A1 (en) 2013-10-01 2015-04-02 Micron Technology, Inc. Engineered substrate assemblies with thermally opaque materials, and associated systems, devices, and methods
US20170288055A1 (en) * 2016-03-29 2017-10-05 Quora Technology, Inc. Aluminum Nitride Based Silicon-On-Insulator Substrate Structure
US20180019120A1 (en) 2015-01-21 2018-01-18 Centre National De La Recherche Scientifique (Cnrs) Production of a semiconductor support based on group iii nitrides
US9997353B1 (en) 2010-12-24 2018-06-12 Ananda H. Kumar Silicon composite substrates
US10290674B2 (en) * 2016-04-22 2019-05-14 QROMIS, Inc. Engineered substrate including light emitting diode and power circuitry
US10297445B2 (en) 2016-06-14 2019-05-21 QROMIS, Inc. Engineered substrate structure for power and RF applications
US10535547B2 (en) * 2016-08-23 2020-01-14 QROMIS, Inc. Methods of forming a vertical semiconductor diode using an engineered substrate

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4430149A (en) 1981-12-30 1984-02-07 Rca Corporation Chemical vapor deposition of epitaxial silicon
US5986310A (en) 1997-09-08 1999-11-16 Winbond Electronics Corp. Prolonging a polysilicon layer in smaller memory cells to prevent polysilicon load punch through
US6328796B1 (en) 1999-02-01 2001-12-11 The United States Of America As Represented By The Secretary Of The Navy Single-crystal material on non-single-crystalline substrate
US20050092235A1 (en) 2003-03-13 2005-05-05 Brabant Paul D. Epitaxial semiconductor deposition methods and structures
US20070141770A1 (en) 2003-07-28 2007-06-21 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (arc) and method therefor
US20100006857A1 (en) 2007-02-14 2010-01-14 S.O.I.Tec Silicon On Insulator Technologies Multilayer structure and fabrication thereof
US20110117726A1 (en) 2008-07-24 2011-05-19 Amberwave Systems Corporation Bonded intermediate substrate and method of making same
US20140021483A1 (en) 2010-11-02 2014-01-23 Tsmc Solid State Lighting Ltd. Forming Light-Emitting Diodes Using Seed Particles
US9997353B1 (en) 2010-12-24 2018-06-12 Ananda H. Kumar Silicon composite substrates
US20130292691A1 (en) * 2012-05-04 2013-11-07 Silicon Genesis Corporation Techniques for forming optoelectronic devices
US20140183442A1 (en) 2013-01-02 2014-07-03 Micron Technology, Inc. Engineered substrate assemblies with epitaxial templates and related systems, methods, and devices
US20140264719A1 (en) 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varied STI Liners for Isolation Structures in Image Sensing Devices
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US20150090956A1 (en) 2013-10-01 2015-04-02 Micron Technology, Inc. Engineered substrate assemblies with thermally opaque materials, and associated systems, devices, and methods
US20180019120A1 (en) 2015-01-21 2018-01-18 Centre National De La Recherche Scientifique (Cnrs) Production of a semiconductor support based on group iii nitrides
US20170288055A1 (en) * 2016-03-29 2017-10-05 Quora Technology, Inc. Aluminum Nitride Based Silicon-On-Insulator Substrate Structure
US10290674B2 (en) * 2016-04-22 2019-05-14 QROMIS, Inc. Engineered substrate including light emitting diode and power circuitry
US10297445B2 (en) 2016-06-14 2019-05-21 QROMIS, Inc. Engineered substrate structure for power and RF applications
US10535547B2 (en) * 2016-08-23 2020-01-14 QROMIS, Inc. Methods of forming a vertical semiconductor diode using an engineered substrate

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
International Search Report and Written Opinion of the International Searching Authority of corresponding International Application No. PCT/US2017/037252 dated Aug. 28, 2017 (18 pages) in related U.S. Appl. No. 15/621,335.
Non-Final Office Action dated Feb. 6, 2019 in related U.S. Appl. No. 15/621,338.
Pre-Interview Office Action dated Oct. 17, 2018 in related U.S. Appl. No. 15/621,335 (four pages).

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11121244B2 (en) 2017-02-21 2021-09-14 QROMIS, Inc. RF device integrated on an engineered substrate
US11271101B2 (en) * 2017-02-21 2022-03-08 QROMIS, Inc. RF device integrated on an engineered substrate

Also Published As

Publication number Publication date
US10510582B2 (en) 2019-12-17
US20180047618A1 (en) 2018-02-15
US20200066574A1 (en) 2020-02-27

Similar Documents

Publication Publication Date Title
US10964535B2 (en) Polycrystalline ceramic substrate and method of manufacture
JP7416556B2 (en) Engineered board structure for power and RF applications
US11387101B2 (en) Methods of manufacturing engineered substrate structures for power and RF applications
US10910258B2 (en) Engineered substrate structure and method of manufacture

Legal Events

Date Code Title Description
AS Assignment

Owner name: QROMIS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ODNOBLYUDOV, VLADIMIR;BASCERI, CEM;FARRENS, SHARI;SIGNING DATES FROM 20181009 TO 20181010;REEL/FRAME:050910/0161

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE