US10541203B2 - Nickel-silicon fuse for FinFET structures - Google Patents

Nickel-silicon fuse for FinFET structures Download PDF

Info

Publication number
US10541203B2
US10541203B2 US16/003,820 US201816003820A US10541203B2 US 10541203 B2 US10541203 B2 US 10541203B2 US 201816003820 A US201816003820 A US 201816003820A US 10541203 B2 US10541203 B2 US 10541203B2
Authority
US
United States
Prior art keywords
fuse
semiconductor
metallized
fin
conductive layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/003,820
Other versions
US20180294223A1 (en
Inventor
Kangguo Cheng
Keith E. Fogel
Pouya Hashemi
Alexander Reznicek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US16/003,820 priority Critical patent/US10541203B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: REZNICEK, ALEXANDER, CHENG, KANGGUO, FOGEL, KEITH E., HASHEMI, POUYA
Publication of US20180294223A1 publication Critical patent/US20180294223A1/en
Application granted granted Critical
Publication of US10541203B2 publication Critical patent/US10541203B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H05K999/99

Definitions

  • the present invention generally relates to semiconductor devices and, more particularly, to nickel-silicon fuses formed with fin field effect transistor (FinFET) processes.
  • FinFET fin field effect transistor
  • Fin field effect transistor (FinFET) devices are prevalent in modern circuit designs. Their advantageous electronic characteristics and small layout area make them suitable for many different applications. However, full circuit designs often make use of other structures, such as capacitors and fuses, to perform certain functions.
  • a fuse includes a semiconductor fin having a metallized region between two non-metallized regions. Conductive layers are formed on the semiconductor fin above the two non-metallized regions. A dielectric layer is formed over the metallized region, between the conductive layers.
  • FIG. 1 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments
  • FIG. 2 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments
  • FIG. 3 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments
  • FIG. 4 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments
  • FIG. 5 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments
  • FIG. 6 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments
  • FIG. 7 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments.
  • FIG. 8 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments.
  • FIG. 9 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments.
  • FIG. 10 is a block/flow diagram of a method of forming a semiconductor fuse in accordance with the present embodiments.
  • Embodiments of the present invention provide electronically programmable fuses based on a fin field effect transistor (FinFET) fabrication process.
  • FinFET fin field effect transistor
  • the integration of fuse fabrication with standard FinFET processes makes it easier to implement these devices on the same chip as FinFETs, reducing the number of steps needed to fabricate the entire chip and thereby reducing the cost to make the finished product.
  • the present embodiments form a semiconductor fin, form a source and drain outside of a dummy gate, remove the dummy gate, and silicide the portion of the fin that would represent the channel in a conventional FinFET.
  • Such silicide fins form the programmable fuse.
  • the electromigration effect is used to cause the conductive silicide material to move and separate. Under a sufficiently high current density, the material of the silicide fin will partially or fully break, thereby significantly changing the resistance of the device.
  • the logical state of the fuse i.e., whether the fuse is whole or tripped
  • electromigration is described by the mean time to failure, which can be characterized as:
  • MTTF A J n ⁇ e E a kT
  • A is the cross sectional area of the fin
  • J is the current density
  • E a is the activation energy
  • k is Boltzmann's constant
  • T is the temperature in Kelvin
  • n is a scaling factor.
  • a semiconductor fin 102 is provided and is shown in lengthwise in this figure.
  • the semiconductor fin 102 may formed from a bulk-semiconductor substrate.
  • the bulk-semiconductor substrate may be a silicon-containing material.
  • silicon-containing materials suitable for the bulk-semiconductor substrate include, but are not limited to, silicon, silicon germanium, silicon carbide, polysilicon, epitaxial silicon, amorphous silicon, and multi-layers thereof.
  • silicon is the predominantly used semiconductor material in wafer fabrication, alternative semiconductor materials can be employed, such as, but not limited to, germanium, gallium arsenide, gallium nitride, cadmium telluride, and zinc selenide.
  • the semiconductor fin 102 may be formed from a semiconductor-on-insulator substrate with a buried insulator layer 104 underneath a semiconductor layer. It is specifically contemplated that the buried insulator layer 104 may be, e.g., a silicon dioxide layer, but it should be understood that any appropriate insulating or dielectric material may be used instead. In other embodiments, the insulator layer 104 may be formed on a lower bulk semiconductor substrate.
  • the semiconductor fin 102 may itself be formed by any appropriate lithographic process including, e.g., a photolithographic mask and etch.
  • a layer of semiconductor material is deposited on the buried insulator layer 104 .
  • a pattern is produced by applying a photoresist to the surface of the deposited semiconductor material. The photoresist is exposed to a pattern of radiation that causes a chemical reaction within the photoresist. The pattern is then developed into the photoresist utilizing a resist developer. Once the patterning of the photoresist is completed, the sections covered by the photoresist are protected while the exposed regions are removed using a selective etching process that removes the unprotected regions. The photoresist may also be removed after patterning is complete.
  • a hardmask may be used to form the semiconductor fin 102 .
  • the mask may be formed by first depositing a dielectric hardmask material, like silicon nitride or silicon dioxide atop a layer of semiconductor layer and then applying a photoresist pattern to the hardmask material using a lithography process. The photoresist pattern is then transferred into the hardmask material using a dry etch process. Next the photoresist pattern is removed and the pattern is transferred into the semiconductor material during a selective etching process, such as reactive ion etching (RIE). The remaining mask material may be removed by a wet or dry etch.
  • RIE reactive ion etching
  • RIE is a form of plasma etching in which during etching the surface to be etched is placed on a radio-frequency powered electrode. Moreover, during RIE the surface to be etched takes on a potential that accelerates the etching species extracted from plasma toward the surface, in which the chemical etching reaction is taking place in the direction normal to the surface.
  • anisotropic etching that can be used at this point of the present invention include ion beam etching, plasma etching or laser ablation.
  • the semiconductor fin 102 can be formed by other patterning techniques such as spacer image transfer.
  • a dummy gate 202 is formed on and around the semiconductor fin 102 .
  • the semiconductor fin 102 has a thin oxide layer around it to separate it from the dummy gate 202 and to enable later removal.
  • the dummy gate 202 may be formed from a semiconductor material such as polysilicon, but any appropriate, patternable material may be used instead.
  • a layer of dummy gate material is deposited on the semiconductor fin 102 and subsequently patterned with, e.g., photolithographic processes to create the dummy gate structure.
  • the hardmask used to pattern the dummy gate 202 may be left intact after patterning.
  • a spacer 204 is formed conformally on the dummy gate 202 . It is specifically contemplated that the spacer 204 may be formed from silicon nitride, but it should be understood that any appropriate insulating, dielectric, or hardmask material may be used instead.
  • the spacer 204 may be formed in some embodiments by conformally depositing spacer material on the semiconductor fin 102 and then anisotropically etching the material to remove the deposited dielectric from horizontal surfaces. The remaining vertical dielectric material and the remaining hardmask from dummy gate formation form the spacer 204 .
  • Conductive regions 302 are formed on the semiconductor fin 102 .
  • the conductive regions 302 may be formed from a doped semiconductor.
  • the conductive regions 302 match the source and drain regions of conventional FinFETs and may be formed by the same processes.
  • the conductive regions 302 may be epitaxially grown from the portions of the semiconductor fin 102 that are not covered by the dummy gate 202 .
  • the conductive regions 302 may be in situ doped during epitaxial growth or, alternatively, may be doped by implantation or any other appropriate process for adding dopant to the material.
  • the dopant may be either a p-type dopant or an n-type dopant.
  • p-type refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons.
  • examples of p-type dopants, i.e., impurities include but are not limited to: boron, aluminum, gallium and indium.
  • n-type refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor in a silicon containing substrate
  • impurities include but are not limited to antimony, arsenic and phosphorous.
  • conductive regions 302 that are formed from silicon with a phosphorous dopant or silicon germanium (with a germanium content of between about 20% and about 60%) with a boron dopant, but it should be understood that any appropriate combination of semiconductor material and dopant may be used instead.
  • a dopant concentration range of about 4 ⁇ 10 10 /cm 3 to about 2 ⁇ 10 21 /cm 3 may be used.
  • FIG. 4 a cross-sectional view of a step in the formation of a FinFET-based fuse is shown.
  • An inter-layer dielectric 402 is deposited over and around the gate 202 , conductive regions 302 , and semiconductor fins 102 and polished down to the level of the gate 202 . It is specifically contemplated that the inter-layer dielectric 402 may be formed from silicon dioxide, but it should be understood that any appropriate dielectric or insulating material may be used instead.
  • the inter-layer dielectric 402 may be formed by any appropriate process including, e.g., chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or gas cluster ion beam (GCIB) deposition.
  • CVD is a deposition process in which a deposited species is formed as a result of chemical reaction between gaseous reactants at greater than room temperature (e.g., from about 25° C. about 900° C.).
  • the solid product of the reaction is deposited on the surface on which a film, coating, or layer of the solid product is to be formed.
  • CVD processes include, but are not limited to, Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), and Metal-Organic CVD (MOCVD) and combinations thereof may also be employed.
  • APCVD Atmospheric Pressure CVD
  • LPCVD Low Pressure CVD
  • PECVD Plasma Enhanced CVD
  • MOCVD Metal-Organic CVD
  • a sputtering apparatus may include direct-current diode systems, radio frequency sputtering, magnetron sputtering, or ionized metal plasma sputtering.
  • chemical precursors react with the surface of a material one at a time to deposit a thin film on the surface.
  • a high-pressure gas is allowed to expand in a vacuum, subsequently condensing into clusters.
  • the clusters can be ionized and directed onto a surface, providing a highly anisotropic deposition.
  • the inter-layer dielectric 402 is polished down using, e.g., chemical mechanical planarization (CMP).
  • CMP is performed using, e.g., a chemical or granular slurry and mechanical force to gradually remove upper layers of the device.
  • the slurry may be formulated to be unable to dissolve, for example, the dummy gate material, resulting in the CMP process's inability to proceed any farther than that layer.
  • FIG. 5 a cross-sectional view of a step in the formation of a FinFET-based fuse is shown.
  • the dummy gate 202 is removed, exposing the underlying region 502 of the semiconductor fin 102 .
  • the vertical portion of the spacer 204 remains as sidewalls 504 , defining the edges of the exposed region 502 .
  • the dummy gate 202 may be removed by any appropriate wet or dry etch that selectively removes the dummy gate material while leaving the vertical sidewalls 504 , the inter-layer dielectric 402 , and the semiconductor fin 102 unharmed.
  • the height of the exposed portion of the semiconductor fin 102 may be trimmed using any appropriate etch such as, e.g., a wet oxide etch, a thermal oxide etch, or a hydrochloric acid etch.
  • the trimmed region 602 has a smaller cross sectional area than the untrimmed fin, such that the amount of current needed to break the fuse will be smaller.
  • the fuse can be precisely fabricated with desired fuse properties, in particular by providing a cross-sectional area that would be difficult or impossible to create with lithographic processes alone or with fin heights that are substantially different than those used for other fin-based devices on the same chip.
  • the final fuse may have a width of about 16 nm. Trimming the silicon fins to values below 8 nm will proportionally decrease the final fuse size.
  • a metallized region 702 is formed on the exposed portion 502 of the semiconductor fin 102 . It is specifically contemplated that the metallized region 702 may be formed as a silicide—an alloy of metal and silicon—but it should be understood that the metallized region may alternatively be formed using any appropriate semiconductor material such as, e.g., germanium (germanicide) or silicon germanium. In one specific embodiment, the metallized region 702 may be formed as an alloy of nickel and silicon, though other metals, such as titanium or platinum, are contemplated as well.
  • Silicide formation typically involves the deposition of a refractory metal such as nickel or titanium by any appropriate deposition process onto the surface of a semiconductor material. Following deposition, the structure is then subjected to an annealing step using conventional processes such as, but not limited to, rapid thermal annealing. In one specific embodiment, the silicidation anneal may be performed at about 420° C. for about 5 minutes, with a temperature range between about 400° C. and about 450° C. for a time period of about 5 minute to about 10 minutes also being contemplated. During thermal annealing, the deposited metal reacts with the semiconductor, forming a metal silicide.
  • a refractory metal such as nickel or titanium
  • the metallized region 702 will have a fully silicide cross section, such that every part of the cross section is conductive and undergoes electromigration.
  • a passivating insulator or dielectric 802 is deposited over the metallized region 702 .
  • the passivating layer 802 may be formed from, e.g., silicon dioxide or any other appropriate material using, for example, CVD, ALD, PVD, or any other suitable deposition process.
  • the passivating material may then be polished down to the level of the vertical sidewalls 502 using, e.g., CMP.
  • Conductive contacts 902 are formed by forming holes in the inter-layer dielectric 402 using an anisotropic etch, such as RIE, and depositing a suitable conductive material therein. It is specifically contemplated that the conductive contacts 902 may be formed from a metal such as, e.g., copper, nickel, titanium, gold, silver, aluminum, platinum, or alloys thereof. A CMP process removes any excess conductive material. This completes the fuse structure. It is specifically contemplated that each fuse will have a single respective fin, to decrease the likelihood of ambiguous or incomplete fuse breakage, but it should be understood that multiple fins may be used in a single fuse with merged contacts.
  • anisotropic etch such as RIE
  • the present embodiments can include a design for an integrated circuit chip, which can be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer can transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly.
  • the stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer.
  • the photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • material compounds will be described in terms of listed elements, e.g., SiGe. These compounds include different proportions of the elements within the compound, e.g., SiGe includes Si x Ge 1-x where x is less than or equal to 1, etc.
  • SiGe includes Si x Ge 1-x where x is less than or equal to 1, etc.
  • other elements can be included in the compound and still function in accordance with the present principles.
  • the compounds with additional elements will be referred to herein as alloys.
  • such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C).
  • This can be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.
  • spatially relative terms such as “beneath.” “below.” “lower,” “above.” “upper.” and the like, can be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS. For example, if the device in the FIGS. is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below.
  • the device can be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein can be interpreted accordingly.
  • a layer is referred to as being “between” two layers, it can be the only layer between the two layers, or one or more intervening layers can also be present.
  • Block 1002 forms a fin 102 from, e.g., a semiconductor substrate using any appropriate fabrication technique, such as photolithography and sidewall image transfer.
  • Block 1004 forms a dummy gate 202 over the semiconductor fin 102 with an accompanying spacer 204 .
  • Block 1006 grows the conductive regions 302 epitaxially on the fins 102 .
  • the conductive regions 302 may be formed from a doped semiconductor material such as, e.g., phosphorous-doped silicon or boron-doped silicon germanium.
  • Block 1008 forms an inter-layer dielectric 402 over the conductive regions 302 that may be formed from any appropriate dielectric material such as, e.g., silicon dioxide. Block 1008 deposits the dielectric material and then polishes the layer down to the level of the dummy gate 202 .
  • Block 1010 removes the dummy gate 202 using any appropriate etch to expose the underlying region 502 of the semiconductor fin 102 .
  • Block 1012 optionally trims the height of the semiconductor fin 102 to adjust the properties of the fuse.
  • Block 1014 then metallizes the exposed fin region 502 by, e.g., depositing a metal such as nickel and annealing the exposed fin region 502 to form metallized region 702 .
  • Block 1016 forms a passivating dielectric over the metallized region 702 from, e.g., silicon dioxide.
  • Block 1018 forms holes within the inter-layer dielectric 402 that reach down to the conductive regions 302 and then forms conductive contacts 902 in the holes to complete the fuse.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Thin Film Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Semiconductor fuses include a semiconductor fin having a metallized region between two non-metallized regions. Conductive layers are formed on the semiconductor fin above the two non-metallized regions. A dielectric layer is formed over the metallized region, between the conductive layers.

Description

BACKGROUND Technical Field
The present invention generally relates to semiconductor devices and, more particularly, to nickel-silicon fuses formed with fin field effect transistor (FinFET) processes.
Description of the Related Art
Fin field effect transistor (FinFET) devices are prevalent in modern circuit designs. Their advantageous electronic characteristics and small layout area make them suitable for many different applications. However, full circuit designs often make use of other structures, such as capacitors and fuses, to perform certain functions.
SUMMARY
A fuse includes a semiconductor fin having a metallized region between two non-metallized regions. Conductive layers are formed on the semiconductor fin above the two non-metallized regions. A dielectric layer is formed over the metallized region, between the conductive layers.
These and other features and advantages will become apparent from the following detailed description of illustrative embodiments thereof, which is to be read in connection with the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
The following description will provide details of preferred embodiments with reference to the following figures wherein:
FIG. 1 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments;
FIG. 2 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments;
FIG. 3 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments;
FIG. 4 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments;
FIG. 5 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments;
FIG. 6 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments;
FIG. 7 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments;
FIG. 8 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments;
FIG. 9 is a cross-sectional view of a step in the formation of a semiconductor fuse in accordance with the present embodiments; and
FIG. 10 is a block/flow diagram of a method of forming a semiconductor fuse in accordance with the present embodiments.
DETAILED DESCRIPTION
Embodiments of the present invention provide electronically programmable fuses based on a fin field effect transistor (FinFET) fabrication process. The integration of fuse fabrication with standard FinFET processes makes it easier to implement these devices on the same chip as FinFETs, reducing the number of steps needed to fabricate the entire chip and thereby reducing the cost to make the finished product. Toward that end, the present embodiments form a semiconductor fin, form a source and drain outside of a dummy gate, remove the dummy gate, and silicide the portion of the fin that would represent the channel in a conventional FinFET.
Such silicide fins form the programmable fuse. In particular, the electromigration effect is used to cause the conductive silicide material to move and separate. Under a sufficiently high current density, the material of the silicide fin will partially or fully break, thereby significantly changing the resistance of the device. Thus the logical state of the fuse (i.e., whether the fuse is whole or tripped) can be set by applying an appropriately high voltage and read by applying a lower voltage and determining its resistance.
In general, electromigration is described by the mean time to failure, which can be characterized as:
MTTF = A J n e E a kT
where A is the cross sectional area of the fin, J is the current density, Ea is the activation energy, k is Boltzmann's constant, T is the temperature in Kelvin, and n is a scaling factor. Thus, the amount of time for the device to fail (i.e., for the fuse to be programmed by causing a break in the conductive material of the silicide fin) decreases as the current density increases and as the cross-sectional area decreases. As a result, a lower cross-sectional area means that a correspondingly lower current density is needed, making FinFET fuses particularly useful in situations where a fuse needs to be programmed quickly or with little energy expenditure.
Referring now to the drawings in which like numerals represent the same or similar elements and initially to FIG. 1, a cross-sectional view of a step in the formation of a FinFET-based fuse is shown. A semiconductor fin 102 is provided and is shown in lengthwise in this figure. The semiconductor fin 102 may formed from a bulk-semiconductor substrate. In one example, the bulk-semiconductor substrate may be a silicon-containing material. Illustrative examples of silicon-containing materials suitable for the bulk-semiconductor substrate include, but are not limited to, silicon, silicon germanium, silicon carbide, polysilicon, epitaxial silicon, amorphous silicon, and multi-layers thereof. Although silicon is the predominantly used semiconductor material in wafer fabrication, alternative semiconductor materials can be employed, such as, but not limited to, germanium, gallium arsenide, gallium nitride, cadmium telluride, and zinc selenide.
In some embodiments, the semiconductor fin 102 may be formed from a semiconductor-on-insulator substrate with a buried insulator layer 104 underneath a semiconductor layer. It is specifically contemplated that the buried insulator layer 104 may be, e.g., a silicon dioxide layer, but it should be understood that any appropriate insulating or dielectric material may be used instead. In other embodiments, the insulator layer 104 may be formed on a lower bulk semiconductor substrate.
The semiconductor fin 102 may itself be formed by any appropriate lithographic process including, e.g., a photolithographic mask and etch. In one specific embodiment, a layer of semiconductor material is deposited on the buried insulator layer 104. A pattern is produced by applying a photoresist to the surface of the deposited semiconductor material. The photoresist is exposed to a pattern of radiation that causes a chemical reaction within the photoresist. The pattern is then developed into the photoresist utilizing a resist developer. Once the patterning of the photoresist is completed, the sections covered by the photoresist are protected while the exposed regions are removed using a selective etching process that removes the unprotected regions. The photoresist may also be removed after patterning is complete. In one embodiment, a hardmask may be used to form the semiconductor fin 102. The mask may be formed by first depositing a dielectric hardmask material, like silicon nitride or silicon dioxide atop a layer of semiconductor layer and then applying a photoresist pattern to the hardmask material using a lithography process. The photoresist pattern is then transferred into the hardmask material using a dry etch process. Next the photoresist pattern is removed and the pattern is transferred into the semiconductor material during a selective etching process, such as reactive ion etching (RIE). The remaining mask material may be removed by a wet or dry etch.
RIE is a form of plasma etching in which during etching the surface to be etched is placed on a radio-frequency powered electrode. Moreover, during RIE the surface to be etched takes on a potential that accelerates the etching species extracted from plasma toward the surface, in which the chemical etching reaction is taking place in the direction normal to the surface. Other examples of anisotropic etching that can be used at this point of the present invention include ion beam etching, plasma etching or laser ablation. Alternatively, the semiconductor fin 102 can be formed by other patterning techniques such as spacer image transfer.
Referring now to FIG. 2, a cross-sectional view of a step in the formation of a FinFET-based fuse is shown. A dummy gate 202 is formed on and around the semiconductor fin 102. The semiconductor fin 102 has a thin oxide layer around it to separate it from the dummy gate 202 and to enable later removal. It is specifically contemplated that the dummy gate 202 may be formed from a semiconductor material such as polysilicon, but any appropriate, patternable material may be used instead. A layer of dummy gate material is deposited on the semiconductor fin 102 and subsequently patterned with, e.g., photolithographic processes to create the dummy gate structure. The hardmask used to pattern the dummy gate 202 may be left intact after patterning.
After formation of the dummy gate 202, a spacer 204 is formed conformally on the dummy gate 202. It is specifically contemplated that the spacer 204 may be formed from silicon nitride, but it should be understood that any appropriate insulating, dielectric, or hardmask material may be used instead. The spacer 204 may be formed in some embodiments by conformally depositing spacer material on the semiconductor fin 102 and then anisotropically etching the material to remove the deposited dielectric from horizontal surfaces. The remaining vertical dielectric material and the remaining hardmask from dummy gate formation form the spacer 204.
Referring now to FIG. 3, a cross-sectional view of a step in the formation of a FinFET-based fuse is shown. Conductive regions 302 are formed on the semiconductor fin 102. In one specific embodiment, it is contemplated that the conductive regions 302 may be formed from a doped semiconductor. The conductive regions 302 match the source and drain regions of conventional FinFETs and may be formed by the same processes. In particular, it is contemplated that the conductive regions 302 may be epitaxially grown from the portions of the semiconductor fin 102 that are not covered by the dummy gate 202.
The conductive regions 302 may be in situ doped during epitaxial growth or, alternatively, may be doped by implantation or any other appropriate process for adding dopant to the material. The dopant may be either a p-type dopant or an n-type dopant. As used herein, “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons. In a silicon-containing material, examples of p-type dopants, i.e., impurities, include but are not limited to: boron, aluminum, gallium and indium. As used herein, “n-type” refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor in a silicon containing substrate examples of n-type dopants, i.e., impurities, include but are not limited to antimony, arsenic and phosphorous. Specifically contemplated embodiments include conductive regions 302 that are formed from silicon with a phosphorous dopant or silicon germanium (with a germanium content of between about 20% and about 60%) with a boron dopant, but it should be understood that any appropriate combination of semiconductor material and dopant may be used instead. A dopant concentration range of about 4×1010/cm3 to about 2×1021/cm3 may be used.
Referring now to FIG. 4, a cross-sectional view of a step in the formation of a FinFET-based fuse is shown. An inter-layer dielectric 402 is deposited over and around the gate 202, conductive regions 302, and semiconductor fins 102 and polished down to the level of the gate 202. It is specifically contemplated that the inter-layer dielectric 402 may be formed from silicon dioxide, but it should be understood that any appropriate dielectric or insulating material may be used instead.
The inter-layer dielectric 402 may be formed by any appropriate process including, e.g., chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or gas cluster ion beam (GCIB) deposition. CVD is a deposition process in which a deposited species is formed as a result of chemical reaction between gaseous reactants at greater than room temperature (e.g., from about 25° C. about 900° C.). The solid product of the reaction is deposited on the surface on which a film, coating, or layer of the solid product is to be formed. Variations of CVD processes include, but are not limited to, Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), and Metal-Organic CVD (MOCVD) and combinations thereof may also be employed. In alternative embodiments that use PVD, a sputtering apparatus may include direct-current diode systems, radio frequency sputtering, magnetron sputtering, or ionized metal plasma sputtering. In alternative embodiments that use ALD, chemical precursors react with the surface of a material one at a time to deposit a thin film on the surface. In alternative embodiments that use GCIB deposition, a high-pressure gas is allowed to expand in a vacuum, subsequently condensing into clusters. The clusters can be ionized and directed onto a surface, providing a highly anisotropic deposition.
After formation, the inter-layer dielectric 402 is polished down using, e.g., chemical mechanical planarization (CMP). CMP is performed using, e.g., a chemical or granular slurry and mechanical force to gradually remove upper layers of the device. The slurry may be formulated to be unable to dissolve, for example, the dummy gate material, resulting in the CMP process's inability to proceed any farther than that layer.
Referring now to FIG. 5, a cross-sectional view of a step in the formation of a FinFET-based fuse is shown. The dummy gate 202 is removed, exposing the underlying region 502 of the semiconductor fin 102. The vertical portion of the spacer 204 remains as sidewalls 504, defining the edges of the exposed region 502. The dummy gate 202 may be removed by any appropriate wet or dry etch that selectively removes the dummy gate material while leaving the vertical sidewalls 504, the inter-layer dielectric 402, and the semiconductor fin 102 unharmed.
Referring now to FIG. 6, a cross-sectional view of an optional step in the formation of a FinFET-based fuse is shown. In this embodiment, the height of the exposed portion of the semiconductor fin 102 may be trimmed using any appropriate etch such as, e.g., a wet oxide etch, a thermal oxide etch, or a hydrochloric acid etch. The trimmed region 602 has a smaller cross sectional area than the untrimmed fin, such that the amount of current needed to break the fuse will be smaller. In this fashion, the fuse can be precisely fabricated with desired fuse properties, in particular by providing a cross-sectional area that would be difficult or impossible to create with lithographic processes alone or with fin heights that are substantially different than those used for other fin-based devices on the same chip.
In one specific example, with a lithographic process that provides fins that are about 8 nm wide, followed by a silicide process that causes the volume of the fins by a factor of about 2.1×, the final fuse may have a width of about 16 nm. Trimming the silicon fins to values below 8 nm will proportionally decrease the final fuse size.
Referring now to FIG. 7, a cross-sectional view of a step in the formation of a FinFET-based fuse is shown. A metallized region 702 is formed on the exposed portion 502 of the semiconductor fin 102. It is specifically contemplated that the metallized region 702 may be formed as a silicide—an alloy of metal and silicon—but it should be understood that the metallized region may alternatively be formed using any appropriate semiconductor material such as, e.g., germanium (germanicide) or silicon germanium. In one specific embodiment, the metallized region 702 may be formed as an alloy of nickel and silicon, though other metals, such as titanium or platinum, are contemplated as well.
Silicide formation typically involves the deposition of a refractory metal such as nickel or titanium by any appropriate deposition process onto the surface of a semiconductor material. Following deposition, the structure is then subjected to an annealing step using conventional processes such as, but not limited to, rapid thermal annealing. In one specific embodiment, the silicidation anneal may be performed at about 420° C. for about 5 minutes, with a temperature range between about 400° C. and about 450° C. for a time period of about 5 minute to about 10 minutes also being contemplated. During thermal annealing, the deposited metal reacts with the semiconductor, forming a metal silicide. In addition, there will be some lateral diffusion of the metal underneath the sidewalls 504, which brings the metallized region 702 close to, or into contact with, the conductive regions 302, forming an electrical connection between the regions. It is specifically contemplated that the metallized region 702 will have a fully silicide cross section, such that every part of the cross section is conductive and undergoes electromigration.
Referring now to FIG. 8, a cross-sectional view of a step in the formation of a FinFET-based fuse is shown. A passivating insulator or dielectric 802 is deposited over the metallized region 702. The passivating layer 802 may be formed from, e.g., silicon dioxide or any other appropriate material using, for example, CVD, ALD, PVD, or any other suitable deposition process. The passivating material may then be polished down to the level of the vertical sidewalls 502 using, e.g., CMP.
Referring now to FIG. 9, a cross-sectional view of a step in the formation of a FinFET-based fuse is shown. Conductive contacts 902 are formed by forming holes in the inter-layer dielectric 402 using an anisotropic etch, such as RIE, and depositing a suitable conductive material therein. It is specifically contemplated that the conductive contacts 902 may be formed from a metal such as, e.g., copper, nickel, titanium, gold, silver, aluminum, platinum, or alloys thereof. A CMP process removes any excess conductive material. This completes the fuse structure. It is specifically contemplated that each fuse will have a single respective fin, to decrease the likelihood of ambiguous or incomplete fuse breakage, but it should be understood that multiple fins may be used in a single fuse with merged contacts.
It is to be understood that aspects of the present invention will be described in terms of a given illustrative architecture; however, other architectures, structures, substrate materials and process features and steps can be varied within the scope of aspects of the present invention.
It will also be understood that when an element such as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements can also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements can be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.
The present embodiments can include a design for an integrated circuit chip, which can be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer can transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. The photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
Methods as described herein can be used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case, the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case, the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
It should also be understood that material compounds will be described in terms of listed elements, e.g., SiGe. These compounds include different proportions of the elements within the compound, e.g., SiGe includes SixGe1-x where x is less than or equal to 1, etc. In addition, other elements can be included in the compound and still function in accordance with the present principles. The compounds with additional elements will be referred to herein as alloys.
Reference in the specification to “one embodiment” or “an embodiment”, as well as other variations thereof, means that a particular feature, structure, characteristic, and so forth described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment”, as well any other variations, appearing in various places throughout the specification are not necessarily all referring to the same embodiment.
It is to be appreciated that the use of any of the following “I”, “and/or”, and “at least one of”, for example, in the cases of “A/B”, “A and/or B” and “at least one of A and B”, is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of both options (A and B). As a further example, in the cases of “A, B, and/or C” and “at least one of A, B, and C”, such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C). This can be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.
The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components and/or groups thereof.
Spatially relative terms, such as “beneath.” “below.” “lower,” “above.” “upper.” and the like, can be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS. For example, if the device in the FIGS. is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device can be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein can be interpreted accordingly. In addition, it will also be understood that when a layer is referred to as being “between” two layers, it can be the only layer between the two layers, or one or more intervening layers can also be present.
It will be understood that, although the terms first, second, etc. can be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Thus, a first element discussed below could be termed a second element without departing from the scope of the present concept.
Referring now to FIG. 10, a method of forming a FinFET-based fuse is shown. Block 1002 forms a fin 102 from, e.g., a semiconductor substrate using any appropriate fabrication technique, such as photolithography and sidewall image transfer. Block 1004 forms a dummy gate 202 over the semiconductor fin 102 with an accompanying spacer 204.
Block 1006 grows the conductive regions 302 epitaxially on the fins 102. It is specifically contemplated that the conductive regions 302 may be formed from a doped semiconductor material such as, e.g., phosphorous-doped silicon or boron-doped silicon germanium. Block 1008 forms an inter-layer dielectric 402 over the conductive regions 302 that may be formed from any appropriate dielectric material such as, e.g., silicon dioxide. Block 1008 deposits the dielectric material and then polishes the layer down to the level of the dummy gate 202.
Block 1010 removes the dummy gate 202 using any appropriate etch to expose the underlying region 502 of the semiconductor fin 102. Block 1012 optionally trims the height of the semiconductor fin 102 to adjust the properties of the fuse. Block 1014 then metallizes the exposed fin region 502 by, e.g., depositing a metal such as nickel and annealing the exposed fin region 502 to form metallized region 702.
Block 1016 forms a passivating dielectric over the metallized region 702 from, e.g., silicon dioxide. Block 1018 forms holes within the inter-layer dielectric 402 that reach down to the conductive regions 302 and then forms conductive contacts 902 in the holes to complete the fuse.
Having described preferred embodiments of a nickel-silicon fuse for FinFET structures (which are intended to be illustrative and not limiting), it is noted that modifications and variations can be made by persons skilled in the art in light of the above teachings. It is therefore to be understood that changes may be made in the particular embodiments disclosed which are within the scope of the invention as outlined by the appended claims. Having thus described aspects of the invention, with the details and particularity required by the patent laws, what is claimed and desired protected by Letters Patent is set forth in the appended claims.

Claims (10)

The invention claimed is:
1. A fuse, comprising:
a semiconductor fin comprising a metallized region between two non-metallized regions;
conductive layers formed on the semiconductor fin above the two non-metallized regions; and
a dielectric layer formed over the metallized region, between the conductive layers.
2. The fuse of claim 1, wherein the metallized region has a fully conductive cross-section.
3. The fuse of claim 1, wherein the conductive layers comprise epitaxially grown semiconductor extensions on the semiconductor fin.
4. The fuse of claim 3, wherein the conductive layers comprise one or more dopants.
5. The fuse of claim 1, further comprising vertical sidewalls between the dielectric layer and the conductive layers, the vertical sidewalls comprising a dielectric material different from that of the dielectric layer.
6. The fuse of claim 5, wherein the metallized region extends underneath the vertical sidewalls to contact the conductive layers.
7. The fuse of claim 1, wherein the metallized region has a fin height that is shorter than a fin height of the non-metallized regions.
8. The fuse of claim 1, wherein the dielectric layer is in direct contact with the metallized region and does not contact the non-metallized regions.
9. The fuse of claim 1, further comprising conductive contacts that penetrate the dielectric layer to form an electrical connection with respective conductive layers.
10. The fuse of claim 1, wherein the metallized region comprises a silicide.
US16/003,820 2016-09-21 2018-06-08 Nickel-silicon fuse for FinFET structures Active US10541203B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/003,820 US10541203B2 (en) 2016-09-21 2018-06-08 Nickel-silicon fuse for FinFET structures

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/271,698 US9799600B1 (en) 2016-09-21 2016-09-21 Nickel-silicon fuse for FinFET structures
US15/471,479 US10062643B2 (en) 2016-09-21 2017-03-28 Nickel-silicon fuse for FinFET structures
US16/003,820 US10541203B2 (en) 2016-09-21 2018-06-08 Nickel-silicon fuse for FinFET structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/471,479 Continuation US10062643B2 (en) 2016-09-21 2017-03-28 Nickel-silicon fuse for FinFET structures

Publications (2)

Publication Number Publication Date
US20180294223A1 US20180294223A1 (en) 2018-10-11
US10541203B2 true US10541203B2 (en) 2020-01-21

Family

ID=60082260

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/271,698 Active US9799600B1 (en) 2016-09-21 2016-09-21 Nickel-silicon fuse for FinFET structures
US15/471,479 Active 2036-09-26 US10062643B2 (en) 2016-09-21 2017-03-28 Nickel-silicon fuse for FinFET structures
US16/003,820 Active US10541203B2 (en) 2016-09-21 2018-06-08 Nickel-silicon fuse for FinFET structures

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US15/271,698 Active US9799600B1 (en) 2016-09-21 2016-09-21 Nickel-silicon fuse for FinFET structures
US15/471,479 Active 2036-09-26 US10062643B2 (en) 2016-09-21 2017-03-28 Nickel-silicon fuse for FinFET structures

Country Status (1)

Country Link
US (3) US9799600B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9799600B1 (en) * 2016-09-21 2017-10-24 International Business Machines Corporation Nickel-silicon fuse for FinFET structures

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020089062A1 (en) * 1998-05-18 2002-07-11 Mukul Saran Fine pitch system and method for reinforcing bond pads in semiconductor devices
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
US20100059823A1 (en) 2008-09-10 2010-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive device for high-k metal gate technology and method of making
US8816327B2 (en) 2011-11-01 2014-08-26 International Business Machines Corporation Nanowire efuses
US20140353790A1 (en) 2012-07-03 2014-12-04 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US20160197069A1 (en) * 2013-06-25 2016-07-07 Patrick Morrow MONOLITHIC THREE-DIMENSIONAL (3D) ICs WITH LOCAL INTER-LEVEL INTERCONNECTS
US10062643B2 (en) * 2016-09-21 2018-08-28 International Business Machines Corporation Nickel-silicon fuse for FinFET structures

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232627B2 (en) * 2009-09-21 2012-07-31 International Business Machines Corporation Integrated circuit device with series-connected field effect transistors and integrated voltage equalization and method of forming the device
US8557666B2 (en) * 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020089062A1 (en) * 1998-05-18 2002-07-11 Mukul Saran Fine pitch system and method for reinforcing bond pads in semiconductor devices
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
US20100059823A1 (en) 2008-09-10 2010-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive device for high-k metal gate technology and method of making
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8816327B2 (en) 2011-11-01 2014-08-26 International Business Machines Corporation Nanowire efuses
US20140353790A1 (en) 2012-07-03 2014-12-04 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US20160197069A1 (en) * 2013-06-25 2016-07-07 Patrick Morrow MONOLITHIC THREE-DIMENSIONAL (3D) ICs WITH LOCAL INTER-LEVEL INTERCONNECTS
US10062643B2 (en) * 2016-09-21 2018-08-28 International Business Machines Corporation Nickel-silicon fuse for FinFET structures

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
List of IBM Patents or Patent Applications Treated as Related dated Jun. 8, 2018, 2 pages.

Also Published As

Publication number Publication date
US10062643B2 (en) 2018-08-28
US20180082948A1 (en) 2018-03-22
US9799600B1 (en) 2017-10-24
US20180294223A1 (en) 2018-10-11

Similar Documents

Publication Publication Date Title
US10886391B2 (en) Single-electron transistor with wrap-around gate
US10396177B2 (en) Prevention of extension narrowing in nanosheet field effect transistors
US10263075B2 (en) Nanosheet CMOS transistors
US20110001169A1 (en) Forming uniform silicide on 3d structures
US10068805B2 (en) Self-aligned spacer for cut-last transistor fabrication
US11335773B2 (en) Trench contact resistance reduction
US20230253254A1 (en) Semiconductor Device and Method
US9478468B1 (en) Dual metal contact scheme for CMOS devices
US10541203B2 (en) Nickel-silicon fuse for FinFET structures
US11018225B2 (en) III-V extension by high temperature plasma doping
US10756194B2 (en) Shared metal gate stack with tunable work function
US20170352751A1 (en) Single-electron transistor with self-aligned coulomb blockade
WO2023040421A1 (en) Bottom junction and contact area structures for vertical transport field-effect transistors
US11688796B2 (en) Gate all around fin field effect transistor
US10707206B2 (en) Gate cut isolation formed as layer against sidewall of dummy gate mandrel

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHENG, KANGGUO;FOGEL, KEITH E.;HASHEMI, POUYA;AND OTHERS;SIGNING DATES FROM 20160919 TO 20160920;REEL/FRAME:046030/0772

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHENG, KANGGUO;FOGEL, KEITH E.;HASHEMI, POUYA;AND OTHERS;SIGNING DATES FROM 20160919 TO 20160920;REEL/FRAME:046030/0772

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT RECEIVED

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FEPP Fee payment procedure

Free format text: SURCHARGE FOR LATE PAYMENT, LARGE ENTITY (ORIGINAL EVENT CODE: M1554); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4