US10372038B2 - Chemically amplified resist composition and patterning process - Google Patents

Chemically amplified resist composition and patterning process Download PDF

Info

Publication number
US10372038B2
US10372038B2 US15/810,562 US201715810562A US10372038B2 US 10372038 B2 US10372038 B2 US 10372038B2 US 201715810562 A US201715810562 A US 201715810562A US 10372038 B2 US10372038 B2 US 10372038B2
Authority
US
United States
Prior art keywords
group
branched
straight
cyclic
resist composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/810,562
Other versions
US20180136558A1 (en
Inventor
Jun Hatakeyama
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Assigned to SHIN-ETSU CHEMICAL CO., LTD. reassignment SHIN-ETSU CHEMICAL CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HATAKEYAMA, JUN
Publication of US20180136558A1 publication Critical patent/US20180136558A1/en
Application granted granted Critical
Publication of US10372038B2 publication Critical patent/US10372038B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F224/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a heterocyclic ring containing oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F228/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur
    • C08F228/02Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a bond to sulfur or by a heterocyclic ring containing sulfur by a bond to sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Definitions

  • This invention relates to a chemically amplified resist composition
  • a chemically amplified resist composition comprising a quencher containing an iodonium iodide and an acid generator, and a patterning process using the same.
  • the candidates for the next generation 32-nm node include ultra-high NA lens immersion lithography using a liquid having a higher refractive index than water in combination with a high refractive index lens and a high refractive index resist film, EUV lithography of 13.5 nm wavelength, and double patterning version of the ArF lithography, on which active research efforts have been made.
  • the exposure system for mask manufacturing made a transition from the laser beam exposure system to the EB exposure system to increase the accuracy of line width. Since a further size reduction becomes possible by increasing the accelerating voltage of the electron gun in the EB exposure system, the accelerating voltage increased from 10 kV to 30 kV and reached 50 kV in the current mainstream system, with a voltage of 100 kV being under investigation.
  • LWR edge roughness
  • CDU critical dimension uniformity
  • the EUV lithography resist must meet high sensitivity, high resolution and low LWR at the same time.
  • LWR is reduced, but sensitivity becomes lower.
  • the outcome is a reduced LWR, but a lower sensitivity.
  • the amount of quencher added is increased, the outcome is a reduced LWR, but a lower sensitivity. It is necessary to overcome the tradeoff relation between sensitivity and LWR. It would be desirable to have a resist material having a high sensitivity and resolution as well as improved LWR and CDU.
  • Patent Document 1 proposes a quencher of iodonium carboxylate type having a carboxylate ion bonded to an iodonium cation.
  • Patent Documents 2 and 3 propose the use of hypervalent iodine compounds as the quencher. Since iodine has a high atomic number, quenchers in the form of iodinated compounds are fully effective for suppressing acid diffusion.
  • Patent Document 1 JP 5852490 (U.S. Pat. No. 9,176,379)
  • Patent Document 2 JP-A 2015-180928 (U.S. Pat. No. 9,563,123)
  • Patent Document 3 JP-A 2015-172746 (U.S. Pat. No. 9,448,475)
  • An increase in acid diffusion also causes degradation of resolution, LWR and CDU. This is because acid diffusion not only causes image blur, but also proceeds non-uniformly in a resist film.
  • it is effective to lower the PEB temperature, to use a bulky acid which is least diffusive, or to increase the amount of quencher added.
  • any of these means for reducing acid diffusion results in a lowering of sensitivity. Either the means for reducing photon variation or the means for reducing acid diffusion variation leads to a lowering of resist sensitivity.
  • An object of the invention is to provide a chemically amplified resist composition which exerts a high sensitizing effect and an acid diffusion suppressing effect and has improved resolution, LWR and CDU, and a pattern forming process using the same.
  • Iodine is substantially absorptive to EUV of wavelength 13.5 nm and EB because of its high atomic number, and releases many secondary electrons upon exposure because of many electron orbits in its molecule.
  • the secondary electrons thus released provide energy transfer to an acid generator, achieving a high sensitizing effect.
  • the inventors have found that when an iodonium iodide is added as the quencher to a chemically amplified resist composition comprising an acid generator, the resulting resist composition forms a resist film which exerts a high sensitizing effect and an acid diffusion suppressing effect, experiences no film thickness loss after development, and has a high sensitivity, minimized LWR and improved CDU.
  • the invention provides a chemically amplified resist composition
  • a chemically amplified resist composition comprising a quencher containing an iodonium iodide, and an acid generator.
  • the iodonium iodide has the formula (1).
  • R 1 is a hydroxyl, halogen, trifluoromethyl, nitro, carboxyl, C 2 -C 12 straight, branched or cyclic acyl group, C 2 -C 12 straight, branched or cyclic acyloxy group, C 1 -C 12 straight, branched or cyclic alkoxy group, C 2 -C 12 straight, branched or cyclic alkoxycarbonyl group, C 1 -C 12 straight, branched or cyclic alkyl group which may contain oxo, C 2 -C 12 straight, branched or cyclic alkenyl group which may contain oxo, C 6 -C 20 aryl group, or C 7 -C 12 aralkyl or aryloxyalkyl group, where a plurality of R 1 groups are included, they may be identical or different, and m is an integer of 0 to 5.
  • R 2 is a group having the formula (2) or (3):
  • R 3 is a hydroxyl, halogen, trifluoromethyl, nitro, carboxyl, C 2 -C 12 straight, branched or cyclic acyl group, C 2 -C 12 straight, branched or cyclic acyloxy group, C 1 -C 12 straight, branched or cyclic alkoxy group, C 2 -C 12 straight, branched or cyclic alkoxycarbonyl group, C 1 -C 12 straight, branched or cyclic alkyl group which may contain oxo, C 2 -C 12 straight, branched or cyclic alkenyl group which may contain oxo, C 6 -C 20 aryl group, or C 7 -C 12 aralkyl or aryloxyalkyl group, where a plurality of R 3 groups are included, they may be identical or different, R 4 is hydrogen, C 1 -C 6 straight, branched or cyclic alkyl group or phenyl group, and n is an organic
  • the acid generator is capable of generating sulfonic acid, imidic acid or methide acid.
  • the resist composition may further comprise a base polymer.
  • the base polymer comprises recurring units of at least one type selected from recurring units having the formulae (f1) to (f3).
  • R A is each independently hydrogen or methyl.
  • Z 1 is a single bond, phenylene, —O—Z 11 , or —C( ⁇ O)—Z 12 —Z 11 —, wherein Z 11 is a C 1 -C 6 straight, branched or cyclic alkylene group or C 2 -C 6 straight, branched or cyclic alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene group, Z 12 is —O— or —NH—.
  • R 51 , R 52 , R 53 , R 54 , R 55 , R 56 , R 57 , and R 58 are each independently a C 1 -C 12 straight, branched or cyclic alkyl group which may contain a carbonyl, ester or ether moiety, or a C 6 -C 12 aryl, C 7 -C 20 aralkyl, or mercaptophenyl group.
  • Z 2 is a single bond, —Z 21 —C( ⁇ O)—O—, —Z 21 —O—, or —Z 21 —O—C( ⁇ O)—, wherein Z 21 is a C 1 -C 12 straight, branched or cyclic alkylene group which may contain a carbonyl, ester or ether moiety.
  • Z 3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—Z 31 —, or —C( ⁇ O)—Z 32 —Z 31 —, wherein Z 31 is a C 1 -C 6 straight, branched or cyclic alkylene group or C 2 -C 6 straight, branched or cyclic alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or a phenylene, fluorinated phenylene or trifluoromethyl-substituted phenylene group, Z 32 is —O— or —NH—.
  • a 1 is hydrogen or trifluoromethyl.
  • M ⁇ is a non-nucleophilic counter ion.
  • the acid generator also functions as a base polymer.
  • the acid generator is typically a polymer comprising recurring units of at least one type selected from recurring units having the formulae (f1) to (f3) defined above.
  • the base polymer comprises recurring units having the formula (a1) or recurring units having the formula (a2).
  • R A is each independently hydrogen or methyl
  • R 11 and R 12 are each independently an acid labile group
  • X 1 is a single bond, phenylene, naphthylene, or a C 1 -C 12 linking group containing ester moiety or lactone ring
  • X 2 is a single bond or ester group.
  • the resist composition is a chemically amplified positive resist composition.
  • the base polymer is an acid labile group-free polymer
  • the resist composition is a chemically amplified negative resist composition
  • the resist composition may further comprise an organic solvent and/or a surfactant.
  • the invention provides a pattern forming process comprising the steps of coating the resist composition defined above onto a substrate, baking, exposing the resulting resist film to high-energy radiation, and developing the resist film with a developer.
  • the high-energy radiation is ArF excimer laser of wavelength 193 nm, KrF excimer laser of wavelength 248 nm, EB, or EUV of wavelength 3 to 15 nm.
  • an iodonium iodide contains at least two iodine atoms featuring substantial light absorption
  • a resist film containing the iodonium iodide as a quencher exhibits a sensitizing effect due to secondary electrons released therefrom upon exposure.
  • the iodine-containing resist film exerts an acid diffusion suppressing effect and a high dissolution contrast.
  • the resist film exhibits high resolution, high sensitivity, minimal LWR, and improved CDU as a positive or negative resist film subject to alkaline development or as a negative resist film subject to organic solvent development.
  • C n -C m means a group containing from n to m carbon atoms per group. Me stands for methyl, Ac for acetyl, and Ph for phenyl.
  • EUV extreme ultraviolet
  • Mw/Mn molecular weight distribution or dispersity
  • PEB post-exposure bake
  • the chemically amplified resist composition of the invention is defined as comprising a quencher containing an iodonium iodide, and an acid generator.
  • the iodonium iodide undergoes ion exchange with an acid generated from an acid generator to form an iodonium salt and release hydriodic acid.
  • the iodonium iodide has an acid trapping ability and an acid diffusion suppressing effect.
  • the iodonium iodide is photosensitive. The contrast is improved by the mechanism that in the exposed region, the concentration of iodonium iodide lowers, and the concentration of acid generated from the acid generator increases. On the other hand, the concentration of iodonium iodide is maintained high in the unexposed region, suppressing acid diffusion from the exposed region to the unexposed region.
  • the acid diffusion suppressing effect and contrast enhancing effect of the iodonium iodide are valid in both the positive or negative pattern formation by alkaline development and the negative pattern formation by organic solvent development.
  • the quencher in the chemically amplified resist composition contains an iodonium iodide.
  • the iodonium iodide is a salt of an iodonium cation with an iodide ion.
  • the iodonium cation preferably has at least one aromatic ring.
  • the preferred iodonium iodide has the formula (1).
  • R 1 is a hydroxyl group, halogen atom, trifluoromethyl group, nitro group, carboxyl group, C 2 -C 12 straight, branched or cyclic acyl group, C 2 -C 12 straight, branched or cyclic acyloxy group, C 1 -C 12 straight, branched or cyclic alkoxy group, C 2 -C 12 straight, branched or cyclic alkoxycarbonyl group, C 1 -C 12 straight, branched or cyclic alkyl group which may contain oxo, C 2 -C 12 straight, branched or cyclic alkenyl group which may contain oxo, C 6 -C 20 aryl group, or C 7 -C 12 aralkyl or aryloxyalkyl group. Where a plurality of R 1 groups are included, they may be identical or different.
  • the subscript m is an integer of 0 to 5.
  • R 2 is a group having the formula (2) or (3).
  • R 3 is a hydroxyl group, halogen atom, trifluoromethyl group, nitro group, carboxyl group, C 2 -C 12 straight, branched or cyclic acyl group, C 2 -C 12 straight, branched or cyclic acyloxy group, C 1 -C 12 straight, branched or cyclic alkoxy group, C 2 -C 12 straight, branched or cyclic alkoxycarbonyl group, C 1 -C 12 straight, branched or cyclic alkyl group which may contain oxo, C 2 -C 12 straight, branched or cyclic alkenyl group which may contain oxo, C 6 -C 20 aryl group, or C 7 -C 12 aralkyl or aryloxyalkyl group. Where a plurality of R 3 groups are included, they may be identical or different.
  • the subscript n is an integer of 0 to 5.
  • R 4 is hydrogen, a C 1 -C 6 straight, branched or cyclic alkyl group or phenyl group.
  • the iodonium iodide contains at least two iodine atoms in the molecule, it has substantial EUV absorption. Upon EUV exposure, it generates secondary electrons, followed by energy transfer to an acid generator, leading to sensitization. This establishes a high sensitivity and low acid diffusion, succeeding in improving both LWR or CDU and sensitivity.
  • the iodonium iodide may be synthesized, for example, by ion exchange of a hydrochloride, carbonate or sulfate salt of iodonium with potassium iodide.
  • the iodonium iodide is preferably present in the resist composition in an amount of 0.001 to 50 parts, more preferably 0.01 to 20 parts by weight per 100 parts by weight of the base polymer to be described below.
  • the quencher may contain a quencher other than the iodonium iodide.
  • the other quencher is typically selected from conventional basic compounds.
  • Conventional basic compounds include primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds with carboxyl group, nitrogen-containing compounds with sulfonyl group, nitrogen-containing compounds with hydroxyl group, nitrogen-containing compounds with hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide derivatives, imide derivatives, and carbamate derivatives.
  • primary, secondary, and tertiary amine compounds specifically amine compounds having a hydroxyl, ether, ester, lactone ring, cyano, or sulfonic acid ester group as described in JP-A 2008-111103, paragraphs [0146]-[0164], and compounds having a carbamate group as described in JP 3790649.
  • Addition of a basic compound may be effective for further suppressing the diffusion rate of acid in the resist film or correcting the pattern profile.
  • Quenchers of polymer type as described in U.S. Pat. No. 7,598,016 are also useful as the other quencher.
  • the polymeric quencher segregates at the resist surface after coating and thus enhances the rectangularity of resist pattern.
  • the polymeric quencher is also effective for preventing a film thickness loss of resist pattern or rounding of pattern top.
  • an ammonium salt, sulfonium salt or iodonium salt may be added as the other quencher.
  • Suitable ammonium salts, sulfonium salts and iodonium salts added as the other quencher are salts with carboxylic acid, sulfonic acid, sulfonimide and saccharin.
  • the carboxylic acid used herein may or may not be fluorinated at ⁇ -position.
  • the other quencher is preferably added in an amount of 0 to 5 parts, more preferably 0 to 4 parts by weight per 100 parts by weight of the base polymer.
  • the chemically amplified resist composition contains an acid generator.
  • the acid generator used herein may be either an acid generator of addition type which is different from the iodonium iodide and components to be described later, or an acid generator of polymer type which also functions as a base polymer, that is, an acid generator-and-base polymer component.
  • the acid generator of addition type is typically a compound (PAG) capable of generating an acid upon exposure to actinic ray or radiation.
  • PAG a compound capable of generating an acid upon exposure to high-energy radiation
  • Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators.
  • Exemplary PAGs are described in JP-A 2008-111103, paragraphs [0122]-[0142] (U.S. Pat. No. 7,537,880).
  • R 101 , R 102 and R 103 are each independently a C 1 -C 20 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom, any two of R 101 , R 102 and R 103 may bond together to form a ring with the sulfur atom to which they are attached.
  • X ⁇ is an anion selected from the formulae (A1) to (A4).
  • R fa is fluorine or a C 1 -C 40 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom.
  • R 104 is hydrogen or trifluoromethyl, preferably trifluoromethyl.
  • R 105 is a C 1 -C 38 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom. Suitable heteroatoms include oxygen, nitrogen, sulfur and halogen, with oxygen being preferred. Of the monovalent hydrocarbon groups, those of 6 to 30 carbon atoms are preferred because a high resolution is available in fine pattern formation.
  • Suitable monovalent hydrocarbon groups include methyl, ethyl, propyl, isopropyl, butyl, isobutyl, s-butyl, t-butyl, pentyl, neopentyl, cyclopentyl, hexyl, cyclohexyl, 3-cyclohexenyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl, 1-adamantyl, 2-adamantyl, 1-adamantylmethyl, norbomyl, norbomylmethyl, tricyclodecanyl, tetracyclododecanyl, tetracyclododecanylmethyl, dicyclohexylmethyl, icosanyl, allyl, benzyl, diphenylmethyl, tetrahydrofuryl, methoxy
  • R fb1 and R fb2 are each independently fluorine or a C 1 -C 40 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom. Suitable monovalent hydrocarbon groups are as exemplified above for R 105 . Preferably R fb1 and R fb2 each are fluorine or a straight C 1 -C 4 fluorinated alkyl group.
  • a pair of R fb1 and R fb2 may bond together to form a ring with the linkage (—CF 2 —SO 2 —N ⁇ —SO 2 —CF 2 —) to which they are attached, and preferably the pair is a fluorinated ethylene or fluorinated propylene group to form a ring structure.
  • R fc1 , R fc2 and R fc3 are each independently fluorine or a C 1 -C 40 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom.
  • R fc1 , R fc2 and R fc3 each are fluorine or a straight C 1 -C 4 fluorinated alkyl group.
  • a pair of R fc1 and R fc2 may bond together to form a ring with the linkage (—CF 2 —SO 2 —C ⁇ —SO 2 —CF 2 —) to which they are attached, and preferably the pair is a fluorinated ethylene or fluorinated propylene group to form a ring structure.
  • R fd is a C 1 -C 40 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom. Suitable monovalent hydrocarbon groups are as exemplified above for R 105 .
  • the compound having the anion of formula (A4) has a sufficient acid strength to cleave acid labile groups in the base polymer because it is free of fluorine at ⁇ -position of sulfo group, but has two trifluoromethyl groups at ⁇ -position. Thus the compound is a useful PAG.
  • R 201 and R 202 are each independently a C 1 -C 30 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom.
  • R 203 is a C 1 -C 30 straight, branched or cyclic divalent hydrocarbon group which may contain a heteroatom. Any two of R 201 , R 202 and R 203 may bond together to form a ring with the sulfur atom to which they are attached.
  • L A is a single bond, ether group or a straight, branched or cyclic C 1 -C 20 divalent hydrocarbon group which may contain a heteroatom.
  • X A , X B , X C and X D are each independently hydrogen, fluorine or trifluoromethyl, with the proviso that at least one of X A , X B , X C and X D is fluorine or trifluoromethyl, and k is an integer of 0 to 3.
  • Suitable monovalent hydrocarbon groups include methyl, ethyl, propyl, isopropyl, n-butyl, s-butyl, t-butyl, n-pentyl, t-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbomyl, oxanorbomyl, tricyclo[5.2.1.0 2,6 ]decanyl, adamantyl, phenyl, naphthyl and anthracenyl.
  • Suitable divalent hydrocarbon groups include linear alkane diyl groups such as methylene, ethylene, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, dodecane-1,12-diyl, tridecane-1,13-diyl, tetradecane-1,14-diyl, pentadecane-1,15-diyl, hexadecane-1,16-diyl, heptadecane-1,17-diyl; saturated cyclic divalent hydrocarbon groups such as cyclopentanediyl, cyclohexan
  • At least one hydrogen atom is replaced by an alkyl group such as methyl, ethyl, propyl, n-butyl or t-butyl, or in which at least one hydrogen atom is replaced by a radical containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or in which at least one carbon atom is replaced by a radical containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl, cyano, carbonyl, ether, ester, sulfonic acid ester, carbonate, lactone ring, sultone ring, carboxylic acid anhydride or haloalkyl radical.
  • Suitable heteroatoms include oxygen, nitrogen, sulfur and halogen, with oxygen being preferred.
  • L A is as defined above.
  • R is hydrogen or trifluoromethyl, preferably trifluoromethyl.
  • R 301 , R 302 and R 303 are each independently hydrogen or a C 1 -C 20 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom. Suitable monovalent hydrocarbon groups are as exemplified above for R 105 .
  • the subscripts x and y each are an integer of 0 to 5, and z is an integer of 0 to 4.
  • those compounds having an anion of formula (A1′) or (A4) are especially preferred because of reduced acid diffusion and high solubility in resist solvent, and those compounds having an anion of formula (B′) are especially preferred because of minimized acid diffusion.
  • An appropriate amount of the acid generator of addition type is 0.1 to 50 parts, more preferably 1 to 40 parts by weight per 100 parts by weight of the base polymer.
  • the acid generator is an acid generator-and-base polymer
  • this acid generator is a polymer, preferably comprising recurring units derived from a compound capable of generating an acid in response to actinic light or radiation.
  • the acid generator is preferably a base polymer to be described below, specifically comprising recurring units (f) as essential unit.
  • the base polymer in the resist composition is a polymer comprising acid labile group-containing recurring units.
  • the acid labile group-containing recurring units are preferably recurring units having the formula (a1) or recurring units having the formula (a2). Sometimes these recurring units are simply referred to as recurring units (a1) and (a2).
  • R A is each independently hydrogen or methyl.
  • R 11 and R 12 are each independently an acid labile group.
  • X 1 is a single bond, phenylene, naphthylene, or a C 1 -C 12 linking group containing ester moiety or lactone ring.
  • X 2 is a single bond or ester group.
  • the acid labile groups represented by R 11 and R 12 in the recurring units (a1) and (a2) may be selected from a variety of such groups, for example, those groups described in JP-A 2013-080033 (U.S. Pat. No. 8,574,817) and JP-A 2013-083821 (U.S. Pat. No. 8,846,303).
  • Typical of the acid labile group are groups of the following formulae (AL-1) to (AL-3).
  • R 13 and R 16 are each independently a monovalent hydrocarbon group of 1 to 40 carbon atoms, preferably 1 to 20 carbon atoms, typically straight, branched or cyclic alkyl, which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine.
  • R 14 and R 15 are each independently hydrogen or a monovalent hydrocarbon group of 1 to 20 carbon atoms, typically straight, branched or cyclic alkyl, which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine.
  • the subscript “a” is an integer of 0 to 10, especially 1 to 5.
  • a pair of R 14 and R 15 , R 14 and R 16 , or R 15 and R 16 may bond together to form a ring, typically alicyclic, with the carbon atom or carbon and oxygen atoms to which they are attached, the ring containing 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms.
  • R 17 , R 18 and R 19 are each independently a monovalent hydrocarbon group of 1 to 20 carbon atoms, typically straight, branched or cyclic alkyl, which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine.
  • a pair of R 17 and R 18 , R 17 and R 19 , or R 18 and R 19 may bond together to form a ring, typically alicyclic, with the carbon atom to which they are attached, the ring containing 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms.
  • the base polymer may further comprise recurring units (b) having a phenolic hydroxyl group as an adhesive group.
  • recurring units (b) having a phenolic hydroxyl group as an adhesive group.
  • suitable monomers from which recurring units (b) are derived are given below, but not limited thereto.
  • R A is as defined above.
  • recurring units (c) having another adhesive group selected from hydroxyl (other than the foregoing phenolic hydroxyl), lactone ring, ether, ester, carbonyl and cyano groups may also be incorporated in the base polymer.
  • suitable monomers from which recurring units (c) are derived are given below, but not limited thereto.
  • R A is as defined above.
  • the hydroxyl group may be replaced by an acetal group susceptible to deprotection with acid, typically ethoxyethoxy, prior to polymerization, and the polymerization be followed by deprotection with weak acid and water.
  • the hydroxyl group may be replaced by an acetyl, formyl, pivaloyl or similar group prior to polymerization, and the polymerization be followed by alkaline hydrolysis.
  • the base polymer may further comprise recurring units (d) selected from units of indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, and norbornadiene, or derivatives thereof. Suitable monomers are exemplified below.
  • recurring units (e) which are derived from styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, methyleneindene, vinylpyridine, and vinylcarbazole may be incorporated in the base polymer.
  • recurring units (f) derived from an onium salt having a polymerizable carbon-carbon double bond may be incorporated in the base polymer.
  • JP-A 2005-084365 discloses sulfonium and iodonium salts having a polymerizable carbon-carbon double bond capable of generating a sulfonic acid.
  • JP-A 2006-178317 discloses a sulfonium salt having sulfonic acid directly attached to the main chain.
  • the preferred recurring units (f) include recurring units having formula (f1), recurring units having formula (f2), and recurring units having formula (f3). These units are simply referred to as recurring units (f1), (f2) and (f3), which may be used alone or in combination of two or more types.
  • R A is each independently hydrogen or methyl.
  • Z 1 is a single bond, phenylene, —O—Z 11 —, or —C( ⁇ O)—Z 12 —Z 11 —, wherein Z 11 is a straight, branched or cyclic C 1 -C 6 alkylene group or straight, branched or cyclic C 2 -C 6 alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene group, Z 12 is —O— or —NH—.
  • R 51 , R 52 , R 53 , R 54 , R 55 , R 56 , R 57 , and R 58 are each independently a straight, branched or cyclic C 1 -C 12 alkyl group which may contain a carbonyl, ester or ether moiety, or a C 6 -C 12 aryl, C 7 -C 20 aralkyl, or mercaptophenyl group.
  • Z 2 is a single bond, —Z 21 —C( ⁇ O)—O—, —Z 21 —O—, or —Z 21 —O—C( ⁇ O)—, wherein Z 21 is a straight, branched or cyclic C 1 -C 12 alkylene group which may contain a carbonyl, ester or ether moiety.
  • Z 3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—Z 31 —, or —C( ⁇ O)—Z 32 —Z 31- , wherein Z 31 is a straight, branched or cyclic C 1 -C 6 alkylene group or straight, branched or cyclic C 2 -C 6 alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or a phenylene, fluorinated phenylene or trifluoromethyl-substituted phenylene group, Z 32 is —O— or —NH—.
  • a 1 is hydrogen or trifluoromethyl.
  • M ⁇ is a non-nucleophilic counter ion.
  • R A and M ⁇ are as defined above.
  • non-nucleophilic counter ion M ⁇ examples include halide ions such as chloride and bromide ions; fluoroalkylsulfonate ions such as triflate, 1,1,1-trifluoroethanesulfonate, and nonafluorobutanesulfonate ions; arylsulfonate ions such as tosylate, benzenesulfonate, 4-fluorobenzenesulfonate, and 1,2,3,4,5-pentafluorobenzenesulfonate ions; alkylsulfonate ions such as mesylate and butanesulfonate ions; sulfonimide ions such as bis(trifluoromethylsulfonyl)imide, bis(perfluoroethylsulfonyl)imide and bis(perfluorobutylsulfonyl)imide ions; sulfonmethide ions
  • sulfonates having fluorine substituted at ⁇ -position as represented by the formula (K-1) and sulfonates having fluorine substituted at ⁇ - and ⁇ -positions as represented by the formula (K-2).
  • R 61 is hydrogen, or a C 1 -C 20 straight, branched or cyclic alkyl group, C 2 -C 20 straight, branched or cyclic alkenyl group, or C 6 -C 20 aryl group, which may contain an ether, ester, carbonyl moiety, lactone ring, or fluorine atom.
  • R 62 is hydrogen, or a C 1 -C 30 straight, branched or cyclic alkyl group, C 2 -C 30 straight, branched or cyclic acyl group, C 2 -C 20 straight, branched or cyclic alkenyl group, C 6 -C 20 aryl group or C 6 -C 20 aryloxy group, which may contain an ether, ester, carbonyl moiety or lactone ring.
  • R A is as defined above.
  • R A is as defined above.
  • the attachment of an acid generator to the polymer main chain is effective in restraining acid diffusion, thereby preventing a reduction of resolution due to blur by acid diffusion. Also edge roughness is improved since the acid generator is uniformly distributed.
  • the base polymer comprising recurring units (f) also functions as the acid generator. Since this base polymer is integrated with the acid generator, an acid generator of addition type may or may not be added to the chemically amplified resist composition.
  • the base polymer for formulating the positive resist composition comprises recurring units (a1) or (a2) having an acid labile group as essential component and additional recurring units (b), (c), (d), (e), and (f) as optional components.
  • a fraction of units (a1), (a2), (b), (c), (d), (e), and (f) is: preferably 0 ⁇ a1 ⁇ 1.0, 0 ⁇ a2 ⁇ 1.0, 0 ⁇ a1+a2 ⁇ 1.0, 0 ⁇ b ⁇ 0.9, 0 ⁇ c ⁇ 0.9, 0 ⁇ d ⁇ 0.8, 0 ⁇ e ⁇ 0.8, and 0 ⁇ f ⁇ 0.5; more preferably 0 ⁇ a1 ⁇ 0.9, 0 ⁇ a2 ⁇ 0.9, 0.1 ⁇ a1+a2 ⁇ 0.9, 0 ⁇ b ⁇ 0.8, 0 ⁇ c ⁇ 0.8, 0 ⁇ d ⁇ 0.7, 0 ⁇ e ⁇ 0.7, and 0 ⁇ f ⁇ 0.4; and even more preferably 0 ⁇ a1 ⁇ 0.8, 0 ⁇ a2 ⁇ 0.8, 0.1 ⁇ a1+a2 ⁇ 0.8, 0
  • the fraction of recurring units (f) is preferably 0 ⁇ f ⁇ 0.5, more preferably 0.01 ⁇ f ⁇ 0.4, and even more preferably 0.02 ⁇ f ⁇ 0.3.
  • an acid labile group is not necessarily essential.
  • the base polymer comprises recurring units (b), and optionally recurring units (c), (d), (e), and/or (f).
  • a fraction of these units is: preferably 0 ⁇ b ⁇ 1.0, 0 ⁇ c ⁇ 0.9, 0 ⁇ d ⁇ 0.8, 0 ⁇ e ⁇ 0.8, and 0 ⁇ f ⁇ 0.5; more preferably 0.2 ⁇ b ⁇ 1.0, 0 ⁇ c ⁇ 0.8, 0 ⁇ d ⁇ 0.7, 0 ⁇ e ⁇ 0.7, and 0 ⁇ f ⁇ 0.4; and even more preferably 0.3 ⁇ b ⁇ 1.0, 0 ⁇ c ⁇ 0.75, 0 ⁇ d ⁇ 0.6, 0 ⁇ e ⁇ 0.6, and 0 ⁇ f ⁇ 0.3.
  • the fraction of recurring units (f) is preferably 0 ⁇ f ⁇ 0.5, more preferably 0.01 ⁇ f ⁇ 0.4, and even more preferably 0.02 ⁇ f ⁇ 0.3.
  • the base polymer may be synthesized by any desired methods, for example, by dissolving one or more monomers selected from the monomers corresponding to the foregoing recurring units in an organic solvent, adding a radical polymerization initiator thereto, and effecting heat polymerization.
  • organic solvent which can be used for polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, and dioxane.
  • the polymerization initiator used herein include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, and lauroyl peroxide.
  • AIBN 2,2′-azobisisobutyronitrile
  • 2,2′-azobis(2,4-dimethylvaleronitrile) dimethyl 2,2-azobis(2-methylpropionate
  • benzoyl peroxide and lauroy
  • hydroxystyrene or hydroxyvinylnaphthalene is copolymerized
  • an alternative method is possible. Specifically, acetoxystyrene or acetoxyvinylnaphthalene is used instead of hydroxystyrene or hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by alkaline hydrolysis, for thereby converting the polymer product to hydroxystyrene or hydroxyvinylnaphthalene.
  • a base such as aqueous ammonia or triethylamine may be used.
  • the reaction temperature is ⁇ 20° C. to 100° C., more preferably 0° C. to 60° C.
  • the reaction time is 0.2 to 100 hours, more preferably 0.5 to 20 hours.
  • the base polymer should preferably have a weight average molecular weight (Mw) in the range of 1,000 to 500,000, and more preferably 2,000 to 30,000, as measured by GPC versus polystyrene standards using tetrahydrofuran (THF) solvent. With too low a Mw, the resist composition may become less heat resistant. A polymer with too high a Mw may lose alkaline solubility and give rise to a footing phenomenon after pattern formation.
  • Mw weight average molecular weight
  • a base polymer has a wide molecular weight distribution or dispersity (Mw/Mn), which indicates the presence of lower and higher molecular weight polymer fractions, there is a possibility that foreign matter is left on the pattern or the pattern profile is degraded.
  • the base polymer should preferably have a narrow dispersity (Mw/Mn) of 1.0 to 2.0, especially 1.0 to 1.5, in order to provide a resist composition suitable for micropatterning to a small feature size.
  • compositions such as an organic solvent, surfactant, dissolution inhibitor, and crosslinker may be blended in any desired combination to formulate a chemically amplified positive or negative resist composition.
  • This positive or negative resist composition has a very high sensitivity in that the dissolution rate in developer of the base polymer in exposed areas is accelerated by catalytic reaction.
  • the resist film has a high dissolution contrast, resolution, exposure latitude, and process adaptability, and provides a good pattern profile after exposure, and minimal proximity bias because of restrained acid diffusion.
  • the composition is fully useful in commercial application and suited as a pattern-forming material for the fabrication of VLSIs.
  • an acid generator is incorporated to formulate a chemically amplified positive resist composition capable of utilizing acid catalyzed reaction, the composition has a higher sensitivity and is further improved in the properties described above.
  • a dissolution inhibitor may lead to an increased difference in dissolution rate between exposed and unexposed areas and a further improvement in resolution.
  • a negative pattern may be formed by adding a crosslinker to reduce the dissolution rate of exposed area.
  • organic solvent used herein examples include ketones such as cyclohexanone, cyclopentanone and methyl-2-n-pentyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2-propanol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyru
  • the organic solvent is preferably added in an amount of 100 to 10,000 parts, and more preferably 200 to 8,000 parts by weight per 100 parts by weight of the base polymer.
  • Exemplary surfactants are described in JP-A 2008-111103, paragraphs [0165]-[0166]. Inclusion of a surfactant may improve or control the coating characteristics of the resist composition.
  • the surfactant is preferably added in an amount of 0.0001 to 10 parts by weight per 100 parts by weight of the base polymer.
  • the dissolution inhibitor which can be used herein is a compound having at least two phenolic hydroxyl groups on the molecule, in which an average of from 0 to 100 mol % of all the hydrogen atoms on the phenolic hydroxyl groups are replaced by acid labile groups or a compound having at least one carboxyl group on the molecule, in which an average of 50 to 100 mol % of all the hydrogen atoms on the carboxyl groups are replaced by acid labile groups, both the compounds having a molecular weight of 100 to 1,000, and preferably 150 to 800.
  • Typical are bisphenol A, trisphenol, phenolphthalein, cresol novolac, naphthalenecarboxylic acid, adamantanecarboxylic acid, and cholic acid derivatives in which the hydrogen atom on the hydroxyl or carboxyl group is replaced by an acid labile group, as described in U.S. Pat. No. 7,771,914 (JP-A 2008-122932, paragraphs [0155]-[0178]).
  • the dissolution inhibitor is preferably added in an amount of 0 to 50 parts, more preferably 5 to 40 parts by weight per 100 parts by weight of the base polymer.
  • Suitable crosslinkers which can be used herein include epoxy compounds, melamine compounds, guanamine compounds, glycoluril compounds and urea compounds having substituted thereon at least one group selected from among methylol, alkoxymethyl and acyloxymethyl groups, isocyanate compounds, azide compounds, and compounds having a double bond such as an alkenyl ether group. These compounds may be used as an additive or introduced into a polymer side chain as a pendant. Hydroxy-containing compounds may also be used as the crosslinker.
  • suitable epoxy compounds include tris(2,3-epoxypropyl) isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, and triethylolethane triglycidyl ether.
  • the melamine compound examples include hexamethylol melamine, hexamethoxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups methoxymethylated and mixtures thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups acyloxymethylated and mixtures thereof.
  • guanamine compound examples include tetramethylol guanamine, tetramethoxymethyl guanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethoxyethyl guanamine, tetraacyloxyguanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof.
  • glycoluril compound examples include tetramethylol glycoluril, tetramethoxyglycoluril, tetramethoxymethyl glycoluril, tetramethylol glycoluril compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethylol glycoluril compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof.
  • urea compound include tetramethylol urea, tetramethoxymethyl urea, tetramethylol urea compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, and tetramethoxyethyl urea.
  • Suitable isocyanate compounds include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate and cyclohexane diisocyanate.
  • Suitable azide compounds include 1,1′-biphenyl-4,4′-bisazide, 4,4′-methylidenebisazide, and 4,4′-oxybisazide.
  • alkenyl ether group-containing compound examples include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl glycol divinyl ether, trimethylol propane trivinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol pentavinyl ether, and trimethylol propane trivinyl ether.
  • the crosslinker is preferably added in an amount of 0.1 to 50 parts, more preferably 1 to 40 parts by weight per 100 parts by weight of the base polymer.
  • a polymeric additive (or water repellency improver) may also be added for improving the water repellency on surface of a resist film as spin coated.
  • the water repellency improver may be used in the topcoatless immersion lithography.
  • Suitable water repellency improvers include polymers having a fluoroalkyl group and polymers having a specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue and are described in JP-A 2007-297590 and JP-A 2008-111103, for example.
  • the water repellency improver to be added to the resist composition should be soluble in the organic solvent as the developer.
  • the water repellency improver of specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue is well soluble in the developer.
  • a polymer having an amino group or amine salt copolymerized as recurring units may serve as the water repellent additive and is effective for preventing evaporation of acid during PEB, thus preventing any hole pattern opening failure after development.
  • An appropriate amount of the water repellency improver is 0 to 20 parts, preferably 0.5 to 10 parts by weight per 100 parts by weight of the base polymer.
  • an acetylene alcohol may be blended in the resist composition. Suitable acetylene alcohols are described in JP-A 2008-122932, paragraphs [0179]-[0182]. An appropriate amount of the acetylene alcohol blended is 0 to 5 parts by weight per 100 parts by weight of the base polymer.
  • the resist composition is used in the fabrication of various integrated circuits. Pattern formation using the resist composition may be performed by well-known lithography processes. The process generally involves coating, prebaking, exposure, and development. If necessary, any additional steps such as PEB may be added.
  • the chemically amplified resist composition is first applied onto a substrate on which an integrated circuit is to be formed (e.g., Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, or organic antireflective coating) or a substrate on which a mask circuit is to be formed (e.g., Cr, CrO, CrON, MoSi 2 , or SiO 2 ) by a suitable coating technique such as spin coating, roll coating, flow coating, dipping, spraying or doctor coating.
  • the coating is prebaked on a hotplate at a temperature of 60 to 150° C. for 10 seconds to 30 minutes, preferably 80 to 120° C. for 30 seconds to 20 minutes.
  • the resulting resist film is generally 0.1 to 2 ⁇ m thick.
  • the resist film is then exposed to a desired pattern of high-energy radiation such as UV, deep-UV, EB, EUV, x-ray, soft x-ray, excimer laser light, ⁇ -ray or synchrotron radiation, directly or through a mask.
  • the exposure dose is preferably about 1 to 200 mJ/cm 2 , more preferably about 10 to 100 mJ/cm 2 , or about 0.1 to 100 ⁇ C/cm 2 , more preferably about 0.5 to 50 ⁇ C/cm 2 .
  • the resist film is further baked (PEB) on a hotplate at 60 to 150° C. for 10 seconds to 30 minutes, preferably 80 to 120° C. for 30 seconds to 20 minutes.
  • the resist film is developed with a developer in the form of an aqueous base solution for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes by conventional techniques such as dip, puddle and spray techniques.
  • a typical developer is a 0.1 to 10 wt %, preferably 2 to 5 wt % aqueous solution of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), or tetrabutylammonium hydroxide (TBAH).
  • TMAH tetramethylammonium hydroxide
  • TEAH tetraethylammonium hydroxide
  • TPAH tetrapropylammonium hydroxide
  • TBAH tetrabutylammonium hydroxide
  • the desired positive pattern is formed on the substrate.
  • the exposed area of resist film is insolubilized and the unexposed area is dissolved in the developer.
  • the resist composition of the invention is best suited for micro-patterning using such high-energy radiation as KrF and ArF excimer laser, EB, EUV, x-ray, soft x-ray, ⁇ -ray and synchrotron radiation.
  • a negative pattern may be formed via organic solvent development.
  • the developer used herein is preferably selected from among 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl
  • the resist film is rinsed.
  • a solvent which is miscible with the developer and does not dissolve the resist film is preferred.
  • Suitable solvents include alcohols of 3 to 10 carbon atoms, ether compounds of 8 to 12 carbon atoms, alkanes, alkenes, and alkynes of 6 to 12 carbon atoms, and aromatic solvents.
  • suitable alcohols of 3 to 10 carbon atoms include n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, t-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, t-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-
  • Suitable ether compounds of 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-s-butyl ether, di-n-pentyl ether, diisopentyl ether, di-s-pentyl ether, di-t-pentyl ether, and di-n-hexyl ether.
  • Suitable alkanes of 6 to 12 carbon atoms include hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, and cyclononane.
  • Suitable alkenes of 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, and cyclooctene.
  • Suitable alkynes of 6 to 12 carbon atoms include hexyne, heptyne, and octyne.
  • Suitable aromatic solvents include toluene, xylene, ethylbenzene, isopropylbenzene, t-butylbenzene and mesitylene. The solvents may be used alone or in admixture.
  • Rinsing is effective for minimizing the risk of resist pattern collapse and defect formation. However, rinsing is not essential. If rinsing is omitted, the amount of solvent used may be reduced.
  • a hole or trench pattern after development may be shrunk by the thermal flow, RELACS® or DSA process.
  • a hole pattern is shrunk by coating a shrink agent thereto, and baking such that the shrink agent may undergo crosslinking at the resist surface as a result of the acid catalyst diffusing from the resist layer during bake, and the shrink agent may attach to the sidewall of the hole pattern.
  • the bake is preferably at a temperature of 70 to 180° C., more preferably 80 to 170° C., for a time of 10 to 300 seconds. The extra shrink agent is stripped and the hole pattern is shrunk.
  • Quenchers 1 to 8 in the form of an iodonium iodide having the following structure were used in resist compositions. Quenchers 1 to 8 were prepared by ion exchange of a hydrochloride salt having the following iodonium cation with potassium iodide.
  • Base polymers were prepared by combining suitable monomers, effecting copolymerization reaction thereof in tetrahydrofuran (THF) solvent, pouring the reaction solution into methanol for crystallization, repeatedly washing with hexane, isolation, and drying.
  • THF tetrahydrofuran
  • the resulting polymers, designated Polymers 1 to 3 were analyzed for composition by 1 H-NMR spectroscopy, and for Mw and Mw/Mn by GPC versus polystyrene standards using THF solvent.
  • Resist compositions were prepared by dissolving the polymer and selected components in a solvent in accordance with the recipe shown in Tables 1 and 2, and filtering through a filter having a pore size of 0.2 m.
  • the solvent contained 100 ppm of surfactant FC-4430 (3M).
  • FC-4430 3M
  • Each of the resist compositions (Tables 1 and 2) was spin coated onto a silicon substrate (which had been vapor primed with hexamethyldisilazane) and prebaked on a hotplate at 110° C. for 60 seconds to form a resist film of 80 nm thick.
  • a system HL-800D (Hitachi Ltd.) at an accelerating voltage of 50 kV
  • the resist film was exposed imagewise to EB in a vacuum chamber.
  • the resist film was baked (PEB) on a hotplate at the temperature shown in Tables 1 and 2 for 60 seconds and developed in a 2.38 wt % TMAH aqueous solution for 30 seconds to form a pattern.
  • the resist pattern was evaluated as follows.
  • the resolution is a minimum trench size at the exposure dose that provides a resolution as designed of a 120-nm trench pattern.
  • the resolution is a minimum isolated line size at the exposure dose that provides a resolution as designed of a 120-nm isolated line pattern.
  • the sensitivity is the exposure dose that provides a resolution to the 120 nm trench pattern.
  • the sensitivity is the exposure dose that provides a resolution to the 120 nm isolated line pattern.
  • the 120-nm isolated line pattern was observed under CD-SEM (S-9200, Hitachi High-Technologies Corp.) to determine LWR. It is noted that Examples 1-1 to 1-11 and Comparative Examples 1-1 to 1-6 are positive resist compositions, and Example 1-12 and Comparative Example 1-7 are negative resist compositions.
  • the resist composition in Table 3 was spin coated on a silicon substrate having a 20-nm coating of silicon-containing spin-on hard mask SHB-A940 (Shin-Etsu Chemical Co., Ltd., silicon content 43 wt %) and prebaked on a hotplate at 105° C. for 60 seconds to form a resist film of 60 nm thick.
  • SHB-A940 Silicon-containing spin-on hard mask
  • the resist film was exposed to EUV through a mask bearing a hole pattern at a pitch 46 nm (on-wafer size) and +20% bias.
  • the resist film was baked (PEB) on a hotplate at the temperature shown in Table 3 for 60 seconds and developed in a 2.38 wt % TMAH aqueous solution for 30 seconds to form a hole pattern having a size of 23 nm.
  • the resist pattern was evaluated using CD-SEM (CG-5000, Hitachi High-Technologies Corp.). The exposure dose that provides a hole pattern having a size of 23 nm is reported as sensitivity. The size of 50 holes was measured, from which a size variation (3 ⁇ ) was computed and reported as CDU.
  • the resist composition is shown in Table 3 together with the sensitivity and CDU of EUV lithography.
  • resist compositions comprising iodonium iodide form patterns having a high sensitivity, satisfactory resolution, and reduced LWR or CDU.

Abstract

A chemically amplified resist composition comprising a quencher containing an iodonium iodide and an acid generator exhibits a sensitizing effect and an acid diffusion suppressing effect and forms a pattern having improved resolution, LWR and CDU.

Description

CROSS-REFERENCE TO RELATED APPLICATION
This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2016-221648 filed in Japan on Nov. 14, 2016, the entire contents of which are hereby incorporated by reference.
TECHNICAL FIELD
This invention relates to a chemically amplified resist composition comprising a quencher containing an iodonium iodide and an acid generator, and a patterning process using the same.
BACKGROUND ART
To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. The wide-spreading flash memory market and the demand for increased storage capacities drive forward the miniaturization technology. As the advanced miniaturization technology, manufacturing of microelectronic devices at the 65-nm node by the ArF lithography has been implemented in a mass scale. Manufacturing of 45-nm node devices by the next generation ArF immersion lithography is approaching to the verge of high-volume application. The candidates for the next generation 32-nm node include ultra-high NA lens immersion lithography using a liquid having a higher refractive index than water in combination with a high refractive index lens and a high refractive index resist film, EUV lithography of 13.5 nm wavelength, and double patterning version of the ArF lithography, on which active research efforts have been made.
The exposure system for mask manufacturing made a transition from the laser beam exposure system to the EB exposure system to increase the accuracy of line width. Since a further size reduction becomes possible by increasing the accelerating voltage of the electron gun in the EB exposure system, the accelerating voltage increased from 10 kV to 30 kV and reached 50 kV in the current mainstream system, with a voltage of 100 kV being under investigation.
As the pattern feature size is reduced, approaching to the diffraction limit of light, light contrast lowers. In the case of positive resist film, a lowering of light contrast leads to reductions of resolution and focus margin of hole and trench patterns.
As the pattern feature size is reduced, the edge roughness (LWR) of line patterns and the critical dimension uniformity (CDU) of hole patterns are regarded significant. It is pointed out that these factors are affected by the segregation or agglomeration of a base polymer and acid generator and the diffusion of generated acid. There is a tendency that as the resist film becomes thinner, LWR becomes greater. A film thickness reduction to comply with the progress of size reduction causes a degradation of LWR, which becomes a serious problem.
The EUV lithography resist must meet high sensitivity, high resolution and low LWR at the same time. As the acid diffusion distance is reduced, LWR is reduced, but sensitivity becomes lower. For example, as the PEB temperature is lowered, the outcome is a reduced LWR, but a lower sensitivity. As the amount of quencher added is increased, the outcome is a reduced LWR, but a lower sensitivity. It is necessary to overcome the tradeoff relation between sensitivity and LWR. It would be desirable to have a resist material having a high sensitivity and resolution as well as improved LWR and CDU.
Patent Document 1 proposes a quencher of iodonium carboxylate type having a carboxylate ion bonded to an iodonium cation. Patent Documents 2 and 3 propose the use of hypervalent iodine compounds as the quencher. Since iodine has a high atomic number, quenchers in the form of iodinated compounds are fully effective for suppressing acid diffusion.
CITATION LIST
Patent Document 1: JP 5852490 (U.S. Pat. No. 9,176,379)
Patent Document 2: JP-A 2015-180928 (U.S. Pat. No. 9,563,123)
Patent Document 3: JP-A 2015-172746 (U.S. Pat. No. 9,448,475)
SUMMARY OF INVENTION
As the wavelength of light becomes shorter, the energy density thereof becomes higher and hence, the number of photons generated upon exposure becomes smaller. A variation in photon number causes variations in LWR and CDU. As the exposure dose increases, the number of photons increases, leading to a less variation of photon number. Thus there is a tradeoff relationship between sensitivity and resolution, LWR and CDU. In particular, the EUV lithography resist materials have the tendency that a lower sensitivity leads to better LWR and CDU.
An increase in acid diffusion also causes degradation of resolution, LWR and CDU. This is because acid diffusion not only causes image blur, but also proceeds non-uniformly in a resist film. For suppressing acid diffusion, it is effective to lower the PEB temperature, to use a bulky acid which is least diffusive, or to increase the amount of quencher added. However, any of these means for reducing acid diffusion results in a lowering of sensitivity. Either the means for reducing photon variation or the means for reducing acid diffusion variation leads to a lowering of resist sensitivity.
An object of the invention is to provide a chemically amplified resist composition which exerts a high sensitizing effect and an acid diffusion suppressing effect and has improved resolution, LWR and CDU, and a pattern forming process using the same.
A significant increase of acid generation efficiency and a significant suppression of acid diffusion must be achieved before the tradeoff relationship between sensitivity and resolution, LWR and CDU can be overcome.
Iodine is substantially absorptive to EUV of wavelength 13.5 nm and EB because of its high atomic number, and releases many secondary electrons upon exposure because of many electron orbits in its molecule. The secondary electrons thus released provide energy transfer to an acid generator, achieving a high sensitizing effect.
The inventors have found that when an iodonium iodide is added as the quencher to a chemically amplified resist composition comprising an acid generator, the resulting resist composition forms a resist film which exerts a high sensitizing effect and an acid diffusion suppressing effect, experiences no film thickness loss after development, and has a high sensitivity, minimized LWR and improved CDU.
In one aspect, the invention provides a chemically amplified resist composition comprising a quencher containing an iodonium iodide, and an acid generator.
In a preferred embodiment, the iodonium iodide has the formula (1).
Figure US10372038-20190806-C00001

Herein R1 is a hydroxyl, halogen, trifluoromethyl, nitro, carboxyl, C2-C12 straight, branched or cyclic acyl group, C2-C12 straight, branched or cyclic acyloxy group, C1-C12 straight, branched or cyclic alkoxy group, C2-C12 straight, branched or cyclic alkoxycarbonyl group, C1-C12 straight, branched or cyclic alkyl group which may contain oxo, C2-C12 straight, branched or cyclic alkenyl group which may contain oxo, C6-C20 aryl group, or C7-C12 aralkyl or aryloxyalkyl group, where a plurality of R1 groups are included, they may be identical or different, and m is an integer of 0 to 5. R2 is a group having the formula (2) or (3):
Figure US10372038-20190806-C00002

wherein R3 is a hydroxyl, halogen, trifluoromethyl, nitro, carboxyl, C2-C12 straight, branched or cyclic acyl group, C2-C12 straight, branched or cyclic acyloxy group, C1-C12 straight, branched or cyclic alkoxy group, C2-C12 straight, branched or cyclic alkoxycarbonyl group, C1-C12 straight, branched or cyclic alkyl group which may contain oxo, C2-C12 straight, branched or cyclic alkenyl group which may contain oxo, C6-C20 aryl group, or C7-C12 aralkyl or aryloxyalkyl group, where a plurality of R3 groups are included, they may be identical or different, R4 is hydrogen, C1-C6 straight, branched or cyclic alkyl group or phenyl group, and n is an integer of 0 to 5.
In a preferred embodiment, the acid generator is capable of generating sulfonic acid, imidic acid or methide acid.
The resist composition may further comprise a base polymer.
In a preferred embodiment, the base polymer comprises recurring units of at least one type selected from recurring units having the formulae (f1) to (f3).
Figure US10372038-20190806-C00003

Herein RA is each independently hydrogen or methyl. Z1 is a single bond, phenylene, —O—Z11, or —C(═O)—Z12—Z11—, wherein Z11 is a C1-C6 straight, branched or cyclic alkylene group or C2-C6 straight, branched or cyclic alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene group, Z12 is —O— or —NH—. R51, R52, R53, R54, R55, R56, R57, and R58 are each independently a C1-C12 straight, branched or cyclic alkyl group which may contain a carbonyl, ester or ether moiety, or a C6-C12 aryl, C7-C20 aralkyl, or mercaptophenyl group. Z2 is a single bond, —Z21—C(═O)—O—, —Z21—O—, or —Z21—O—C(═O)—, wherein Z21 is a C1-C12 straight, branched or cyclic alkylene group which may contain a carbonyl, ester or ether moiety. Z3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—Z31—, or —C(═O)—Z32—Z31—, wherein Z31 is a C1-C6 straight, branched or cyclic alkylene group or C2-C6 straight, branched or cyclic alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or a phenylene, fluorinated phenylene or trifluoromethyl-substituted phenylene group, Z32 is —O— or —NH—. A1 is hydrogen or trifluoromethyl. M is a non-nucleophilic counter ion.
In a preferred embodiment, the acid generator also functions as a base polymer. In this base, the acid generator is typically a polymer comprising recurring units of at least one type selected from recurring units having the formulae (f1) to (f3) defined above.
In a preferred embodiment, the base polymer comprises recurring units having the formula (a1) or recurring units having the formula (a2).
Figure US10372038-20190806-C00004

Herein RA is each independently hydrogen or methyl, R11 and R12 are each independently an acid labile group, X1 is a single bond, phenylene, naphthylene, or a C1-C12 linking group containing ester moiety or lactone ring, and X2 is a single bond or ester group.
In one preferred embodiment, the resist composition is a chemically amplified positive resist composition.
In another preferred embodiment, the base polymer is an acid labile group-free polymer, and the resist composition is a chemically amplified negative resist composition.
The resist composition may further comprise an organic solvent and/or a surfactant.
In another aspect, the invention provides a pattern forming process comprising the steps of coating the resist composition defined above onto a substrate, baking, exposing the resulting resist film to high-energy radiation, and developing the resist film with a developer.
In a preferred embodiment, the high-energy radiation is ArF excimer laser of wavelength 193 nm, KrF excimer laser of wavelength 248 nm, EB, or EUV of wavelength 3 to 15 nm.
Advantageous Effects of Invention
Since an iodonium iodide contains at least two iodine atoms featuring substantial light absorption, a resist film containing the iodonium iodide as a quencher exhibits a sensitizing effect due to secondary electrons released therefrom upon exposure. In addition, the iodine-containing resist film exerts an acid diffusion suppressing effect and a high dissolution contrast. Thus the resist film exhibits high resolution, high sensitivity, minimal LWR, and improved CDU as a positive or negative resist film subject to alkaline development or as a negative resist film subject to organic solvent development.
DESCRIPTION OF EMBODIMENTS
As used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. The notation (Cn-Cm) means a group containing from n to m carbon atoms per group. Me stands for methyl, Ac for acetyl, and Ph for phenyl.
The abbreviations and acronyms have the following meaning.
EB: electron beam
EUV: extreme ultraviolet
Mw: weight average molecular weight
Mn: number average molecular weight
Mw/Mn: molecular weight distribution or dispersity
GPC: gel permeation chromatography
PEB: post-exposure bake
PAG: photoacid generator
LWR: line width roughness
CDU: critical dimension uniformity
Chemically Amplified Resist Composition
The chemically amplified resist composition of the invention is defined as comprising a quencher containing an iodonium iodide, and an acid generator. The iodonium iodide undergoes ion exchange with an acid generated from an acid generator to form an iodonium salt and release hydriodic acid. The iodonium iodide has an acid trapping ability and an acid diffusion suppressing effect. The iodonium iodide is photosensitive. The contrast is improved by the mechanism that in the exposed region, the concentration of iodonium iodide lowers, and the concentration of acid generated from the acid generator increases. On the other hand, the concentration of iodonium iodide is maintained high in the unexposed region, suppressing acid diffusion from the exposed region to the unexposed region.
The acid diffusion suppressing effect and contrast enhancing effect of the iodonium iodide are valid in both the positive or negative pattern formation by alkaline development and the negative pattern formation by organic solvent development.
Quencher
The quencher in the chemically amplified resist composition contains an iodonium iodide. The iodonium iodide is a salt of an iodonium cation with an iodide ion. The iodonium cation preferably has at least one aromatic ring. The preferred iodonium iodide has the formula (1).
Figure US10372038-20190806-C00005
In formula (1), R1 is a hydroxyl group, halogen atom, trifluoromethyl group, nitro group, carboxyl group, C2-C12 straight, branched or cyclic acyl group, C2-C12 straight, branched or cyclic acyloxy group, C1-C12 straight, branched or cyclic alkoxy group, C2-C12 straight, branched or cyclic alkoxycarbonyl group, C1-C12 straight, branched or cyclic alkyl group which may contain oxo, C2-C12 straight, branched or cyclic alkenyl group which may contain oxo, C6-C20 aryl group, or C7-C12 aralkyl or aryloxyalkyl group. Where a plurality of R1 groups are included, they may be identical or different. The subscript m is an integer of 0 to 5.
In formula (1), R2 is a group having the formula (2) or (3).
Figure US10372038-20190806-C00006
In formula (2), R3 is a hydroxyl group, halogen atom, trifluoromethyl group, nitro group, carboxyl group, C2-C12 straight, branched or cyclic acyl group, C2-C12 straight, branched or cyclic acyloxy group, C1-C12 straight, branched or cyclic alkoxy group, C2-C12 straight, branched or cyclic alkoxycarbonyl group, C1-C12 straight, branched or cyclic alkyl group which may contain oxo, C2-C12 straight, branched or cyclic alkenyl group which may contain oxo, C6-C20 aryl group, or C7-C12 aralkyl or aryloxyalkyl group. Where a plurality of R3 groups are included, they may be identical or different. The subscript n is an integer of 0 to 5.
In formula (3), R4 is hydrogen, a C1-C6 straight, branched or cyclic alkyl group or phenyl group.
Examples of the cation moiety in the iodonium iodide of formula (1) are given below, but not limited thereto.
Figure US10372038-20190806-C00007
Figure US10372038-20190806-C00008
Figure US10372038-20190806-C00009
Figure US10372038-20190806-C00010
Figure US10372038-20190806-C00011
Figure US10372038-20190806-C00012
Since the iodonium iodide contains at least two iodine atoms in the molecule, it has substantial EUV absorption. Upon EUV exposure, it generates secondary electrons, followed by energy transfer to an acid generator, leading to sensitization. This establishes a high sensitivity and low acid diffusion, succeeding in improving both LWR or CDU and sensitivity.
The iodonium iodide may be synthesized, for example, by ion exchange of a hydrochloride, carbonate or sulfate salt of iodonium with potassium iodide.
From the standpoints of sensitivity and acid diffusion suppressing effect, the iodonium iodide is preferably present in the resist composition in an amount of 0.001 to 50 parts, more preferably 0.01 to 20 parts by weight per 100 parts by weight of the base polymer to be described below.
The quencher may contain a quencher other than the iodonium iodide. The other quencher is typically selected from conventional basic compounds. Conventional basic compounds include primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds with carboxyl group, nitrogen-containing compounds with sulfonyl group, nitrogen-containing compounds with hydroxyl group, nitrogen-containing compounds with hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide derivatives, imide derivatives, and carbamate derivatives. Also included are primary, secondary, and tertiary amine compounds, specifically amine compounds having a hydroxyl, ether, ester, lactone ring, cyano, or sulfonic acid ester group as described in JP-A 2008-111103, paragraphs [0146]-[0164], and compounds having a carbamate group as described in JP 3790649. Addition of a basic compound may be effective for further suppressing the diffusion rate of acid in the resist film or correcting the pattern profile.
Quenchers of polymer type as described in U.S. Pat. No. 7,598,016 (JP-A 2008-239918) are also useful as the other quencher. The polymeric quencher segregates at the resist surface after coating and thus enhances the rectangularity of resist pattern. When a protective film is applied as is often the case in the immersion lithography, the polymeric quencher is also effective for preventing a film thickness loss of resist pattern or rounding of pattern top.
Also, an ammonium salt, sulfonium salt or iodonium salt may be added as the other quencher. Suitable ammonium salts, sulfonium salts and iodonium salts added as the other quencher are salts with carboxylic acid, sulfonic acid, sulfonimide and saccharin. The carboxylic acid used herein may or may not be fluorinated at α-position.
The other quencher is preferably added in an amount of 0 to 5 parts, more preferably 0 to 4 parts by weight per 100 parts by weight of the base polymer.
Acid Generator
The chemically amplified resist composition contains an acid generator. The acid generator used herein may be either an acid generator of addition type which is different from the iodonium iodide and components to be described later, or an acid generator of polymer type which also functions as a base polymer, that is, an acid generator-and-base polymer component.
The acid generator of addition type is typically a compound (PAG) capable of generating an acid upon exposure to actinic ray or radiation. Although the PAG used herein may be any compound capable of generating an acid upon exposure to high-energy radiation, those compounds capable of generating sulfonic acid, sulfonimide or sulfonmethide are preferred. Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators. Exemplary PAGs are described in JP-A 2008-111103, paragraphs [0122]-[0142] (U.S. Pat. No. 7,537,880).
As the PAG, compounds having the formula (A) are also preferably used.
Figure US10372038-20190806-C00013
In formula (A), R101, R102 and R103 are each independently a C1-C20 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom, any two of R101, R102 and R103 may bond together to form a ring with the sulfur atom to which they are attached.
In formula (A), X is an anion selected from the formulae (A1) to (A4).
Figure US10372038-20190806-C00014
In formula (A1), Rfa is fluorine or a C1-C40 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom.
Of the anions of formula (A1), a structure having formula (A1′) is preferred.
Figure US10372038-20190806-C00015
In formula (A1′), R104 is hydrogen or trifluoromethyl, preferably trifluoromethyl. R105 is a C1-C38 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom. Suitable heteroatoms include oxygen, nitrogen, sulfur and halogen, with oxygen being preferred. Of the monovalent hydrocarbon groups, those of 6 to 30 carbon atoms are preferred because a high resolution is available in fine pattern formation. Suitable monovalent hydrocarbon groups include methyl, ethyl, propyl, isopropyl, butyl, isobutyl, s-butyl, t-butyl, pentyl, neopentyl, cyclopentyl, hexyl, cyclohexyl, 3-cyclohexenyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl, 1-adamantyl, 2-adamantyl, 1-adamantylmethyl, norbomyl, norbomylmethyl, tricyclodecanyl, tetracyclododecanyl, tetracyclododecanylmethyl, dicyclohexylmethyl, icosanyl, allyl, benzyl, diphenylmethyl, tetrahydrofuryl, methoxymethyl, ethoxymethyl, methylthiomethyl, acetamidomethyl, trifluoroethyl, (2-methoxyethoxy)methyl, acetoxymethyl, 2-carboxy-1-cyclohexyl, 2-oxopropyl, 4-oxo-1-adamantyl, and 3-oxocyclohexyl. Also included are the foregoing groups in which at least one hydrogen is replaced by a radical containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or in which at least one carbon atom is replaced by a radical containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl, cyano, carbonyl, ether, ester, sulfonic acid ester, carbonate, lactone ring, sultone ring, carboxylic acid anhydride or haloalkyl radical.
With respect to the synthesis of the sulfonium salt having an anion of formula (A1′), reference is made to JP-A 2007-145797, JP-A 2008-106045, JP-A 2009-007327, and JP-A 2009-258695. Also useful are the sulfonium salts described in JP-A 2010-215608, JP-A 2012-041320, JP-A 2012-106986, and JP-A 2012-153644.
Examples of the sulfonium salt having an anion of formula (A1) are shown below, but not limited thereto.
Figure US10372038-20190806-C00016
Figure US10372038-20190806-C00017
Figure US10372038-20190806-C00018
Figure US10372038-20190806-C00019
Figure US10372038-20190806-C00020
Figure US10372038-20190806-C00021
In formula (A2), Rfb1 and Rfb2 are each independently fluorine or a C1-C40 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom. Suitable monovalent hydrocarbon groups are as exemplified above for R105. Preferably Rfb1 and Rfb2 each are fluorine or a straight C1-C4 fluorinated alkyl group. A pair of Rfb1 and Rfb2 may bond together to form a ring with the linkage (—CF2—SO2—N—SO2—CF2—) to which they are attached, and preferably the pair is a fluorinated ethylene or fluorinated propylene group to form a ring structure.
In formula (A3), Rfc1, Rfc2 and Rfc3 are each independently fluorine or a C1-C40 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom.
Suitable monovalent hydrocarbon groups are as exemplified above for R105. Preferably Rfc1, Rfc2 and Rfc3 each are fluorine or a straight C1-C4 fluorinated alkyl group. A pair of Rfc1 and Rfc2 may bond together to form a ring with the linkage (—CF2—SO2—C—SO2—CF2—) to which they are attached, and preferably the pair is a fluorinated ethylene or fluorinated propylene group to form a ring structure.
In formula (A4), Rfd is a C1-C40 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom. Suitable monovalent hydrocarbon groups are as exemplified above for R105.
With respect to the synthesis of the sulfonium salt having an anion of formula (A4), reference is made to JP-A 2010-215608 and JP-A 2014-133723.
Examples of the sulfonium salt having an anion of formula (A4) are shown below, but not limited thereto.
Figure US10372038-20190806-C00022
Figure US10372038-20190806-C00023
The compound having the anion of formula (A4) has a sufficient acid strength to cleave acid labile groups in the base polymer because it is free of fluorine at α-position of sulfo group, but has two trifluoromethyl groups at β-position. Thus the compound is a useful PAG.
Also compounds having the formula (B) are useful as the PAG.
Figure US10372038-20190806-C00024
In formula (B), R201 and R202 are each independently a C1-C30 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom. R203 is a C1-C30 straight, branched or cyclic divalent hydrocarbon group which may contain a heteroatom. Any two of R201, R202 and R203 may bond together to form a ring with the sulfur atom to which they are attached. LA is a single bond, ether group or a straight, branched or cyclic C1-C20 divalent hydrocarbon group which may contain a heteroatom. XA, XB, XC and XD are each independently hydrogen, fluorine or trifluoromethyl, with the proviso that at least one of XA, XB, XC and XD is fluorine or trifluoromethyl, and k is an integer of 0 to 3.
Suitable monovalent hydrocarbon groups include methyl, ethyl, propyl, isopropyl, n-butyl, s-butyl, t-butyl, n-pentyl, t-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbomyl, oxanorbomyl, tricyclo[5.2.1.02,6]decanyl, adamantyl, phenyl, naphthyl and anthracenyl. Also included are the foregoing groups in which at least one hydrogen is replaced by a radical containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or in which at least one carbon is replaced by a radical containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl, cyano, carbonyl, ether, ester, sulfonic acid ester, carbonate, lactone ring, sultone ring, carboxylic acid anhydride or haloalkyl radical.
Suitable divalent hydrocarbon groups include linear alkane diyl groups such as methylene, ethylene, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, dodecane-1,12-diyl, tridecane-1,13-diyl, tetradecane-1,14-diyl, pentadecane-1,15-diyl, hexadecane-1,16-diyl, heptadecane-1,17-diyl; saturated cyclic divalent hydrocarbon groups such as cyclopentanediyl, cyclohexanediyl, norbomanediyl, and adamantanediyl; and unsaturated cyclic divalent hydrocarbon groups such as phenylene and naphthylene. Also included are the foregoing groups in which at least one hydrogen atom is replaced by an alkyl group such as methyl, ethyl, propyl, n-butyl or t-butyl, or in which at least one hydrogen atom is replaced by a radical containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or in which at least one carbon atom is replaced by a radical containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl, cyano, carbonyl, ether, ester, sulfonic acid ester, carbonate, lactone ring, sultone ring, carboxylic acid anhydride or haloalkyl radical. Suitable heteroatoms include oxygen, nitrogen, sulfur and halogen, with oxygen being preferred.
Of the PAGs having formula (B), those having formula (B′) are preferred.
Figure US10372038-20190806-C00025
In formula (B′), LA is as defined above. R is hydrogen or trifluoromethyl, preferably trifluoromethyl. R301, R302 and R303 are each independently hydrogen or a C1-C20 straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom. Suitable monovalent hydrocarbon groups are as exemplified above for R105. The subscripts x and y each are an integer of 0 to 5, and z is an integer of 0 to 4.
Examples of the PAG having formula (B) are shown below, but not limited thereto. Herein R is as defined above.
Figure US10372038-20190806-C00026
Figure US10372038-20190806-C00027
Figure US10372038-20190806-C00028
Figure US10372038-20190806-C00029
Figure US10372038-20190806-C00030
Figure US10372038-20190806-C00031
Figure US10372038-20190806-C00032
Of the foregoing PAGs, those compounds having an anion of formula (A1′) or (A4) are especially preferred because of reduced acid diffusion and high solubility in resist solvent, and those compounds having an anion of formula (B′) are especially preferred because of minimized acid diffusion.
An appropriate amount of the acid generator of addition type is 0.1 to 50 parts, more preferably 1 to 40 parts by weight per 100 parts by weight of the base polymer.
In case the acid generator is an acid generator-and-base polymer, this acid generator is a polymer, preferably comprising recurring units derived from a compound capable of generating an acid in response to actinic light or radiation. In this case, the acid generator is preferably a base polymer to be described below, specifically comprising recurring units (f) as essential unit.
Base Polymer
In the case of a positive resist composition, the base polymer in the resist composition is a polymer comprising acid labile group-containing recurring units. The acid labile group-containing recurring units are preferably recurring units having the formula (a1) or recurring units having the formula (a2). Sometimes these recurring units are simply referred to as recurring units (a1) and (a2).
Figure US10372038-20190806-C00033
Herein RA is each independently hydrogen or methyl. R11 and R12 are each independently an acid labile group. X1 is a single bond, phenylene, naphthylene, or a C1-C12 linking group containing ester moiety or lactone ring. X2 is a single bond or ester group.
Examples of the recurring units (a1) are shown below, but not limited thereto. Herein RA and R11 are as defined above.
Figure US10372038-20190806-C00034
Figure US10372038-20190806-C00035
Figure US10372038-20190806-C00036
The acid labile groups represented by R11 and R12 in the recurring units (a1) and (a2) may be selected from a variety of such groups, for example, those groups described in JP-A 2013-080033 (U.S. Pat. No. 8,574,817) and JP-A 2013-083821 (U.S. Pat. No. 8,846,303).
Typical of the acid labile group are groups of the following formulae (AL-1) to (AL-3).
Figure US10372038-20190806-C00037
In formulae (AL-1) and (AL-2), R13 and R16 are each independently a monovalent hydrocarbon group of 1 to 40 carbon atoms, preferably 1 to 20 carbon atoms, typically straight, branched or cyclic alkyl, which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. R14 and R15 are each independently hydrogen or a monovalent hydrocarbon group of 1 to 20 carbon atoms, typically straight, branched or cyclic alkyl, which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. The subscript “a” is an integer of 0 to 10, especially 1 to 5. A pair of R14 and R15, R14 and R16, or R15 and R16 may bond together to form a ring, typically alicyclic, with the carbon atom or carbon and oxygen atoms to which they are attached, the ring containing 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms.
In formula (AL-3), R17, R18 and R19 are each independently a monovalent hydrocarbon group of 1 to 20 carbon atoms, typically straight, branched or cyclic alkyl, which may contain a heteroatom such as oxygen, sulfur, nitrogen or fluorine. A pair of R17 and R18, R17 and R19, or R18 and R19 may bond together to form a ring, typically alicyclic, with the carbon atom to which they are attached, the ring containing 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms.
The base polymer may further comprise recurring units (b) having a phenolic hydroxyl group as an adhesive group. Examples of suitable monomers from which recurring units (b) are derived are given below, but not limited thereto. Herein RA is as defined above.
Figure US10372038-20190806-C00038
Figure US10372038-20190806-C00039
Figure US10372038-20190806-C00040
Further, recurring units (c) having another adhesive group selected from hydroxyl (other than the foregoing phenolic hydroxyl), lactone ring, ether, ester, carbonyl and cyano groups may also be incorporated in the base polymer. Examples of suitable monomers from which recurring units (c) are derived are given below, but not limited thereto. Herein RA is as defined above.
Figure US10372038-20190806-C00041
Figure US10372038-20190806-C00042
Figure US10372038-20190806-C00043
Figure US10372038-20190806-C00044
Figure US10372038-20190806-C00045
Figure US10372038-20190806-C00046
Figure US10372038-20190806-C00047
Figure US10372038-20190806-C00048
Figure US10372038-20190806-C00049
Figure US10372038-20190806-C00050
Figure US10372038-20190806-C00051
Figure US10372038-20190806-C00052
Figure US10372038-20190806-C00053
Figure US10372038-20190806-C00054
Figure US10372038-20190806-C00055
Figure US10372038-20190806-C00056
Figure US10372038-20190806-C00057
Figure US10372038-20190806-C00058
Figure US10372038-20190806-C00059
In the case of a monomer having a hydroxyl group, the hydroxyl group may be replaced by an acetal group susceptible to deprotection with acid, typically ethoxyethoxy, prior to polymerization, and the polymerization be followed by deprotection with weak acid and water. Alternatively, the hydroxyl group may be replaced by an acetyl, formyl, pivaloyl or similar group prior to polymerization, and the polymerization be followed by alkaline hydrolysis.
In another preferred embodiment, the base polymer may further comprise recurring units (d) selected from units of indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, and norbornadiene, or derivatives thereof. Suitable monomers are exemplified below.
Figure US10372038-20190806-C00060
Figure US10372038-20190806-C00061
Besides the recurring units described above, further recurring units (e) which are derived from styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, methyleneindene, vinylpyridine, and vinylcarbazole may be incorporated in the base polymer.
In a further embodiment, recurring units (f) derived from an onium salt having a polymerizable carbon-carbon double bond may be incorporated in the base polymer. JP-A 2005-084365 discloses sulfonium and iodonium salts having a polymerizable carbon-carbon double bond capable of generating a sulfonic acid. JP-A 2006-178317 discloses a sulfonium salt having sulfonic acid directly attached to the main chain.
The preferred recurring units (f) include recurring units having formula (f1), recurring units having formula (f2), and recurring units having formula (f3). These units are simply referred to as recurring units (f1), (f2) and (f3), which may be used alone or in combination of two or more types.
Figure US10372038-20190806-C00062
Herein RA is each independently hydrogen or methyl. Z1 is a single bond, phenylene, —O—Z11—, or —C(═O)—Z12—Z11—, wherein Z11 is a straight, branched or cyclic C1-C6 alkylene group or straight, branched or cyclic C2-C6 alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene group, Z12 is —O— or —NH—. R51, R52, R53, R54, R55, R56, R57, and R58 are each independently a straight, branched or cyclic C1-C12 alkyl group which may contain a carbonyl, ester or ether moiety, or a C6-C12 aryl, C7-C20 aralkyl, or mercaptophenyl group. Z2 is a single bond, —Z21—C(═O)—O—, —Z21—O—, or —Z21—O—C(═O)—, wherein Z21 is a straight, branched or cyclic C1-C12 alkylene group which may contain a carbonyl, ester or ether moiety. Z3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—Z31—, or —C(═O)—Z32—Z31-, wherein Z31 is a straight, branched or cyclic C1-C6 alkylene group or straight, branched or cyclic C2-C6 alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or a phenylene, fluorinated phenylene or trifluoromethyl-substituted phenylene group, Z32 is —O— or —NH—. A1 is hydrogen or trifluoromethyl. M is a non-nucleophilic counter ion.
Examples of the monomer from which recurring unit (f1) is derived are shown below, but not limited thereto. RA and M are as defined above.
Figure US10372038-20190806-C00063
Figure US10372038-20190806-C00064
Figure US10372038-20190806-C00065
Figure US10372038-20190806-C00066
Examples of the non-nucleophilic counter ion M include halide ions such as chloride and bromide ions; fluoroalkylsulfonate ions such as triflate, 1,1,1-trifluoroethanesulfonate, and nonafluorobutanesulfonate ions; arylsulfonate ions such as tosylate, benzenesulfonate, 4-fluorobenzenesulfonate, and 1,2,3,4,5-pentafluorobenzenesulfonate ions; alkylsulfonate ions such as mesylate and butanesulfonate ions; sulfonimide ions such as bis(trifluoromethylsulfonyl)imide, bis(perfluoroethylsulfonyl)imide and bis(perfluorobutylsulfonyl)imide ions; sulfonmethide ions such as tris(trifluoromethylsulfonyl)methide and tris(perfluoroethylsulfonyl)methide ions.
Also included are sulfonates having fluorine substituted at α-position as represented by the formula (K-1) and sulfonates having fluorine substituted at α- and β-positions as represented by the formula (K-2).
Figure US10372038-20190806-C00067
In formula (K-1), R61 is hydrogen, or a C1-C20 straight, branched or cyclic alkyl group, C2-C20 straight, branched or cyclic alkenyl group, or C6-C20 aryl group, which may contain an ether, ester, carbonyl moiety, lactone ring, or fluorine atom. In formula (K-2), R62 is hydrogen, or a C1-C30 straight, branched or cyclic alkyl group, C2-C30 straight, branched or cyclic acyl group, C2-C20 straight, branched or cyclic alkenyl group, C6-C20 aryl group or C6-C20 aryloxy group, which may contain an ether, ester, carbonyl moiety or lactone ring.
Examples of the monomer from which recurring unit (f2) is derived are shown below, but not limited thereto. RA is as defined above.
Figure US10372038-20190806-C00068
Figure US10372038-20190806-C00069
Figure US10372038-20190806-C00070
Figure US10372038-20190806-C00071
Figure US10372038-20190806-C00072
Figure US10372038-20190806-C00073
Figure US10372038-20190806-C00074
Figure US10372038-20190806-C00075
Figure US10372038-20190806-C00076
Figure US10372038-20190806-C00077
Examples of the monomer from which recurring unit (f3) is derived are shown below, but not limited thereto. RA is as defined above.
Figure US10372038-20190806-C00078
Figure US10372038-20190806-C00079
Figure US10372038-20190806-C00080
Figure US10372038-20190806-C00081
Figure US10372038-20190806-C00082
The attachment of an acid generator to the polymer main chain is effective in restraining acid diffusion, thereby preventing a reduction of resolution due to blur by acid diffusion. Also edge roughness is improved since the acid generator is uniformly distributed.
The base polymer comprising recurring units (f) also functions as the acid generator. Since this base polymer is integrated with the acid generator, an acid generator of addition type may or may not be added to the chemically amplified resist composition.
The base polymer for formulating the positive resist composition comprises recurring units (a1) or (a2) having an acid labile group as essential component and additional recurring units (b), (c), (d), (e), and (f) as optional components. A fraction of units (a1), (a2), (b), (c), (d), (e), and (f) is: preferably 0≤a1<1.0, 0≤a2<1.0, 0<a1+a2<1.0, 0≤b≤0.9, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, and 0≤f≤0.5; more preferably 0≤a1≤0.9, 0≤a2≤0.9, 0.1≤a1+a2≤0.9, 0≤b≤0.8, 0≤c≤0.8, 0≤d≤0.7, 0≤e<0.7, and 0≤f≤0.4; and even more preferably 0≤a1≤0.8, 0≤a2≤0.8, 0.1≤a1+a2≤0.8, 0≤b≤0.75, 0≤c≤0.75, 0≤d≤0.6, 0≤e≤0.6, and 0≤f≤0.3. In the embodiment wherein the base polymer also functions as an acid generator, the fraction of recurring units (f) is preferably 0<f≤0.5, more preferably 0.01≤f≤0.4, and even more preferably 0.02≤f≤0.3. Notably, f=f1+f2+f3, meaning that unit (f) is at least one of units (f1) to (f3), and a1+a2+b+c+d+e+f=1.0.
For the base polymer for formulating the negative resist composition, an acid labile group is not necessarily essential. The base polymer comprises recurring units (b), and optionally recurring units (c), (d), (e), and/or (f). A fraction of these units is: preferably 0<b≤1.0, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, and 0≤f≤0.5; more preferably 0.2≤b≤1.0, 0≤c≤0.8, 0≤d≤0.7, 0≤e≤0.7, and 0≤f≤0.4; and even more preferably 0.3≤b≤1.0, 0≤c≤0.75, 0≤d≤0.6, 0≤e≤0.6, and 0≤f≤0.3. In the embodiment wherein the base polymer also functions as an acid generator, the fraction of recurring units (f) is preferably 0<f≤0.5, more preferably 0.01≤f≤0.4, and even more preferably 0.02≤f≤0.3. Notably, f=f1+f2+f3, meaning that unit (f) is at least one of units (f1) to (f3), and b+c+d+e+f=1.0.
The base polymer may be synthesized by any desired methods, for example, by dissolving one or more monomers selected from the monomers corresponding to the foregoing recurring units in an organic solvent, adding a radical polymerization initiator thereto, and effecting heat polymerization. Examples of the organic solvent which can be used for polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, and dioxane. Examples of the polymerization initiator used herein include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, and lauroyl peroxide. Preferably the system is heated at 50 to 80° C. for polymerization to take place. The reaction time is preferably 2 to 100 hours, more preferably 5 to 20 hours.
When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, an alternative method is possible. Specifically, acetoxystyrene or acetoxyvinylnaphthalene is used instead of hydroxystyrene or hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by alkaline hydrolysis, for thereby converting the polymer product to hydroxystyrene or hydroxyvinylnaphthalene. For alkaline hydrolysis, a base such as aqueous ammonia or triethylamine may be used. Preferably the reaction temperature is −20° C. to 100° C., more preferably 0° C. to 60° C., and the reaction time is 0.2 to 100 hours, more preferably 0.5 to 20 hours.
The base polymer should preferably have a weight average molecular weight (Mw) in the range of 1,000 to 500,000, and more preferably 2,000 to 30,000, as measured by GPC versus polystyrene standards using tetrahydrofuran (THF) solvent. With too low a Mw, the resist composition may become less heat resistant. A polymer with too high a Mw may lose alkaline solubility and give rise to a footing phenomenon after pattern formation.
If a base polymer has a wide molecular weight distribution or dispersity (Mw/Mn), which indicates the presence of lower and higher molecular weight polymer fractions, there is a possibility that foreign matter is left on the pattern or the pattern profile is degraded.
The influences of molecular weight and dispersity become stronger as the pattern rule becomes finer. Therefore, the base polymer should preferably have a narrow dispersity (Mw/Mn) of 1.0 to 2.0, especially 1.0 to 1.5, in order to provide a resist composition suitable for micropatterning to a small feature size.
It is understood that a blend of two or more polymers which differ in compositional ratio, Mw or Mw/Mn is acceptable.
Other Components
With the foregoing components, other components such as an organic solvent, surfactant, dissolution inhibitor, and crosslinker may be blended in any desired combination to formulate a chemically amplified positive or negative resist composition. This positive or negative resist composition has a very high sensitivity in that the dissolution rate in developer of the base polymer in exposed areas is accelerated by catalytic reaction. In addition, the resist film has a high dissolution contrast, resolution, exposure latitude, and process adaptability, and provides a good pattern profile after exposure, and minimal proximity bias because of restrained acid diffusion. By virtue of these advantages, the composition is fully useful in commercial application and suited as a pattern-forming material for the fabrication of VLSIs. Particularly when an acid generator is incorporated to formulate a chemically amplified positive resist composition capable of utilizing acid catalyzed reaction, the composition has a higher sensitivity and is further improved in the properties described above.
In the case of positive resist compositions, inclusion of a dissolution inhibitor may lead to an increased difference in dissolution rate between exposed and unexposed areas and a further improvement in resolution. In the case of negative resist compositions, a negative pattern may be formed by adding a crosslinker to reduce the dissolution rate of exposed area.
Examples of the organic solvent used herein are described in JP-A 2008-111103, paragraphs [0144]-[0145] (U.S. Pat. No. 7,537,880). Exemplary solvents include ketones such as cyclohexanone, cyclopentanone and methyl-2-n-pentyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2-propanol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, and propylene glycol mono-t-butyl ether acetate; and lactones such as γ-butyrolactone, which may be used alone or in admixture.
The organic solvent is preferably added in an amount of 100 to 10,000 parts, and more preferably 200 to 8,000 parts by weight per 100 parts by weight of the base polymer.
Exemplary surfactants are described in JP-A 2008-111103, paragraphs [0165]-[0166]. Inclusion of a surfactant may improve or control the coating characteristics of the resist composition. The surfactant is preferably added in an amount of 0.0001 to 10 parts by weight per 100 parts by weight of the base polymer.
The dissolution inhibitor which can be used herein is a compound having at least two phenolic hydroxyl groups on the molecule, in which an average of from 0 to 100 mol % of all the hydrogen atoms on the phenolic hydroxyl groups are replaced by acid labile groups or a compound having at least one carboxyl group on the molecule, in which an average of 50 to 100 mol % of all the hydrogen atoms on the carboxyl groups are replaced by acid labile groups, both the compounds having a molecular weight of 100 to 1,000, and preferably 150 to 800. Typical are bisphenol A, trisphenol, phenolphthalein, cresol novolac, naphthalenecarboxylic acid, adamantanecarboxylic acid, and cholic acid derivatives in which the hydrogen atom on the hydroxyl or carboxyl group is replaced by an acid labile group, as described in U.S. Pat. No. 7,771,914 (JP-A 2008-122932, paragraphs [0155]-[0178]).
In the positive resist composition, the dissolution inhibitor is preferably added in an amount of 0 to 50 parts, more preferably 5 to 40 parts by weight per 100 parts by weight of the base polymer.
Suitable crosslinkers which can be used herein include epoxy compounds, melamine compounds, guanamine compounds, glycoluril compounds and urea compounds having substituted thereon at least one group selected from among methylol, alkoxymethyl and acyloxymethyl groups, isocyanate compounds, azide compounds, and compounds having a double bond such as an alkenyl ether group. These compounds may be used as an additive or introduced into a polymer side chain as a pendant. Hydroxy-containing compounds may also be used as the crosslinker.
Of the foregoing crosslinkers, examples of suitable epoxy compounds include tris(2,3-epoxypropyl) isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, and triethylolethane triglycidyl ether. Examples of the melamine compound include hexamethylol melamine, hexamethoxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups methoxymethylated and mixtures thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups acyloxymethylated and mixtures thereof. Examples of the guanamine compound include tetramethylol guanamine, tetramethoxymethyl guanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethoxyethyl guanamine, tetraacyloxyguanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof. Examples of the glycoluril compound include tetramethylol glycoluril, tetramethoxyglycoluril, tetramethoxymethyl glycoluril, tetramethylol glycoluril compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethylol glycoluril compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof. Examples of the urea compound include tetramethylol urea, tetramethoxymethyl urea, tetramethylol urea compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, and tetramethoxyethyl urea.
Suitable isocyanate compounds include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate and cyclohexane diisocyanate. Suitable azide compounds include 1,1′-biphenyl-4,4′-bisazide, 4,4′-methylidenebisazide, and 4,4′-oxybisazide. Examples of the alkenyl ether group-containing compound include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl glycol divinyl ether, trimethylol propane trivinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol pentavinyl ether, and trimethylol propane trivinyl ether.
In the negative resist composition, the crosslinker is preferably added in an amount of 0.1 to 50 parts, more preferably 1 to 40 parts by weight per 100 parts by weight of the base polymer.
To the resist composition, a polymeric additive (or water repellency improver) may also be added for improving the water repellency on surface of a resist film as spin coated. The water repellency improver may be used in the topcoatless immersion lithography. Suitable water repellency improvers include polymers having a fluoroalkyl group and polymers having a specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue and are described in JP-A 2007-297590 and JP-A 2008-111103, for example. The water repellency improver to be added to the resist composition should be soluble in the organic solvent as the developer. The water repellency improver of specific structure with a 1,1,1,3,3,3-hexafluoro-2-propanol residue is well soluble in the developer. A polymer having an amino group or amine salt copolymerized as recurring units may serve as the water repellent additive and is effective for preventing evaporation of acid during PEB, thus preventing any hole pattern opening failure after development. An appropriate amount of the water repellency improver is 0 to 20 parts, preferably 0.5 to 10 parts by weight per 100 parts by weight of the base polymer.
Also, an acetylene alcohol may be blended in the resist composition. Suitable acetylene alcohols are described in JP-A 2008-122932, paragraphs [0179]-[0182]. An appropriate amount of the acetylene alcohol blended is 0 to 5 parts by weight per 100 parts by weight of the base polymer.
Process
The resist composition is used in the fabrication of various integrated circuits. Pattern formation using the resist composition may be performed by well-known lithography processes. The process generally involves coating, prebaking, exposure, and development. If necessary, any additional steps such as PEB may be added.
For example, the chemically amplified resist composition is first applied onto a substrate on which an integrated circuit is to be formed (e.g., Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, or organic antireflective coating) or a substrate on which a mask circuit is to be formed (e.g., Cr, CrO, CrON, MoSi2, or SiO2) by a suitable coating technique such as spin coating, roll coating, flow coating, dipping, spraying or doctor coating. The coating is prebaked on a hotplate at a temperature of 60 to 150° C. for 10 seconds to 30 minutes, preferably 80 to 120° C. for 30 seconds to 20 minutes. The resulting resist film is generally 0.1 to 2 μm thick.
The resist film is then exposed to a desired pattern of high-energy radiation such as UV, deep-UV, EB, EUV, x-ray, soft x-ray, excimer laser light, γ-ray or synchrotron radiation, directly or through a mask. The exposure dose is preferably about 1 to 200 mJ/cm2, more preferably about 10 to 100 mJ/cm2, or about 0.1 to 100 μC/cm2, more preferably about 0.5 to 50 μC/cm2. The resist film is further baked (PEB) on a hotplate at 60 to 150° C. for 10 seconds to 30 minutes, preferably 80 to 120° C. for 30 seconds to 20 minutes.
Thereafter the resist film is developed with a developer in the form of an aqueous base solution for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes by conventional techniques such as dip, puddle and spray techniques. A typical developer is a 0.1 to 10 wt %, preferably 2 to 5 wt % aqueous solution of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), or tetrabutylammonium hydroxide (TBAH). The resist film in the exposed area is dissolved in the developer whereas the resist film in the unexposed area is not dissolved. In this way, the desired positive pattern is formed on the substrate. Inversely in the case of negative resist, the exposed area of resist film is insolubilized and the unexposed area is dissolved in the developer. It is appreciated that the resist composition of the invention is best suited for micro-patterning using such high-energy radiation as KrF and ArF excimer laser, EB, EUV, x-ray, soft x-ray, γ-ray and synchrotron radiation.
In an alternative embodiment, a negative pattern may be formed via organic solvent development. The developer used herein is preferably selected from among 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, and 2-phenylethyl acetate, and mixtures thereof.
At the end of development, the resist film is rinsed. As the rinsing liquid, a solvent which is miscible with the developer and does not dissolve the resist film is preferred.
Suitable solvents include alcohols of 3 to 10 carbon atoms, ether compounds of 8 to 12 carbon atoms, alkanes, alkenes, and alkynes of 6 to 12 carbon atoms, and aromatic solvents. Specifically, suitable alcohols of 3 to 10 carbon atoms include n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, t-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, t-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, and 1-octanol. Suitable ether compounds of 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-s-butyl ether, di-n-pentyl ether, diisopentyl ether, di-s-pentyl ether, di-t-pentyl ether, and di-n-hexyl ether. Suitable alkanes of 6 to 12 carbon atoms include hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, and cyclononane. Suitable alkenes of 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, and cyclooctene. Suitable alkynes of 6 to 12 carbon atoms include hexyne, heptyne, and octyne. Suitable aromatic solvents include toluene, xylene, ethylbenzene, isopropylbenzene, t-butylbenzene and mesitylene. The solvents may be used alone or in admixture.
Rinsing is effective for minimizing the risk of resist pattern collapse and defect formation. However, rinsing is not essential. If rinsing is omitted, the amount of solvent used may be reduced.
A hole or trench pattern after development may be shrunk by the thermal flow, RELACS® or DSA process. A hole pattern is shrunk by coating a shrink agent thereto, and baking such that the shrink agent may undergo crosslinking at the resist surface as a result of the acid catalyst diffusing from the resist layer during bake, and the shrink agent may attach to the sidewall of the hole pattern. The bake is preferably at a temperature of 70 to 180° C., more preferably 80 to 170° C., for a time of 10 to 300 seconds. The extra shrink agent is stripped and the hole pattern is shrunk.
EXAMPLE
Examples of the invention are given below by way of illustration and not by way of limitation. The abbreviation “pbw” is parts by weight.
Quenchers 1 to 8 in the form of an iodonium iodide having the following structure were used in resist compositions. Quenchers 1 to 8 were prepared by ion exchange of a hydrochloride salt having the following iodonium cation with potassium iodide.
Figure US10372038-20190806-C00083
Figure US10372038-20190806-C00084
Synthesis Example
Synthesis of Base Polymers (Polymers 1 to 3)
Base polymers were prepared by combining suitable monomers, effecting copolymerization reaction thereof in tetrahydrofuran (THF) solvent, pouring the reaction solution into methanol for crystallization, repeatedly washing with hexane, isolation, and drying. The resulting polymers, designated Polymers 1 to 3, were analyzed for composition by 1H-NMR spectroscopy, and for Mw and Mw/Mn by GPC versus polystyrene standards using THF solvent.
Figure US10372038-20190806-C00085
EXAMPLES AND COMPARATIVE EXAMPLES
Resist compositions were prepared by dissolving the polymer and selected components in a solvent in accordance with the recipe shown in Tables 1 and 2, and filtering through a filter having a pore size of 0.2 m. The solvent contained 100 ppm of surfactant FC-4430 (3M). The components in Tables 1 and 2 are as identified below.
Polymers 1 to 3: identified above
Organic solvents: PGMEA (propylene glycol monomethyl ether acetate)
    • CyH (cyclohexanone)
    • PGME (propylene glycol monomethyl ether)
      Acid generators: PAG 1 to PAG 4 of the following structural formulae
Figure US10372038-20190806-C00086

Comparative Quenchers 1 to 5:
Figure US10372038-20190806-C00087
EB Lithography Patterning Test Examples 1-1 to 1-12 and Comparative Examples 1-1 to 1-7
Each of the resist compositions (Tables 1 and 2) was spin coated onto a silicon substrate (which had been vapor primed with hexamethyldisilazane) and prebaked on a hotplate at 110° C. for 60 seconds to form a resist film of 80 nm thick. Using a system HL-800D (Hitachi Ltd.) at an accelerating voltage of 50 kV, the resist film was exposed imagewise to EB in a vacuum chamber. Immediately after the exposure, the resist film was baked (PEB) on a hotplate at the temperature shown in Tables 1 and 2 for 60 seconds and developed in a 2.38 wt % TMAH aqueous solution for 30 seconds to form a pattern.
The resist pattern was evaluated as follows. In the case of positive resist film, the resolution is a minimum trench size at the exposure dose that provides a resolution as designed of a 120-nm trench pattern. In the case of negative resist film, the resolution is a minimum isolated line size at the exposure dose that provides a resolution as designed of a 120-nm isolated line pattern. In the case of positive resist film, the sensitivity is the exposure dose that provides a resolution to the 120 nm trench pattern. In the case of negative resist film, the sensitivity is the exposure dose that provides a resolution to the 120 nm isolated line pattern. The 120-nm isolated line pattern was observed under CD-SEM (S-9200, Hitachi High-Technologies Corp.) to determine LWR. It is noted that Examples 1-1 to 1-11 and Comparative Examples 1-1 to 1-6 are positive resist compositions, and Example 1-12 and Comparative Example 1-7 are negative resist compositions.
The results are shown in Tables 1 and 2.
TABLE 1
Acid PEB
Polymer generator Quencher Organic solvent temp. Sensitivity LWR Resolution
(pbw) (pbw) (pbw) (pbw) (° C.) (μC/cm2) (mn) (mn)
Example 1-1 Polymer 1 Quencher 1 PGMEA (400) 80 32 3.8 75
(100) (4.10) CyH (2,000)
PGME (100)
1-2 Polymer 1 Quencher 2 PGMEA (400) 80 38 3.2 75
(100) (5.20) CyH (2,000)
PGME (100)
1-3 Polymer 1 Quencher 3 PGMEA (400) 80 31 3.0 75
(100) (4.30) CyH (2,000)
PGME (100)
1-4 Polymer 1 Quencher 4 PGMEA (400) 80 35 3.8 75
(100) (4.50) CyH (2,000)
PGME (100)
1-5 Polymer 1 Quencher 5 PGMEA (400) 80 37 4.1 75
(100) (3.60) CyH (2,000)
PGME (100)
1-6 Polymer 1 Quencher 6 PGMEA (400) 80 38 3.0 75
(100) (5.70) CyH (2,000)
PGME (100)
1-7 Polymer 1 Quencher 7 PGMEA (400) 80 35 2.8 75
(100) (5.80) CyH (2,000)
PGME (100)
1-8 Polymer 1 Quencher 8 PGMEA (400) 80 35 3.0 75
(100) (5.20) CyH (2,000)
PGME (100)
1-9 Polymer 1 PAG 1 Quencher 8 PGMEA (400) 80 25 3.3 75
(100) (15) (5.20) CyH (2,000)
PGME (100)
1-10 Polymer 1 PAG 3 Quencher 8 PGMEA (400) 80 21 3.1 75
(100) (18) (5.20) CyH (2,000)
PGME (100)
1-11 Polymer 2 PAG 2 Quencher 6 PGMEA (2,000) 90 36 4.8 80
(100) (10) (5.70) CyH (500)
1-12 Polymer 3 PAG 4 Quencher 6 PGMEA (2,000) 100 39 5.0 80
(100) (10) (5.70) CyH (500)
TABLE 2
Acid PEB
Polymer generator Quencher Organic solvent temp. Sensitivity LWR Resolution
(pbw) (pbw) (pbw) (pbw) (° C.) (μC/cm2) (nm) (nm)
Comparative 1-1 Polymer 1 Comparative PGMEA (400) 80 59 5.8 85
Example (100) Quencher 1 CyH (2,000)
(2.50) PGME (100)
1-2 Polymer 1 Comparative PGMEA (400) 80 57 5.7 85
(100) Quencher 2 CyH (2,000)
(4.42) PGME (100)
1-3 Polymer 1 Comparative PGMEA (400) 80 56 4.3 80
(100) Quencher 3 CyH (2,000)
(3.63) PGME (100)
1-4 Polymer 1 Comparative PGMEA (400) 80 57 4.6 80
(100) Quencher 4 CyH (2,000)
(3.23) PGME (100)
1-5 Polymer 1 Comparative PGMEA (400) 80 69 4.5 80
(100) Quencher 5 CyH (2,000)
(3.20) PGME (100)
1-6 Polymer 2 PAG 2 Comparative PGMEA (2,000) 90 44 7.6 85
(100) (10) Quencher 1 CyH (500)
(2.50)
1-7 Polymer 3 PAG 1 Comparative PGMEA (2,000) 100 60 8.4 90
(100) (10) Quencher 1 CyH (500)
(2.50)
EUV Lithography Test Examples 2-1 to 2-9 and Comparative Example 2-1
The resist composition in Table 3 was spin coated on a silicon substrate having a 20-nm coating of silicon-containing spin-on hard mask SHB-A940 (Shin-Etsu Chemical Co., Ltd., silicon content 43 wt %) and prebaked on a hotplate at 105° C. for 60 seconds to form a resist film of 60 nm thick. Using an EUV scanner NXE3300 (ASML, NA 0.33, σ 0.9/0.6, quadrupole illumination), the resist film was exposed to EUV through a mask bearing a hole pattern at a pitch 46 nm (on-wafer size) and +20% bias. The resist film was baked (PEB) on a hotplate at the temperature shown in Table 3 for 60 seconds and developed in a 2.38 wt % TMAH aqueous solution for 30 seconds to form a hole pattern having a size of 23 nm.
The resist pattern was evaluated using CD-SEM (CG-5000, Hitachi High-Technologies Corp.). The exposure dose that provides a hole pattern having a size of 23 nm is reported as sensitivity. The size of 50 holes was measured, from which a size variation (3σ) was computed and reported as CDU.
The resist composition is shown in Table 3 together with the sensitivity and CDU of EUV lithography.
TABLE 3
Acid PEB
Polymer generator Quencher Organic solvent temp. Sensitivity CDU
(pbw) (pbw) (pbw) (pbw) (° C.) (mJ/cm2) (mu)
Example 2-1 Polymer 1 Quencher 1 PGMEA (400) 80 26 3.0
(100) (4.10) CyH (2,000)
PGME (100)
2-2 Polymer 1 Quencher 2 PGMEA (400) 80 25 2.8
(100) (5.20) CyH (2,000)
PGME (100)
2-3 Polymer 1 Quencher 3 PGMEA (400) 80 25 2.7
(100) (4.30) CyH (2,000)
PGME (100)
2-4 Polymer 1 Quencher 4 PGMEA (400) 80 23
(100) (4.50) CyH (2,000) 2.7
PGME (100)
2-5 Polymer 1 Quencher 5 PGMEA (400) 80 22 2.4
(100) (3.60) CyH (2,000)
PGME (100)
2-6 Polymer 1 Quencher 6 PGMEA (400) 80 26 2.3
(100) (5.70) CyH (2,000)
PGME (100)
2-7 Polymer 1 Quencher 7 PGMEA (400) 80 27 2.5
(100) (5.80) CyH (2,000)
PGME (100)
2-8 Polymer 1 Quencher 8 PGMEA (400) 80 26 2.5
(100) (5.20) CyH (2,000)
PGME (100)
2-9 Polymer 1 PAG 3 Quencher 8 PGMEA (400) 80 21 2.5
(100) (10) (5.20) CyH (2,000)
PGME (100)
Comparative 2-1 Polymer 1 Comparative PGMEA (400) 80 38 3.5
Example (100) Quencher 1 CyH (2,000)
(2.50) PGME (100)
It is demonstrated in Tables 1 to 3 that resist compositions comprising iodonium iodide form patterns having a high sensitivity, satisfactory resolution, and reduced LWR or CDU.
Japanese Patent Application No. 2016-221648 is incorporated herein by reference.
Although some preferred embodiments have been described, many modifications and variations may be made thereto in light of the above teachings. It is therefore to be understood that the invention may be practiced otherwise than as specifically described without departing from the scope of the appended claims.

Claims (14)

The invention claimed is:
1. A chemically amplified resist composition comprising, a quencher containing an iodonium iodide, and an acid generator,
wherein the acid generator also functions as a base polymer, and
the acid generator is a polymer comprising recurring units of at least one type selected from recurring units having the formulae (f1) to (f3):
Figure US10372038-20190806-C00088
wherein RA is each independently hydrogen or methyl,
Z1 is a single bond, phenylene, —O—Z11—, or —C(═O)—Z12—Z11—, Z11 is a C1-C6 straight, branched or cyclic alkylene group or C2-C6 straight, branched or cyclic alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene group, Z12 is —O— or —NH—,
R51, R52, R53, R54, R55, R56, R57, and R58 are each independently a C1-C12 straight, branched, or cyclic alkyl group which may contain a carbonyl ester or ether moiety or a C6-C12 aryl, C7-C20 aralkyl, or mercaptophenyl group,
Z2 is a single bond, —Z21—C(═O)—O—, —Z21—O—, or —Z21—O—C(═O)—, Z21 is a C1-C12 straight, branched or cyclic alkylene group which may contain a carbonyl, ester or ether moiety,
Z3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—Z31—, or —C(═O)—Z32—Z31, Z31 is a C1-C6 straight, branched or cyclic alkylene group or C2-C6 straight, branched or cyclic alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or a phenylene, fluorinated phenylene or trifluoromethyl-substituted phenylene group, Z32 is —O— or —NH—,
A1 is hydrogen or trifluoromethyl, and M is a non-nucleophilic counter ion.
2. The resist composition of claim 1 wherein the iodonium iodide has the formula (1):
Figure US10372038-20190806-C00089
wherein R is a hydroxyl, halogen, trifluoromethyl, nitro, carboxyl, C2-C12 straight, branched or cyclic acyl group, C2-C12 straight, branched or cyclic acyloxy group, C1-C12 straight, branched or cyclic alkoxy group, C2-C12 straight, branched or cyclic alkoxycarbonyl group, C1-C12 straight, branched or cyclic alkyl group which may contain oxo, C2-C12 straight, branched or cyclic alkenyl group which may contain oxo, C6-C20 aryl group, or C7-C12 aralkyl or aryloxyalkyl group, where a plurality of R1 groups are included, they may be identical or different, m is an integer of 0 to 5, R2 is a group having the formula (2) or (3):
Figure US10372038-20190806-C00090
wherein R3 is a hydroxyl, halogen, trifluoromethyl, nitro, carboxyl, C2-C12 straight, branched or cyclic acyl group, C2-C12 straight, branched or cyclic acyloxy group, C1-C12 straight, branched or cyclic alkoxy group, C2-C12 straight, branched or cyclic alkoxycarbonyl group, C1-C12 straight, branched or cyclic alkyl group which may contain oxo, C2-C12 straight, branched or cyclic alkenyl group which may contain oxo, C6-C20 aryl group, or C7-C12 aralkyl or aryloxyalkyl group, where a plurality of R3 groups are included, they may be identical or different, R4 is hydrogen, C1-C6 straight, branched or cyclic alkyl group or phenyl group, and n is an integer of 0 to 5.
3. The resist composition of claim 1 wherein the acid generator is capable of generating sulfonic acid, imidic acid or methide acid.
4. The resist composition of claim 1, further comprising a base polymer.
5. A chemically amplified resist composition comprising a quencher containing an iodonium iodide, an acid generator and a base polymer,
wherein the base polymer comprises recurring units of at least one type selected from recurring units having the formulae (f1) to (f3):
Figure US10372038-20190806-C00091
wherein RA is each independently hydrogen or methyl,
Z1 is a single bond, phenylene, —O—Z11—, or —C(═O)—Z12—Z11—, Z11 is a C1-C6 straight, branched or cyclic alkylene group or C2-C6 straight, branched or cyclic alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or phenylene group, Z12 is —O— or —NH—,
R51, R52, R53, R54, R55, R56, R57 and R58 are each independently a C1-C12 straight, branched or cyclic alkyl group which may contain a carbonyl, ester or ether moiety, or a C6-C12 aryl, C7-C20 aralkyl, or mercaptophenyl group,
Z2 is a single bond, —Z21—C(═O)—O—, —Z21—O—, or —Z21—O—C(═O)—, Z21 is a C1-C12 straight, branched or cyclic alkylene group which may contain a carbonyl, ester or ether moiety,
Z3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, —O—Z31—, or —C(═O)—Z32—Z31—, Z31 is a C1-C6 straight, branched or cyclic alkylene group or C2-C6 straight, branched or cyclic alkenylene group which may contain a carbonyl, ester, ether or hydroxyl moiety, or a phenylene, fluorinated phenylene or trifluoromethyl-substituted phenylene group, Z32 is —O— or —NH—,
A1 is hydrogen or trifluoromethyl, and M is a non-nucleophilic counter ion.
6. A chemically amplified resist composition comprising a quencher containing an iodonium iodide, an acid generator and a base polymer,
wherein the base polymer comprises recurring units having the formula (a1) or recurring units having the formula (a2):
Figure US10372038-20190806-C00092
wherein RA is each independently hydrogen or methyl, R11 and R12 are each independently an acid labile group, X1 is a single bond, phenylene, naphthylene, or a C1-C12 linking group containing ester moiety or lactone ring, and X2 is a single bond or ester group.
7. The resist composition of claim 6 which is a chemically amplified positive resist composition.
8. A chemically amplified resist composition comprising a quencher containing an iodonium iodide, an acid generator and a base polymer,
wherein the base polymer is an acid labile group-free polymer.
9. The resist composition of claim 8 which is a chemically amplified negative resist composition.
10. The resist composition of claim 1, further comprising an organic solvent.
11. The resist composition of claim 1, further comprising a surfactant.
12. A pattern forming process comprising the steps of coating the resist composition of claim 1 onto a substrate, baking, exposing the resulting resist film to high-energy radiation, and developing the resist film with a developer.
13. The process of claim 12 wherein the high-energy radiation is ArF excimer laser of wavelength 193 nm or KrF excimer laser of wavelength 248 nm.
14. The process of claim 12 wherein the high-energy radiation is EB or EUV of wavelength 3 to 15 nm.
US15/810,562 2016-11-14 2017-11-13 Chemically amplified resist composition and patterning process Active US10372038B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016221648 2016-11-14
JP2016-221648 2016-11-14

Publications (2)

Publication Number Publication Date
US20180136558A1 US20180136558A1 (en) 2018-05-17
US10372038B2 true US10372038B2 (en) 2019-08-06

Family

ID=62108425

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/810,562 Active US10372038B2 (en) 2016-11-14 2017-11-13 Chemically amplified resist composition and patterning process

Country Status (2)

Country Link
US (1) US10372038B2 (en)
JP (1) JP6773006B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7147707B2 (en) * 2018-08-09 2022-10-05 信越化学工業株式会社 Chemically amplified resist material and pattern forming method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077645A (en) * 1996-03-23 2000-06-20 Kodak Polychrome Graphics Llc Production of water-less lithographic plates
JP2015172746A (en) 2014-02-21 2015-10-01 住友化学株式会社 Resist composition and method of producing resist pattern
JP2015180928A (en) 2014-03-03 2015-10-15 住友化学株式会社 Resist composition, process of producing resist pattern and compound
US9176379B2 (en) 2011-04-07 2015-11-03 Sumitomo Chemical Company, Limited Resist composition and method for producing resist pattern

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3184319B2 (en) * 1991-07-19 2001-07-09 キヤノン株式会社 Photocrosslinkable resin composition, photosensitive medium for hologram recording, and hologram recording method
JPH07179511A (en) * 1993-12-22 1995-07-18 Japan Carlit Co Ltd:The Photopolymerizable resin composition
JP4262402B2 (en) * 2000-10-20 2009-05-13 富士フイルム株式会社 Positive resist composition
JP4775077B2 (en) * 2006-03-31 2011-09-21 日立化成デュポンマイクロシステムズ株式会社 Positive photosensitive polyamideimide resin composition, pattern manufacturing method, and electronic component
JP5504735B2 (en) * 2009-07-31 2014-05-28 日立化成デュポンマイクロシステムズ株式会社 Positive photosensitive resin composition, pattern cured film manufacturing method, and electronic component
JP5742661B2 (en) * 2011-10-25 2015-07-01 信越化学工業株式会社 Positive resist composition and pattern forming method
JP6468139B2 (en) * 2014-12-18 2019-02-13 信越化学工業株式会社 Monomer, polymer compound, resist material and pattern forming method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077645A (en) * 1996-03-23 2000-06-20 Kodak Polychrome Graphics Llc Production of water-less lithographic plates
US9176379B2 (en) 2011-04-07 2015-11-03 Sumitomo Chemical Company, Limited Resist composition and method for producing resist pattern
JP5852490B2 (en) 2011-04-07 2016-02-03 住友化学株式会社 Resist composition and method for producing resist pattern
JP2015172746A (en) 2014-02-21 2015-10-01 住友化学株式会社 Resist composition and method of producing resist pattern
US9448475B2 (en) 2014-02-21 2016-09-20 Sumitomo Chemical Company, Limited Photoresist composition, compound and process of producing photoresist pattern
JP2015180928A (en) 2014-03-03 2015-10-15 住友化学株式会社 Resist composition, process of producing resist pattern and compound
US9563123B2 (en) 2014-03-03 2017-02-07 Sumitomo Chemical Company, Limited Photoresist composition, compound and process of producing photoresist pattern

Also Published As

Publication number Publication date
JP6773006B2 (en) 2020-10-21
US20180136558A1 (en) 2018-05-17
JP2018084812A (en) 2018-05-31

Similar Documents

Publication Publication Date Title
US10809617B2 (en) Resist composition and patterning process
US10698314B2 (en) Chemically amplified resist composition and patterning process
US10474030B2 (en) Resist composition and patterning process
US10101654B2 (en) Resist composition and patterning process
US10816899B2 (en) Resist composition and patterning process
US10613437B2 (en) Resist composition and patterning process
US10520809B2 (en) Resist composition and patterning process
US11774853B2 (en) Resist composition and patterning process
US10968175B2 (en) Resist composition and patterning process
US9958777B2 (en) Resist composition and patterning process
US11204553B2 (en) Chemically amplified resist composition and patterning process
US10281818B2 (en) Resist composition and patterning process
US10606172B2 (en) Resist composition and patterning process
US10222696B2 (en) Resist composition and patterning process
US10303052B2 (en) Resist composition and patterning process
US9897914B2 (en) Resist composition and patterning process
US11460773B2 (en) Resist composition and patterning process
US10649332B2 (en) Resist composition and patterning process
US10620533B2 (en) Resist composition and patterning process
US11048165B2 (en) Resist composition and patterning process
US11720018B2 (en) Chemically amplified resist composition and patterning process
US11269253B2 (en) Resist composition and patterning process
US10372038B2 (en) Chemically amplified resist composition and patterning process
US20210033969A1 (en) Chemically amplified resist composition and patterning process

Legal Events

Date Code Title Description
AS Assignment

Owner name: SHIN-ETSU CHEMICAL CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HATAKEYAMA, JUN;REEL/FRAME:044109/0458

Effective date: 20171016

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4