TWM608762U - Edge ring with radial projections for a substrate processing system - Google Patents

Edge ring with radial projections for a substrate processing system Download PDF

Info

Publication number
TWM608762U
TWM608762U TW109203710U TW109203710U TWM608762U TW M608762 U TWM608762 U TW M608762U TW 109203710 U TW109203710 U TW 109203710U TW 109203710 U TW109203710 U TW 109203710U TW M608762 U TWM608762 U TW M608762U
Authority
TW
Taiwan
Prior art keywords
ring
edge ring
plasma processing
protrusions
processing system
Prior art date
Application number
TW109203710U
Other languages
Chinese (zh)
Inventor
克利斯多夫 肯伯
基亞拉 海倫娜 凱薩琳娜 佳慢可 麥克弗森
達瑞爾 艾爾利奇
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TWM608762U publication Critical patent/TWM608762U/en

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

An edge ring for a plasma processing system includes a first annular body configured to surround a substrate support during plasma processing. A radially outer surface of the first annular body is configured to define a predetermined gap when arranged adjacent to a facing side surface of a second annular body of a top edge ring that is exposed to plasma during plasma processing. P projections extend from the radially outer surface of the first annular body in a direction towards the facing side surface of the second annular body. The P projections are arranged in P spaced locations on the radially outer surface of the first annular body and are configured to reduce variation in the predetermined gap during plasma processing, where P is an integer greater than or equal to 3 and less than or equal to 8.

Description

用於基板處理系統的具有徑向凸部的邊緣環Edge ring with radial protrusion for substrate processing system

本申請案請求以下優先權:於2020年2月13日提交之美國臨時專利申請案第62/976,088號。上方引用之申請案的整體揭示內容通過引用於此納入。This application claims the following priority: US Provisional Patent Application No. 62/976,088 filed on February 13, 2020. The entire disclosure of the application cited above is hereby incorporated by reference.

本揭露相關於邊緣環,且更特別是相關於用於基板處理系統的邊緣環。The present disclosure relates to edge rings, and more particularly to edge rings used in substrate processing systems.

此處所提供之先前技術描述係為了一般性呈現本揭露之背景的目的。本案列名發明人的工作成果,在此先前技術段落中所述範圍以及可能不適格為申請時先前技術的實施態樣的描述,不明示或暗示承認為對抗本揭露內容的先前技術。The prior art description provided here is for the purpose of generally presenting the background of this disclosure. The work results of the inventors listed in this case, the scope described in this prior art paragraph and the description of the implementation mode of the prior art at the time of application are not expressly or implicitly recognized as the prior art against the content of this disclosure.

基板處理系統執行在諸如半導體晶圓之基板上的處理。基板處理的範例包含沉積、灰化、蝕刻、清潔及/或其他製程。可將處理氣體混合物供應至處理腔室以處理該基板。電漿可用以點燃氣體以增強化學反應。The substrate processing system performs processing on a substrate such as a semiconductor wafer. Examples of substrate processing include deposition, ashing, etching, cleaning, and/or other processes. The processing gas mixture can be supplied to the processing chamber to process the substrate. Plasma can be used to ignite gas to enhance chemical reactions.

在處理過程中將基板放置在基板支撐件上。將環形邊緣環放置成圍繞並鄰近於基板的徑向外緣。邊緣環可用以使電漿塑形或聚焦於基板上。在運作期間,基板及邊緣環之裸露表面受電漿蝕刻。因此,邊緣環受電漿損耗且邊緣環對電漿的效果隨時間改變。The substrate is placed on the substrate support during processing. The annular edge ring is placed around and adjacent to the radial outer edge of the base plate. The edge ring can be used to shape or focus the plasma on the substrate. During operation, the exposed surfaces of the substrate and edge ring are etched by plasma. Therefore, the edge ring is depleted by the plasma and the effect of the edge ring on the plasma changes over time.

一種用於電漿處理系統之邊緣環包含第一環形主體,其設置以在電漿處理過程中環繞基板支撐件。該第一環形主體之徑向外表面係設置以當該徑向外表面配置成鄰近於頂部邊緣環之第二環形主體的面對側表面時,界定預定間隙,該頂部邊緣環在電漿處理過程中暴露於電漿。P個凸部從該第一環形主體之該徑向外表面在朝向該第二環形主體之該面對側表面的方向上延伸。該P個凸部係配置在該第一環形主體之該徑向外表面上的P個相隔之位置,且該P個凸部係配置以減少在電漿處理過程中該預定間隙的變化,其中P係大於等於3且小於等於8的整數。An edge ring for a plasma processing system includes a first ring-shaped body, which is arranged to surround a substrate support during the plasma processing. The radially outer surface of the first annular body is arranged to define a predetermined gap when the radially outer surface is arranged adjacent to the facing side surface of the second annular body of the top edge ring, and the top edge ring is in the plasma Exposure to plasma during processing. The P protrusions extend from the radially outer surface of the first annular body in a direction toward the facing side surface of the second annular body. The P protrusions are arranged at P spaced apart positions on the radially outer surface of the first annular body, and the P protrusions are arranged to reduce the change of the predetermined gap during the plasma treatment process, Wherein P is an integer greater than or equal to 3 and less than or equal to 8.

在其他特徵中,該P個凸部係以360 o/P的間隔加以配置。塗層覆蓋該P個凸部。該塗層包含絕緣材料。該塗層係選自由聚四氟乙烯(PTFE)、全氟烷氧基聚合物(PFA)、氧化鋁、氧化釔、及氟化釔所組成之群組。 In another feature, the P convex portions are arranged at an interval of 360°/P. The coating covers the P convex portions. The coating contains insulating material. The coating is selected from the group consisting of polytetrafluoroethylene (PTFE), perfluoroalkoxy polymer (PFA), alumina, yttrium oxide, and yttrium fluoride.

在其他特徵中,該P個凸部從該第一環形主體之該徑向外表面以在50微米到250微米之範圍內的預定距離加以延伸。該第一環形主體具有「L」形的剖面。該第一環形主體係配置在該第二環形主體的下方,在由該第二環形主體所界定之一空腔中。該P個凸部係以360 o/P之間隔加以配置,且更包括塗層,該塗層包含覆蓋該P個凸部的絕緣材料。該塗層係選自由聚四氟乙烯(PTFE)、全氟烷氧基聚合物(PFA)、氧化鋁、氧化釔、及氟化釔所組成之群組。 In other features, the P protrusions extend from the radially outer surface of the first annular body by a predetermined distance in the range of 50 micrometers to 250 micrometers. The first annular body has an "L"-shaped cross-section. The first ring-shaped main system is arranged below the second ring-shaped main body in a cavity defined by the second ring-shaped main body. The P protrusions are arranged at an interval of 360° /P, and further include a coating including an insulating material covering the P protrusions. The coating is selected from the group consisting of polytetrafluoroethylene (PTFE), perfluoroalkoxy polymer (PFA), alumina, yttrium oxide, and yttrium fluoride.

在其他特徵中,該P個凸部係以360 o/P之間隔配置在該第一環形主體之該徑向外表面上。該P個凸部從該第一環形主體之該徑向外表面以在50微米到250微米之範圍內的預定距離徑向向外延伸。 In other features, the P protrusions are arranged on the radially outer surface of the first annular body at an interval of 360°/P. The P protrusions extend radially outward from the radially outer surface of the first annular body at a predetermined distance in the range of 50 micrometers to 250 micrometers.

在其他特徵中,該第一環形主體具有「L」形的剖面,該P個凸部係以360 o/P之間隔配置在該第一環形主體之該徑向外表面上。該P個凸部從該第一環形主體之該徑向外表面以在50微米到250微米之範圍內的預定距離加以延伸。 In other features, the first annular body has an "L"-shaped cross-section, and the P protrusions are arranged on the radially outer surface of the first annular body at an interval of 360°/P. The P protrusions extend from the radially outer surface of the first annular body at a predetermined distance in the range of 50 micrometers to 250 micrometers.

在其他特徵中,覆蓋該P個凸部的塗層,其中該塗層包含選自由聚四氟乙烯(PTFE)、全氟烷氧基聚合物(PFA)、氧化鋁、氧化釔、及氟化釔所組成之群組的絕緣材料。In other features, the coating covering the P protrusions, wherein the coating comprises selected from polytetrafluoroethylene (PTFE), perfluoroalkoxy polymer (PFA), alumina, yttrium oxide, and fluorinated Insulating material of the group consisting of yttrium.

在其他特徵中,該第一環形主體具有「L」形的剖面。該P個凸部從該第一環形主體之該徑向外表面以在50微米到250微米之範圍內的預定距離加以延伸。該第一環形主體係配置在該第二環形主體的下方,且係位在由該第二環形主體所界定之空腔中。塗層覆蓋該P個凸部。該塗層包含選自由聚四氟乙烯(PTFE)、全氟烷氧基聚合物(PFA)、氧化鋁、氧化釔、及氟化釔所組成之群組的絕緣材料。In other features, the first annular body has an "L"-shaped cross-section. The P protrusions extend from the radially outer surface of the first annular body at a predetermined distance in the range of 50 micrometers to 250 micrometers. The first ring-shaped main system is arranged below the second ring-shaped main body and is located in a cavity defined by the second ring-shaped main body. The coating covers the P convex portions. The coating includes an insulating material selected from the group consisting of polytetrafluoroethylene (PTFE), perfluoroalkoxy polymer (PFA), aluminum oxide, yttrium oxide, and yttrium fluoride.

本揭露的更進一步應用領域從實施方式章節、所請專利範圍以及圖式將變得顯而易見。實施方式章節與特定示例僅意欲說明性之目的,並不意圖限制本揭露之範疇。Further application fields of this disclosure will become apparent from the implementation section, the scope of the patents requested, and the drawings. The implementation chapters and specific examples are intended for illustrative purposes only, and are not intended to limit the scope of this disclosure.

在基板處理的過程中,將基板放置在諸如靜電卡盤(ESC)之支座上,供應處理氣體,並在處理腔室中引燃電漿。在處理腔室內之元件的裸露表面由於電漿而受損。In the process of substrate processing, the substrate is placed on a support such as an electrostatic chuck (ESC), the processing gas is supplied, and the plasma is ignited in the processing chamber. The exposed surfaces of the components in the processing chamber are damaged by the plasma.

舉例而言,將邊緣環配置成圍繞基板的徑向外緣以將電漿塑形。在處理基板之後,邊緣環之裸露表面受電漿損傷且坐落在相對於基板的不同高度處。因此,邊緣環對電漿的影響改變,這改變了在基板上之處理的效果。為了在不破真空的情況下降低由於邊緣環損傷所產生的製程變化,某些處理腔室使用腔室內致動器提升邊緣環的高度以對該損耗進行補償。在這些系統的許多者中,基於循環的次數及/或總電漿處理暴露時間來自動地調整邊緣環的高度。其他系統則量測邊緣環之高度並基於所量測之高度調整其高度。For example, the edge ring is configured to surround the radial outer edge of the substrate to shape the plasma. After processing the substrate, the exposed surface of the edge ring is damaged by the plasma and is located at different heights relative to the substrate. Therefore, the influence of the edge ring on the plasma changes, which changes the effect of the processing on the substrate. In order to reduce the process variation caused by damage to the edge ring without breaking the vacuum, some processing chambers use an in-chamber actuator to raise the height of the edge ring to compensate for the loss. In many of these systems, the height of the edge ring is automatically adjusted based on the number of cycles and/or total plasma treatment exposure time. Other systems measure the height of the edge ring and adjust its height based on the measured height.

隨著邊緣環之高度受調整,在電漿、鞘、及/或電容輸送結構(包含邊緣環)之間的電容耦合隨之改變。在電容耦合的這些變化可能隨時間而造成基板處理的不均勻性。由於邊緣環之高度的變化,根據本揭露之各種邊緣環的配置顯著降低輸送結構之電容的變化。As the height of the edge ring is adjusted, the capacitive coupling between the plasma, sheath, and/or capacitive transport structure (including the edge ring) changes accordingly. These changes in capacitive coupling may cause unevenness in substrate processing over time. Due to the change in the height of the edge ring, the various edge ring configurations according to the present disclosure significantly reduce the change in the capacitance of the transmission structure.

更特別是,電漿鞘係在電漿及輸送元件之間產生。在某些範例中,將RF偏壓輸出至基板支撐件。為了在低RF偏壓頻率維持對鞘的控制(例如低於5MHz或低於1 MHz)以確保製程均勻性,需要在為了補償磨損而調整邊緣環高度時仍維持輸送元件對基板支撐件的電容值。將邊緣環及/或電容耦合之附近結構的區域係設計為使電容耦合隨著頂部邊緣環移動而產生的改變最小化。在某些範例中,在隨著邊緣環之高度增加而分開的區域中電容係最小化。在當將邊緣環之高度增加時不改變(或改變較少)的其他區域表面中,將電容加以控制。More specifically, the plasma sheath is created between the plasma and the delivery element. In some examples, the RF bias is output to the substrate support. In order to maintain control of the sheath at low RF bias frequencies (for example, below 5 MHz or below 1 MHz) to ensure process uniformity, it is necessary to maintain the capacitance of the conveying element to the substrate support while adjusting the height of the edge ring to compensate for wear. value. The area around the edge ring and/or capacitive coupling structure is designed to minimize changes in capacitive coupling as the top edge ring moves. In some examples, the capacitance is minimized in the area separated as the height of the edge ring increases. In other areas where the height of the edge ring does not change (or changes less) when the height of the edge ring is increased, the capacitance is controlled.

在某些範例中,邊緣環係由傳導材料所製成。如此處所使用,傳導材料表示具有小於等於10 4Ωcm的電阻的材料。舉例而言,摻雜矽具有0.05 Ωcm的電阻、碳化矽具有1-300 Ωcm的電阻,而諸如鋁及銅的金屬具有≈ 10 -7Ωcm的電阻。 In some examples, the edge ring system is made of conductive material. As used herein, conductive material means a material having a resistance of 10 4 Ωcm or less. For example, doped silicon has a resistance of 0.05 Ωcm, silicon carbide has a resistance of 1-300 Ωcm, and metals such as aluminum and copper have a resistance of ≈ 10 -7 Ωcm.

現在參考圖1A,顯示使用可移動邊緣環的範例電漿處理腔室。如可理解的,可使用其他類型之電漿處理腔室。基板處理系統110可用以進行使用電容耦合電漿(CCP)的蝕刻。基板處理系統110包含處理腔室122,處理腔室122圍起基板處理系統110的其他元件並包容RF電漿(若有使用的話)。基板處理系統110包含上部電極124、及諸如靜電卡盤(ESC)的基板支撐件126。在運作期間,將基板128放置在基板支撐件126上。Referring now to FIG. 1A, an example plasma processing chamber using a movable edge ring is shown. As can be appreciated, other types of plasma processing chambers can be used. The substrate processing system 110 may be used to perform etching using capacitively coupled plasma (CCP). The substrate processing system 110 includes a processing chamber 122 that encloses other components of the substrate processing system 110 and contains RF plasma (if used). The substrate processing system 110 includes an upper electrode 124 and a substrate support 126 such as an electrostatic chuck (ESC). During operation, the substrate 128 is placed on the substrate support 126.

僅為範例,上部電極124可包含諸如噴淋頭的配氣裝置129,其引入並分布處理氣體。配氣裝置129可包含一桿部分,其包含連接到處理腔室之頂部表面的一端。一環形主體一般是圓柱狀的且在與處理腔室頂部表面相隔開之位置處從桿部分的相對端向外徑向延伸。該噴淋頭之該環形主體的面對基板表面或面板包含前驅物、反應物、蝕刻氣體、惰性氣體、載氣、其他處理氣體或吹掃氣體流動通過的複數個通孔。替代地,上部電極124可包含導板,而處理氣體可以另一種方式引入。For example only, the upper electrode 124 may include a gas distribution device 129 such as a shower head, which introduces and distributes the processing gas. The gas distribution device 129 may include a rod portion including an end connected to the top surface of the processing chamber. An annular body is generally cylindrical and extends radially outward from the opposite end of the rod portion at a location spaced from the top surface of the processing chamber. The surface or panel of the annular body of the shower head facing the substrate contains a plurality of through holes through which precursors, reactants, etching gases, inert gases, carrier gases, other processing gases or purge gases flow. Alternatively, the upper electrode 124 may include a guide plate, and the processing gas may be introduced in another manner.

基板支撐件126包含作為下部電極的底座130。底座130支撐加熱板132,其可對應於陶瓷多區加熱板。可將黏合及/或熱阻層134設置在加熱板132及底座130之間。底座130可包含用於使冷卻劑流經過底座130的一或多個通道136。The substrate support 126 includes a base 130 as a lower electrode. The base 130 supports a heating plate 132, which may correspond to a ceramic multi-zone heating plate. The adhesive and/or thermal resistance layer 134 can be disposed between the heating plate 132 and the base 130. The base 130 may include one or more channels 136 for the flow of coolant through the base 130.

RF生成系統140產生並輸出RF電壓至上部電極124與下部電極(例如基板支撐件126的底座130)的其中一者。上部電極124與底座130中的另外一者可為DC接地、AC接地或浮接。僅為舉例,RF生成系統140可包含RF產生器142,其產生由匹配及分配網路144饋送至上部電極124或底座130的RF電漿功率。在其他範例中,可感應地或遠端地產生電漿。The RF generation system 140 generates and outputs an RF voltage to one of the upper electrode 124 and the lower electrode (for example, the base 130 of the substrate support 126). The other of the upper electrode 124 and the base 130 may be DC grounded, AC grounded, or floating. For example only, the RF generation system 140 may include an RF generator 142 that generates the RF plasma power that is fed to the upper electrode 124 or the base 130 by the matching and distribution network 144. In other examples, plasma can be generated inductively or remotely.

氣體輸送系統150包含一或多個氣源152-1、152-2、…以及152-N(統稱為氣源152),其中N為大於零的整數。氣源152由閥154-1、154-2、…以及154-N(統稱為閥154)以及MFC 156-1、156-2、…以及156-N(統稱為MFC 156)連接至歧管160。可在MFC 156及歧管160之間使用二級閥。儘管顯示了單一氣體輸送系統150,但可使用二或更多個氣體輸送系統。The gas delivery system 150 includes one or more gas sources 152-1, 152-2, ..., and 152-N (collectively referred to as the gas source 152), where N is an integer greater than zero. The air source 152 is connected to the manifold 160 by valves 154-1, 154-2, ... and 154-N (collectively referred to as valve 154) and MFC 156-1, 156-2, ... and 156-N (collectively referred to as MFC 156) . A secondary valve can be used between MFC 156 and manifold 160. Although a single gas delivery system 150 is shown, two or more gas delivery systems may be used.

溫度控制器163可連接至複數個裝設在加熱板132中的熱控制部件(TCE)164。溫度控制器163可用以控制複數TCE 164以控制基板支撐件126及基板128的溫度。溫度控制器163可與冷卻劑組件166通訊以控制冷卻劑流經過通道136。舉例而言,冷卻劑組件166可包含冷卻劑泵、儲槽、及/或一或更多溫度感測器。溫度控制器163操作冷卻劑組件166以選擇性使冷卻劑流經通道136以冷卻基板支撐件126。The temperature controller 163 may be connected to a plurality of thermal control components (TCE) 164 installed in the heating plate 132. The temperature controller 163 can be used to control the plurality of TCEs 164 to control the temperature of the substrate support 126 and the substrate 128. The temperature controller 163 may communicate with the coolant assembly 166 to control the flow of the coolant through the passage 136. For example, the coolant assembly 166 may include a coolant pump, a storage tank, and/or one or more temperature sensors. The temperature controller 163 operates the coolant assembly 166 to selectively flow the coolant through the passage 136 to cool the substrate support 126.

閥170及泵172可用以將反應物從處理腔室122抽空。系統控制器180可用以控制基板處理系統110的元件。在電漿處理過程中,可將邊緣環182徑向地配置在基板128外側。邊緣環高度調整系統184(包含系統控制器180及圖5B中顯示的致動器及升降銷)可用以相對於基板128而調整邊緣環182之頂部表面的高度,如下方更進一步說明的。在某些範例中,在不破真空的情況下亦可藉由機器人末端執行器將邊緣環182抬升、移除、以及以另一邊緣環取代。The valve 170 and the pump 172 can be used to evacuate the reactants from the processing chamber 122. The system controller 180 can be used to control the components of the substrate processing system 110. During the plasma processing, the edge ring 182 may be arranged radially outside the substrate 128. The edge ring height adjustment system 184 (including the system controller 180 and the actuators and lift pins shown in FIG. 5B) can be used to adjust the height of the top surface of the edge ring 182 relative to the base plate 128, as described in further detail below. In some examples, the edge ring 182 can also be lifted, removed, and replaced with another edge ring by the robot end effector without breaking the vacuum.

現在參考圖1B及1C,基板處理系統可包含暴露於電漿的頂部邊緣環以及位於頂部邊緣環下方且由頂部邊緣環屏蔽直接電漿的下部環。舉例而言,設計為具有電容耦合的邊緣環系統190顯示於圖1B中。頂部邊緣環192的下部部分係徑向地位在下部環194之下部部分的外側。Referring now to FIGS. 1B and 1C, the substrate processing system may include a top edge ring exposed to the plasma and a lower ring located under the top edge ring and shielded by the direct plasma by the top edge ring. For example, an edge ring system 190 designed to have capacitive coupling is shown in FIG. 1B. The lower part of the top edge ring 192 is located radially outside of the lower part of the lower ring 194.

為了在低偏壓頻率維持電漿鞘的控制,在頂部邊緣環192暴露於電漿、遭受侵蝕並使其高度提升時,其間的耦合電容C的值應維持固定且相對恆定。此外,在頂部邊緣環192及下部環194之間在溫度上可能有顯著的差異。舉例而言,在電漿處理過程中,在頂部邊緣環192及下部環194之間的溫度差可能在0⁰C到200⁰C的範圍內(例如100⁰C)。在某些範例中,隨著下部環194在受熱時膨脹及在冷卻時收縮,下部環194(或頂部邊緣環192)可在平行於基板的方向在朝向頂部邊緣環192的一側的方向上移動或行進,從而在某些徑向方向上有效地降低間隙並在其他徑向方向上增加該間隙。In order to maintain the control of the plasma sheath at a low bias frequency, when the top edge ring 192 is exposed to plasma, eroded and raised, the value of the coupling capacitance C should remain fixed and relatively constant. In addition, there may be a significant difference in temperature between the top edge ring 192 and the lower ring 194. For example, during the plasma treatment process, the temperature difference between the top edge ring 192 and the lower ring 194 may be in the range of 0⁰C to 200⁰C (for example, 100⁰C). In some examples, as the lower ring 194 expands when heated and contracts when cooled, the lower ring 194 (or the top edge ring 192) may be in a direction parallel to the substrate in a direction toward the side of the top edge ring 192 Move or travel to effectively reduce the gap in certain radial directions and increase the gap in other radial directions.

假設C係在頂部邊緣環192及下部環194之間的電容,隨著下部環194移動偏離中央(在某些徑向方向上更靠近頂部邊緣環192而在其他徑向方向上離頂部邊圓環192更遠),因為電容為間隙的非線性函數因此電容隨之上升。更具體的是,電容C 偏移= S(s’)*C 中央,其中s’ = d/(R 2- R 1),其中0 s’ 1且其中R 2係頂部邊緣環192的內徑而R 1係下部環194的外徑。在圖1C中,將電容的相對增加顯示為標稱間隙之偏移百分比(%)的函數。如可理解的,當偏移百分比大於標稱間隙的約35-40%時,電容受影響。 Assume that C is the capacitance between the top edge ring 192 and the lower ring 194. As the lower ring 194 moves away from the center (closer to the top edge ring 192 in some radial directions and away from the top edge in other radial directions) Ring 192 is further away), because the capacitance is a non-linear function of the gap so the capacitance rises accordingly. More specifically, the capacitance C offset = S(s')*C center , where s'= d/(R 2 -R 1 ), where 0 < s'< 1 and where R 2 is the top edge ring 192 The inner diameter and R 1 is the outer diameter of the lower ring 194. In Figure 1C, the relative increase in capacitance is shown as a function of the offset percentage (%) of the nominal gap. As can be appreciated, when the offset percentage is greater than about 35-40% of the nominal gap, the capacitance is affected.

根據本揭露之系統及方法使用在徑向外表面上具有凸部的邊緣環以限制下部邊緣環194在電漿處理過程中在受到加熱及冷卻期間而相對於頂部邊緣環192的移動。在某些範例中,該移動係由凸部所限以小於等於標稱間隙的20%、30%、或40%以限制該相對移動對邊緣環系統之電容的影響。The system and method according to the present disclosure uses an edge ring having a convex portion on the radially outer surface to restrict the movement of the lower edge ring 194 relative to the top edge ring 192 during heating and cooling during plasma processing. In some examples, the movement is limited by the convex portion to less than or equal to 20%, 30%, or 40% of the nominal gap to limit the influence of the relative movement on the capacitance of the edge ring system.

現在參考圖2和3,用於基板處理系統之邊緣環200包含環形主體210。環形主體210包含:頂部表面214、底部表面216、徑向內表面230、及徑向外表面234。徑向外表面234包含均勻相隔的凸部220-1、220-2、……及220-P(統稱為凸部220),該等凸部從環形主體210的徑向外表面234徑向向外延伸,其中P為在3到8之範圍內的整數。凸部220限制了邊緣環200相對於諸如頂部邊緣環(顯示於上方及下方)之周遭元件的相對移動。Referring now to FIGS. 2 and 3, the edge ring 200 for the substrate processing system includes a ring-shaped body 210. The annular body 210 includes a top surface 214, a bottom surface 216, a radially inner surface 230, and a radially outer surface 234. The radially outer surface 234 includes evenly spaced convex portions 220-1, 220-2, ... and 220-P (collectively referred to as convex portions 220), which are radially directed from the radially outer surface 234 of the annular main body 210 Outer extension, where P is an integer in the range of 3 to 8. The protrusion 220 restricts the relative movement of the edge ring 200 with respect to surrounding elements such as the top edge ring (shown above and below).

在某些範例中,在凸部之間的間隔係由360 o/P所決定。在其他範例中,P係在5或6的範圍內。在某些範例中,P=5而間隔為72⁰。 In some examples, the spacing between the protrusions is determined by 360° /P. In other examples, P is in the range of 5 or 6. In some examples, P=5 and the interval is 72⁰.

現在參考圖4,顯示了邊緣環200之凸部220的其中一者的一部分(圖3中的細節4)的放大圖。邊緣環200包含形成於邊緣環200之徑向外表面的凸部220。在某些範例中,凸部220在部分或完全沿著徑向外表面的垂直厚度的垂直方向上延伸,如圖2及7中所示。凸部220包含從邊緣環200之徑向外表面234延伸在頂部表面214及底部表面216之間的平坦表面410。平坦表面410相較於弓形輪廓而言傾向更易於加工及檢驗尺寸。換句話說,在某些範例中,邊緣環200最初製成為稍微更寬而沒有凸部220,接著將徑向外表面234加工以移除在相鄰凸部220之間的區域以形成凸部220。在其他範例中,凸部220包含在平面圖中弓形或凸出的輪廓以降低與頂部邊緣環之徑向內部面對表面接觸的表面積,以及當在不破真空的情況下進行高度調整或替換頂部邊緣環時減少摩擦。Referring now to FIG. 4, an enlarged view of a part (detail 4 in FIG. 3) of one of the protrusions 220 of the edge ring 200 is shown. The edge ring 200 includes a convex portion 220 formed on the radially outer surface of the edge ring 200. In some examples, the protrusion 220 extends in a vertical direction that is partially or completely along the vertical thickness of the radially outer surface, as shown in FIGS. 2 and 7. The protrusion 220 includes a flat surface 410 extending from the radially outer surface 234 of the edge ring 200 between the top surface 214 and the bottom surface 216. The flat surface 410 tends to be easier to machine and inspect dimensions than the arcuate profile. In other words, in some examples, the edge ring 200 is initially made slightly wider without protrusions 220, and then the radially outer surface 234 is machined to remove the area between adjacent protrusions 220 to form protrusions 220. In other examples, the protrusion 220 includes an arcuate or convex profile in a plan view to reduce the surface area in contact with the radially inner facing surface of the top edge ring, and to adjust the height or replace the top edge without breaking the vacuum. Reduce friction when ringing.

在某些範例中,凸部220係以塗層材料420加以塗覆。在某些範例中,塗層材料420係相對保形的且係由絕緣材料所製成。在某些範例中,塗層係選自由使用原子層沉積所沉積之氟化釔、或氧化釔、氧化鋁、或聚四氟乙烯(PTFE)、全氟烷氧基聚合物(PFA)所組成之群組。塗層材料420具有絕緣功能,其防止短路並減少侵蝕。塗層材料420亦確保在邊緣環220及諸如上部環的另一元件之間的最小間隙以防止短路電路。在某些範例中,凸部220從邊緣環200之徑向外部表面徑向向外延伸至足以限制移動的距離(給定所使用之凸部的數量)。In some examples, the protrusion 220 is coated with the coating material 420. In some examples, the coating material 420 is relatively conformal and made of insulating materials. In some examples, the coating is selected from yttrium fluoride, or yttrium oxide, aluminum oxide, or polytetrafluoroethylene (PTFE), perfluoroalkoxy polymer (PFA) deposited by atomic layer deposition Of the group. The coating material 420 has an insulating function, which prevents short circuits and reduces corrosion. The coating material 420 also ensures a minimum gap between the edge ring 220 and another element such as the upper ring to prevent short circuits. In some examples, the protrusion 220 extends radially outward from the radially outer surface of the edge ring 200 to a distance sufficient to restrict movement (given the number of protrusions used).

如同可理解的,凸部220一般並不設置以完全限制上部及下部環的相對移動。在高度調整及/或替換過程中該間隙幫助降低結合。因此,有些相對移動仍為所欲的,而在3個凸部的情況下非所欲之移動(可能改變有效耦合電容)仍可能發生。As can be understood, the protrusion 220 is generally not provided to completely restrict the relative movement of the upper and lower rings. The gap helps reduce bonding during height adjustment and/or replacement. Therefore, some relative movement is still desired, and unintended movement (which may change the effective coupling capacitance) may still occur in the case of 3 protrusions.

在某些範例中,凸部220從邊緣環之徑向外表面在徑向向外方向上以50微米到250微米之範圍延伸。在某些範例中,凸部的每一者在圓周方向上跨度小於等於5⁰、4⁰、3⁰、2⁰、或1⁰。In some examples, the protrusion 220 extends from the radially outer surface of the edge ring in the radially outward direction in a range of 50 micrometers to 250 micrometers. In some examples, each of the protrusions has a span less than or equal to 5⁰, 4⁰, 3⁰, 2⁰, or 1⁰ in the circumferential direction.

現在參考圖5A到6B,在某些範例中邊緣環200具有「L」形的剖面。邊緣環200包含環形主體510,其具有矩形剖面以及從環形主體510之下部環徑向向內延伸至最內之部分530的環形凸緣520。在圖5B中,可將邊緣環200配置成鄰近於另一傳導結構,諸如暴露於電漿的頂部邊緣環550。頂部邊緣環550包含環形主體564及徑向內腿560及/或徑向外腿570。隨著頂部邊緣環550受侵蝕,系統控制器180驅動致動器582以移動邊緣環升降銷580,邊緣環升降銷580調整頂部邊緣環550相對於邊緣環200(及基板之頂部表面)的高度。凸部220維持邊緣環200相對於頂部邊緣環550的間隔,這有助於在調整頂部邊緣環550之高度時將邊緣環系統的電容維持在預定範圍內。Referring now to FIGS. 5A to 6B, the edge ring 200 has an "L" shaped cross-section in some examples. The edge ring 200 includes an annular body 510 having a rectangular cross-section and an annular flange 520 extending radially inward from the lower ring of the annular body 510 to the innermost part 530. In FIG. 5B, the edge ring 200 may be configured adjacent to another conductive structure, such as the top edge ring 550 exposed to plasma. The top edge ring 550 includes an annular body 564 and radially inner legs 560 and/or radially outer legs 570. As the top edge ring 550 is eroded, the system controller 180 drives the actuator 582 to move the edge ring lift pin 580, which adjusts the height of the top edge ring 550 relative to the edge ring 200 (and the top surface of the substrate) . The protrusion 220 maintains the distance between the edge ring 200 and the top edge ring 550, which helps to maintain the capacitance of the edge ring system within a predetermined range when the height of the top edge ring 550 is adjusted.

現在參考圖7,顯示了邊緣環200之徑向外表面234。從邊緣環200之頂部表面214到徑向外表面234的過渡部710係圓形的。從邊緣環220之底部表面216到徑向外部表面234的過渡部720係磨圓的,如710處所示。同樣地,從頂部表面214及底部表面216至徑向內表面230的過渡部亦可為磨圓的。Referring now to FIG. 7, the radially outer surface 234 of the edge ring 200 is shown. The transition 710 from the top surface 214 of the edge ring 200 to the radially outer surface 234 is circular. The transition 720 from the bottom surface 216 of the edge ring 220 to the radially outer surface 234 is rounded, as shown at 710. Similarly, the transition from the top surface 214 and the bottom surface 216 to the radially inner surface 230 may also be rounded.

前述本質僅是用以說明性描述,而非意欲限制此處揭露內容、其應用或用途。此揭露之廣泛教示可以多種形式實行。因此,儘管此揭露包含特定例子,然而由於經由研讀附圖、說明書以及以下專利申請範圍,其他調整將變得顯而易見,因此此揭露之真實範疇不應僅限於此。應知悉在不改變此揭露的原理之下,一個方法中的一或更多步驟可以不同順序(或同時)執行。再者,儘管每個實施例在上方描述為具有特定特徵,然而相關於任何本揭露之任何實施例的一或更多那些所描述的特徵中的任何者可在任何其他實施例的特徵中實施及/或與其結合實施,就算該結合沒有明確描述。換句話說,所述之實施例並非互斥的,且一或更多實施例與另一者置換仍在本揭露的範疇內。The foregoing essence is only used for illustrative description, and is not intended to limit the content disclosed herein, its application or purpose. The extensive teachings of this disclosure can be implemented in many forms. Therefore, although this disclosure contains specific examples, other adjustments will become apparent after studying the drawings, the specification, and the scope of the following patent applications. Therefore, the true scope of this disclosure should not be limited to this. It should be understood that without changing the principle of this disclosure, one or more steps in a method can be executed in a different order (or at the same time). Furthermore, although each embodiment is described above as having specific features, any one or more of those described features related to any embodiment of the present disclosure can be implemented in the features of any other embodiment And/or combined with it, even if the combination is not clearly described. In other words, the described embodiments are not mutually exclusive, and replacement of one or more embodiments with another is still within the scope of the present disclosure.

使用各種用語描述之部件之間(例如,在模組、電路元件、半導體層等等之間)的空間及功能關係包含:「連接」、「契合」、「耦合」、「毗連」、「相鄰」、「在頂部」、「上方」、「下方」、以及「設置」。除非明確的描述為「直接」,當在上述揭露中描述第一與第二部件之間的關係時,該關係可以是在該第一與第二部件之間沒有其他中介部件存在的直接關係,也可以是在該第一與第二部件之間(空間上或功能上)存在一或更多中介部件的間接關係。如此處所使用,用語至少為A、B及C其中之一應被解釋為使用非排他性的「或者」表示邏輯(A或B或C),並且不應解釋為表示「至少A其中之一、至少B其中之一以及至少C其中之一」。The spatial and functional relationships between components described in various terms (for example, between modules, circuit elements, semiconductor layers, etc.) include: "connection", "fit", "coupling", "connection", "phase" "Neighbor", "At the top", "Above", "Below", and "Settings". Unless it is explicitly described as "direct", when the relationship between the first and second components is described in the above disclosure, the relationship may be a direct relationship between the first and second components without other intermediary components. It may also be that there is an indirect relationship (spatially or functionally) between the first and second components with one or more intermediate components. As used here, the term at least one of A, B and C should be interpreted as using the non-exclusive "or" to indicate logic (A or B or C), and should not be interpreted as indicating "at least one of A, at least One of B and at least one of C".

在某些實例中,控制器是系統的一部分,其可能是上述例子中的一的部分。該系統可包括半導體處理設備,包含處理工具、腔室、平台及/或特定處理部件(晶圓支座、氣流系統等等)。這些系統可能整合電子產品以控制半導體晶圓或基板處理前、中、後的作業。該電子產品可稱為「控制器」,可控制各種系統的部件或子部件。可將該控制器編程以控制任何此處所揭露的製程,包含處理氣體輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體輸送設定、位置與操作設定、晶圓輸送進出工具與其他輸送工具及/或連接到特定系統或與特定系統介面的負載鎖,端看製程需求及/或系統的類型。In some instances, the controller is part of the system, which may be part of one of the above examples. The system may include semiconductor processing equipment, including processing tools, chambers, platforms, and/or specific processing components (wafer supports, airflow systems, etc.). These systems may integrate electronic products to control the operations before, during, and after semiconductor wafer or substrate processing. This electronic product can be called a "controller", which can control the components or sub-components of various systems. The controller can be programmed to control any of the processes disclosed here, including process gas delivery, temperature setting (such as heating and/or cooling), pressure setting, vacuum setting, power setting, radio frequency (RF) generator setting, RF matching Circuit setting, frequency setting, flow setting, fluid delivery setting, position and operation setting, wafer transfer tool and other transfer tools and/or load lock connected to a specific system or interface with a specific system, depending on the process requirements and/or The type of system.

廣泛地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體,可接受指令、發送指令、控制操作、啟用清潔操作、啟用端點量測等等的電子產品。該積體電路可能包含韌體形式儲存程式指令的晶片、數位信號處理器(DSP)、定義為特殊用途積體電路(ASIC)的晶片、及/或一或更多執行程式指令(例如軟體)的微處理器或微控制器。程式指令可能係以各種單獨設定(或程式文件)的形式傳達至控制器的指令,定義在半導體晶圓或系統上執行的特定製程之操作參數。在一些實施例中,該操作參數可能是在由製程工程師定義於製造晶圓的一或多層結構、材料層、金屬層、氧化層、矽晶層、二氧化矽層、表面、電路及/或晶粒的過程中,用以完成一或更多製程步驟的配方的一部分。Broadly speaking, a controller can be defined as an electronic product with various integrated circuits, logic, memory, and/or software that can accept commands, send commands, control operations, enable cleaning operations, enable endpoint measurements, and so on. The integrated circuit may include a chip that stores program instructions in the form of firmware, a digital signal processor (DSP), a chip defined as a special-purpose integrated circuit (ASIC), and/or one or more execution program instructions (such as software) Microprocessor or microcontroller. Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files) to define the operating parameters of a specific process executed on a semiconductor wafer or system. In some embodiments, the operating parameters may be defined by the process engineer in one or more layers of structure, material layer, metal layer, oxide layer, silicon layer, silicon dioxide layer, surface, circuit and/or Part of the recipe used to complete one or more process steps during the die process.

在某些實施方式中,該控制器可能為一與系統整合、與系統耦合、要不就是與系統聯網、或者結合以上方式的電腦的一部分或是與之耦合。舉例而言,該控制器可能在「雲端」或是工廠主機電腦系統的一部分或全部,其可允許遠端存取晶圓製程。該電腦可能允許遠端連接至系統以監控現行製造作業進程、查看過去製造作業之歷史紀錄、查看來自多個製造作業的趨勢或性能矩陣、修改現行製程參數、設定製程步驟以接續現行製程,或是開始新製程。在某些例子中,遠端電腦(例如伺服器)可透過可能包含區域網路或網際網路的聯網提供製程配方至系統。該遠端電腦可能包含可以進入或編程參數及/或設定的使用者介面,這些設定接著會從遠端電腦連接至系統。在某些例子中,控制器收到資料形式的指令,該資料指定在一或更多操作過程中待執行之每個製程步驟的參數。應知悉,參數可以特定針對待執行之製程的類型以及控制器設置以介面或控制的工具類型。因此如上所述,控制器可能是分散的,一如經由組合一或更多個別控制器透過聯網合作並朝一個共同目的工作,正如此處描述的製程與控制。一個用於此目的的分散式控制器例子可以是在一個腔室上一或更多積體電路連接一或更多位於遠端的積體電路(例如在平台水平或是遠端電腦的一部分)兩者結合以控制該腔室的製程。In some embodiments, the controller may be a part of or coupled with a computer integrated with the system, coupled with the system, or networked with the system, or combined with the above methods. For example, the controller may be in the "cloud" or part or all of the factory host computer system, which allows remote access to the wafer process. The computer may allow remote connection to the system to monitor the progress of current manufacturing operations, view historical records of past manufacturing operations, view trends or performance matrices from multiple manufacturing operations, modify current process parameters, set process steps to continue the current process, or Is to start a new process. In some cases, a remote computer (such as a server) can provide process recipes to the system through a network that may include a local area network or the Internet. The remote computer may include a user interface for accessing or programming parameters and/or settings, which are then connected to the system from the remote computer. In some instances, the controller receives instructions in the form of data specifying the parameters of each process step to be executed during one or more operations. It should be understood that the parameters can be specific to the type of process to be executed and the type of tool that the controller is set to interface or control. Therefore, as described above, the controllers may be decentralized, just as by combining one or more individual controllers through the network to cooperate and work towards a common purpose, as in the process and control described here. An example of a distributed controller for this purpose could be one or more integrated circuits connected to one or more remote integrated circuits in a chamber (for example at the platform level or part of a remote computer) The two are combined to control the process of the chamber.

不受限地,範例系統可能包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、徑跡腔室或模組,以及任何其他可能相關聯或用於半導體晶圓生產及/或製造的半導體處理系統。Without limitation, example systems may include plasma etching chambers or modules, deposition chambers or modules, spin washing chambers or modules, metal plating chambers or modules, cleaning chambers or modules, beveled edges Etching chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etching (ALE ) Chamber or module, ion implantation chamber or module, track chamber or module, and any other semiconductor processing systems that may be associated with or used for semiconductor wafer production and/or manufacturing.

如上所述,控制器可聯絡一或更多其他工具電路或模組、其他工具部件、群組工具、其他工具介面、毗連工具、相鄰工具、遍布工廠的工具、主電腦、另一控制器,或在將晶圓容器傳送出或傳送至半導體製造工廠中工具位置及/或裝載端口的材料輸送中使用的工具,視工具執行的製程步驟而定。As mentioned above, the controller can contact one or more other tool circuits or modules, other tool components, group tools, other tool interfaces, connection tools, adjacent tools, tools all over the factory, host computer, another controller , Or the tool used in the transfer of the wafer container out or to the tool location and/or load port in the semiconductor manufacturing plant, depending on the process steps performed by the tool.

4:細節4: details

5A:細節5A: Details

110:基板處理系統110: Substrate processing system

122:處理腔室122: processing chamber

124:上部電極124: Upper electrode

126:基板支撐件126: substrate support

128:基板128: substrate

129:配氣裝置129: Gas distribution device

130:底座130: base

132:加熱板132: heating plate

134:黏合及/或熱阻層134: Adhesive and/or thermal resistance layer

136:通道136: Channel

140:RF生成系統140: RF generation system

142:RF產生器142: RF generator

144:匹配及分配網路144: Matching and distribution network

150:氣體輸送系統150: Gas delivery system

152-1:氣源152-1: Air source

152-2:氣源152-2: Air source

152-N:氣源152-N: Air source

154-1:閥154-1: Valve

154-2:閥154-2: Valve

154-N:閥154-N: Valve

156-1:MFC156-1: MFC

156-2:MFC156-2: MFC

156-N:MFC156-N:MFC

160:歧管160: Manifold

163:溫度控制器163: temperature controller

164:TCE164: TCE

166:冷卻劑組件166: Coolant component

170:閥170: Valve

172:泵172: Pump

180:系統控制器180: system controller

182:邊緣環182: Edge Ring

184:邊緣環高度調整系統184: Edge ring height adjustment system

190:邊緣環系統190: Edge Ring System

192:頂部邊緣環192: Top edge ring

194:下部環194: lower ring

200:邊緣環200: edge ring

210:環形主體210: ring body

214:頂部表面214: top surface

216:底部表面216: bottom surface

220:凸部220: convex

220-1:凸部220-1: Convex

220-2:凸部220-2: Convex

220-3:凸部220-3: Convex

220-4:凸部220-4: Convex

220-5:凸部220-5: convex

230:徑向內表面230: radial inner surface

234:徑向外表面234: radial outer surface

410:平坦表面410: Flat surface

420:塗層材料420: Coating material

510:環形主體510: Ring body

520:環形凸緣520: Ring flange

530:最內之部分530: The innermost part

550:頂部邊緣環550: Top edge ring

560:徑向內腿560: radial inner leg

564:環形主體564: Ring body

570:徑向外腿570: radial outer leg

580:邊緣環升降銷580: Edge ring lift pin

582:致動器582: Actuator

710:過渡部710: Transition

720:過渡部720: Transition

從實施方式章節與隨附圖式將變得更完整了解本揭露,其中:A more complete understanding of this disclosure will be made from the implementation section and accompanying drawings, in which:

圖1A為根據本揭露之基板處理系統的範例的功能性框圖;FIG. 1A is a functional block diagram of an example of a substrate processing system according to the present disclosure;

圖1B為根據本揭露之上部及下部邊緣環的平面圖;Figure 1B is a plan view of the upper and lower edge rings according to the present disclosure;

圖1C為根據本揭露將電容之上升描繪為從標稱間隙的偏移百分比的函數的圖;FIG. 1C is a graph depicting the increase in capacitance as a function of the offset percentage from the nominal gap according to the present disclosure;

圖2為根據本揭露,用於基板處理系統之邊緣環的範例的上透視圖,其包含環形主體及從該環形主體徑向向外延伸的均勻相隔之凸部;2 is a top perspective view of an example of an edge ring used in a substrate processing system according to the present disclosure, which includes a ring-shaped body and evenly spaced convex portions extending radially outward from the ring-shaped body;

圖3為圖2之邊緣環的底部視圖;Figure 3 is a bottom view of the edge ring of Figure 2;

圖4為邊緣環之凸部的其中一者的部分(圖3中的細節4)的放大圖;Figure 4 is an enlarged view of one of the convex portions of the edge ring (detail 4 in Figure 3);

圖5A為邊緣環之剖面圖(圖6B中的細節5A);Figure 5A is a cross-sectional view of the edge ring (detail 5A in Figure 6B);

圖5B為配置成與頂部邊緣環鄰近及在其下方的邊緣環的剖面圖;Figure 5B is a cross-sectional view of an edge ring configured to be adjacent to and below the top edge ring;

圖6A為沿著圖3中之6A-6A擷取之邊緣環的剖面側視圖;6A is a cross-sectional side view of the edge ring taken along 6A-6A in FIG. 3;

圖6B為沿著圖3中之6B-6B擷取之邊緣環的剖面側視圖;及Fig. 6B is a cross-sectional side view of the edge ring taken along 6B-6B in Fig. 3; and

圖7為圖6A中之邊緣環的側視圖。Figure 7 is a side view of the edge ring in Figure 6A.

在該等圖式中,索引號碼可重複使用以表明相似及/或相同部件。In these drawings, the index number can be reused to indicate similar and/or identical parts.

4:細節 4: details

200:邊緣環 200: edge ring

210:環形主體 210: ring body

216:底部表面 216: bottom surface

220-1:凸部 220-1: Convex

220-2:凸部 220-2: Convex

220-3:凸部 220-3: Convex

220-4:凸部 220-4: Convex

220-5:凸部 220-5: convex

230:徑向內表面 230: radial inner surface

234:徑向外表面 234: radial outer surface

Claims (15)

一種用於電漿處理系統之邊緣環,包含:一第一環形主體,其設置以在電漿處理過程中環繞一基板支撐件,其中該第一環形主體之一徑向外表面係設置以當鄰近於一頂部邊緣環之一第二環形主體的一面對側表面而配置時界定一預定間隙,該頂部邊緣環在電漿處理過程中係暴露於電漿;及P個凸部,該P個凸部從該第一環形主體之該徑向外表面在朝向該第二環形主體之該面對側表面的方向上延伸,其中該P個凸部係配置在該第一環形主體之該徑向外表面上的P個相隔之位置,且該P個凸部係配置以減少在電漿處理過程中該預定間隙的變化,其中P係大於等於3且小於等於8的整數。 An edge ring for a plasma processing system, comprising: a first ring-shaped body arranged to surround a substrate support during the plasma processing process, wherein a radially outer surface of the first ring-shaped body is arranged To define a predetermined gap when disposed adjacent to a facing side surface of a second ring body of a top edge ring, the top edge ring being exposed to plasma during plasma processing; and P protrusions, The P protrusions extend from the radially outer surface of the first annular body in a direction toward the facing side surface of the second annular body, wherein the P protrusions are arranged in the first annular body P spaced apart positions on the radially outer surface of the main body, and the P protrusions are configured to reduce the change of the predetermined gap during the plasma treatment process, where P is an integer greater than or equal to 3 and less than or equal to 8. 如請求項1所述之用於電漿處理系統之邊緣環,其中該P個凸部係以360°/P的間隔加以配置。 The edge ring for a plasma processing system as described in claim 1, wherein the P protrusions are arranged at an interval of 360°/P. 如請求項1所述之用於電漿處理系統之邊緣環,更包含覆蓋該P個凸部的一塗層。 The edge ring used in the plasma processing system as described in claim 1 further includes a coating covering the P protrusions. 如請求項3所述之用於電漿處理系統之邊緣環,其中該塗層包含一絕緣材料。 The edge ring for a plasma processing system according to claim 3, wherein the coating includes an insulating material. 如請求項3所述之用於電漿處理系統之邊緣環,其中該塗層係選自由聚四氟乙烯(PTFE)、全氟烷氧基聚合物(PFA)、氧化鋁、氧化釔、及氟化釔所組成之群組。 The edge ring for plasma processing system according to claim 3, wherein the coating is selected from polytetrafluoroethylene (PTFE), perfluoroalkoxy polymer (PFA), alumina, yttrium oxide, and The group consisting of yttrium fluoride. 如請求項1所述之用於電漿處理系統之邊緣環,其中該P個凸部從該第一環形主體之該徑向外表面以在50微米到250微米之範圍內的一預定距離加以延伸。 The edge ring for a plasma processing system according to claim 1, wherein the P protrusions are at a predetermined distance in the range of 50 micrometers to 250 micrometers from the radially outer surface of the first annular body To be extended. 如請求項1所述之用於電漿處理系統之邊緣環,其中該第一環形主體具有一「L」形的剖面。 The edge ring for a plasma processing system according to claim 1, wherein the first ring-shaped body has an "L"-shaped cross section. 如請求項1所述之用於電漿處理系統之邊緣環,其中該第一環形主體係配置在該第二環形主體的下方,在由該第二環形主體所界定之一空腔中。 The edge ring for a plasma processing system according to claim 1, wherein the first ring-shaped main system is disposed below the second ring-shaped main body in a cavity defined by the second ring-shaped main body. 如請求項1所述之用於電漿處理系統之邊緣環,其中該P個凸部係以360°/P之間隔加以配置,且更包括一塗層,該塗層包含覆蓋該P個凸部的一絕緣材料。 The edge ring for a plasma processing system according to claim 1, wherein the P protrusions are arranged at an interval of 360°/P, and further include a coating including covering the P protrusions Part of an insulating material. 如請求項9所述之用於電漿處理系統之邊緣環,其中該塗層係選自由聚四氟乙烯(PTFE)、全氟烷氧基聚合物(PFA)、氧化鋁、氧化釔、及氟化釔所組成之群組。 The edge ring for plasma processing system according to claim 9, wherein the coating is selected from polytetrafluoroethylene (PTFE), perfluoroalkoxy polymer (PFA), alumina, yttrium oxide, and The group consisting of yttrium fluoride. 如請求項1所述之用於電漿處理系統之邊緣環,其中:該P個凸部係以360°/P之間隔配置在該第一環形主體之該徑向外表面上,且該P個凸部從該第一環形主體之該徑向外表面以在50微米到250微米之範圍內的一預定距離徑向向外延伸。 The edge ring for a plasma processing system according to claim 1, wherein: the P protrusions are arranged on the radially outer surface of the first annular body at an interval of 360°/P, and the The P protrusions extend radially outward from the radially outer surface of the first annular body at a predetermined distance in the range of 50 micrometers to 250 micrometers. 如請求項1所述之用於電漿處理系統之邊緣環,其中:該第一環形主體具有一「L」形的剖面,該P個凸部係以360°/P之間隔配置在該第一環形主體之該徑向外表面上,且該P個凸部從該第一環形主體之該徑向外表面以在50微米到250微米之範圍內的一預定距離加以延伸。 The edge ring for a plasma processing system according to claim 1, wherein: the first ring-shaped body has an "L"-shaped cross-section, and the P protrusions are arranged at an interval of 360°/P On the radially outer surface of the first ring-shaped body, and the P protrusions extend from the radially outer surface of the first ring-shaped body at a predetermined distance in the range of 50 micrometers to 250 micrometers. 如請求項12所述之用於電漿處理系統之邊緣環,更包含覆蓋該P個凸部的一塗層,其中該塗層包含選自由聚四氟乙烯(PTFE)、全氟烷氧基聚合物(PFA)、氧化鋁、氧化釔、及氟化釔所組成之群組的一絕緣材料。 The edge ring for a plasma processing system according to claim 12, further comprising a coating covering the P protrusions, wherein the coating comprises selected from polytetrafluoroethylene (PTFE), perfluoroalkoxy An insulating material in the group consisting of polymer (PFA), alumina, yttrium oxide, and yttrium fluoride. 如請求項1所述之用於電漿處理系統之邊緣環,其中該第一環形主體具有一「L」形的剖面,該P個凸部從該第一環形主體之該徑向外表面以在50微米到250微米之範圍內的一預定距離加以延伸,且 該第一環形主體係配置在該第二環形主體的下方,且係位在由該第二環形主體所界定之一空腔之內。 The edge ring for a plasma processing system according to claim 1, wherein the first ring-shaped body has an "L"-shaped cross-section, and the P protrusions extend outward from the radial direction of the first ring-shaped body The surface is extended by a predetermined distance in the range of 50 microns to 250 microns, and The first ring-shaped main system is arranged below the second ring-shaped main body, and is located in a cavity defined by the second ring-shaped main body. 如請求項14所述之用於電漿處理系統之邊緣環,更包含覆蓋該P個凸部的一塗層,其中該塗層包含選自由聚四氟乙烯(PTFE)、全氟烷氧基聚合物(PFA)、氧化鋁、氧化釔、及氟化釔所組成之群組的一絕緣材料。The edge ring for a plasma processing system according to claim 14, further comprising a coating covering the P protrusions, wherein the coating comprises selected from polytetrafluoroethylene (PTFE), perfluoroalkoxy An insulating material in the group consisting of polymer (PFA), alumina, yttrium oxide, and yttrium fluoride.
TW109203710U 2020-02-13 2020-03-31 Edge ring with radial projections for a substrate processing system TWM608762U (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062976088P 2020-02-13 2020-02-13
US62/976,088 2020-02-13

Publications (1)

Publication Number Publication Date
TWM608762U true TWM608762U (en) 2021-03-11

Family

ID=74001942

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109203710U TWM608762U (en) 2020-02-13 2020-03-31 Edge ring with radial projections for a substrate processing system

Country Status (3)

Country Link
JP (2) JP1678596S (en)
CN (1) CN212257344U (en)
TW (1) TWM608762U (en)

Also Published As

Publication number Publication date
CN212257344U (en) 2020-12-29
JP1678596S (en) 2021-02-08
JP1678597S (en) 2021-02-08

Similar Documents

Publication Publication Date Title
JP7487360B2 (en) Bottom Ring
TWI835453B (en) Tapered upper electrode for uniformity control in plasma processing
TWI796249B (en) Moveable edge ring designs
CN212874424U (en) Edge ring for plasma processing system and system including the same
US20230369026A1 (en) Moveable edge rings for plasma processing systems
CN114207772B (en) Edge ring system for substrate processing system
TWM608762U (en) Edge ring with radial projections for a substrate processing system
TWM645894U (en) Edge ring system, and cover ring, moveable top ring, and bottom ring thereof