TWI839537B - 封裝裝置、運算系統及用以形成封裝裝置之方法 - Google Patents

封裝裝置、運算系統及用以形成封裝裝置之方法 Download PDF

Info

Publication number
TWI839537B
TWI839537B TW109120991A TW109120991A TWI839537B TW I839537 B TWI839537 B TW I839537B TW 109120991 A TW109120991 A TW 109120991A TW 109120991 A TW109120991 A TW 109120991A TW I839537 B TWI839537 B TW I839537B
Authority
TW
Taiwan
Prior art keywords
memory
chiplet
processor core
coupled
hardware interface
Prior art date
Application number
TW109120991A
Other languages
English (en)
Other versions
TW202114063A (zh
Inventor
阿黛爾 艾爾夏比尼
凡 李
喬安娜 史旺
蕭娜 里夫
派翠克 莫洛威
杰拉爾德 帕斯達斯特
敏 黃
Original Assignee
美商英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/586,167 external-priority patent/US10998302B2/en
Application filed by 美商英特爾公司 filed Critical 美商英特爾公司
Publication of TW202114063A publication Critical patent/TW202114063A/zh
Application granted granted Critical
Publication of TWI839537B publication Critical patent/TWI839537B/zh

Links

Abstract

用於在一封裝裝置提供一積體電路(IC)晶片及小晶片的技術及機制,其中小晶片之記憶體資源可由IC晶片之一或多個處理器核心存取。在一實施例中,此封裝裝置之硬體介面包括在小晶片之一側處的第一導電接觸點,其中此硬體介面之第二導電接觸點經由獨立於小晶片之各別路徑電氣互連至IC晶片。在另一實施例中,一或多個第一導電接觸點經組配以傳遞電力或通訊信號至IC晶片或小晶片之一者的一裝置層。

Description

封裝裝置、運算系統及用以形成封裝裝置之方法
本申請案係關於2019年9月27日申請標題為「包括嵌入於主IC晶片之金屬化層內的小晶片之複合IC晶片」的美國專利申請案第_號,及2019年9月27日申請標題為「通孔複合體IC晶片結構」的美國專利申請案第_號。
本揭示案大體而言係關於積體電路系統,且更特定而言但非排他地,係關於整合於封裝裝置之小晶片中的記憶體陣列。
用語「資料局部性」指用於儲存資料之記憶體資源與自該記憶體資源存取資料之運算電路的實體鄰近度。資料局部性在許多圖形及其他運算應用中係重要的。通常,資料及運算電路系統之相對近的局部性與處理器執行速度及總體流通量之改良相關聯。因此,近的資料局部性通常會對由一處理器進行之資料負載操作及/或資料儲存操作的能量效率作出貢獻。
階層式快取記憶體系統為向資料局部性提供改良之技術的一個範例。然而,與具一單石積體電路(IC)晶片中之一或多個處理器核心的快取記憶體之整合相關聯的各種製造及效能限制。此等限制包括與製造大IC晶片、相關聯電路邏輯之低效率及增加的繞送潛時及/或功率消耗相關聯的困難,尤其是對於具有大核心計數之中央處理單元(CPUs)或圖形處理單元(GPUs)。
當半導體製造之後繼世代持續在大小、操作速度及功率效率方面擴展時,預期會有一增加的需求置於解決方案以改善供一或多個處理器核心使用之資料的局部性。
本發明依據一實施例係提出一封裝裝置,其包含:一主晶片,其包含一處理器核心;一小晶片,其包含經由在該小晶片之一第一側處的一第一硬體介面耦接以與該處理器核心通訊的一記憶體;以及一第二硬體介面,其包含在該小晶片之一第二側處的導電接觸點,其中該第二側係於該第一側對面,其中該第一硬體介面之一第一最小金屬化特徵間距小於該第二硬體介面之一第二最小金屬化特徵間距,其中該小晶片與該主晶片之一第一表面區域重疊,且其中關於該小晶片及該第二硬體介面,該主晶片之一第二表面區域僅由該第二硬體介面重疊。
100、150、300:系統
110、600、660、700:封裝裝置
112、610、710:主晶片
114:核心
116:互連件結構
118:表面區域
120、132、620、650、690、720、750、790:硬體介面
122:部分
124、570、630、730:小晶片
126、130:側
128:記憶體陣列
134、614、634、674、714、734、774:基體
160、310:核心
161、312:L1及L2快取記憶體
162:執行單元
164、332:快取記憶體控制器
172:命令邏輯
174、182、580、581、582、583:IO
180、336:記憶體裝置
184:存取邏輯
186:(多個)記憶體陣列
187、590、591、592、593:庫、記憶體庫
192、194、638、642、738、742:互連件
200:方法
205:操作
210、212、214、216、218、220:步驟
305:電路資源
320:NoC
322:PHYs
330:LLC
334:記憶體控制器
338:NVM及NVM控制器
400、500:IC晶片
405、505:圖例
410、920:網路
430a、430b、……、430m、530a-530d:列
420a、420b、……、420n、520a-520d:行
440、441:PHY電路
461、463、465:路由器
460、462、464:電路系統
560、562、564:IO電路系統
612、632、672、682、712、732、772、782:裝置層
616、636、676a-676e、686a-686e、716、736、776a-776e、786a-786e:金屬化層
640、740:絕緣材料
652、654、752、754:接觸點
692:凸塊
694:墊
792、794:導電墊
800:運算裝置
802:板、主機板
804、902:處理器
806:通訊晶片
900:電腦系統
904:主記憶體
906:靜態記憶體
908:網路介面裝置
910:視訊顯示單元、視訊顯示
912:文數字輸入裝置
914:游標控制裝置
916:信號產生裝置
918:次級記憶體、資料儲存裝置
922:軟體
926:處理邏輯
930:匯流排
932:機器可存取儲存媒體
1000:中介件
1002:第一基體
1004:第二基體
1006:球狀柵格陣列(BGA)
1008:金屬互連件
1010:通孔
1012:矽穿孔通孔(TSV)
1014:嵌式裝置
本發明之各種實施例係藉由範例且並非藉由限制在隨附圖式之諸圖中例示,且其中:圖1A例示根據一實施例展示用以提供對小晶片之記憶體之存取的系統之元件的橫截面側視圖。
圖1B例示展示根據實施例用以提供具有一小晶片之記憶體功能性之系統之元件的功能方塊圖。
圖2例示根據實施例展示用以提供具有小晶片之記憶體功能性的方法之元件的流程圖。
圖3例示根據實施例展示包括記憶體小晶片之多核心系統之元件的功能方塊圖。
圖4例示根據一實施例展示經組配以存取記憶體小晶片之積體電路晶片之元件的佈局圖。
圖5A例示根據一實施例展示經組配以存取記憶體小晶片之積體電路晶片之元件的佈局圖。
圖5B例示根據一實施例展示一記憶體小晶片之元件一功能方塊圖。
圖6A、6B例示根據相對應實施例之各別封裝裝置中之每一者的橫截面側視圖。
圖7A、7B例示根據相對應實施例之各別封裝裝置中之每一者的橫截面側視圖。
圖8例示根據一實施例展示之一運算裝置的功能方塊圖。
圖9例示根據一實施例展示之例示性電腦系統的功能方塊圖。
圖10例示實施一或多個實施例之中介件的橫截面圖。
在以下描述中,論述眾多細節以提供對本發明之實施例之更透徹解釋。然而,對於熟習此項技術者而言將顯而易見,可在無此等特定細節的情況下實踐本發明之實施例。在其他情況下,以方塊圖形式展示而非以詳細的方式展示熟知結構及裝置,以避免混淆本發明之實施例。
應注意,在實施例之相對應圖式中,運用線來表示信號。一些線可較粗,以指示較大數目的構成信號路徑,及/或一些線可在一或多個末端處具有箭頭,以指示資訊流程之方向。此類指示不意欲限制。實情為,該等線結合一或多個範例實施例用以促進電路或邏輯單元之更容易理解。任何被表示的信號,由設計需要或偏好來指出,實際上可包含可在任一方向行進並且可與任何適當類型的信號方案來實現之一或多個信號。
在整個說明書中,以及在申請專利範圍中,用語「連接」意味直接連接,諸如在沒有任何中間裝置的情況下,在連接之東西間之電氣、機械、或磁性連接。用語「耦接」意味直接或間接連接,諸如在連接之東西間之直接電氣、機械、或磁性連接,或者透過一個或多個被動或主動中間裝置之間接連接。用語「電路」或「模組」可指經配置以彼此合作以提供所要功能之一或多個被動及/或主動組件。用語「信號」可指電流信號、電壓信號、磁性信號、或資料/時脈信號之至少一者。「一」及「該」之意義包括複數個參考。「在…中」的意義包括「在…中」及「在……上」。
用語「裝置」通常可指根據該用語之使用情境之設備。例如,裝置可指層或結構之堆疊、單一結構或層、具有主動及/或被動元件的各種結構之連接等。通常,裝置為具有沿x-y方向之平面及沿x-y-z笛卡爾座標系統之z方向之高度的三維結構。裝置的平面也可以是包含裝置的一設備的平面。
用語「調整」一般意指為將一設計(示意圖及佈局)從一程序技術轉換成另一程序技術,並且隨後減少佈局區域。用語「調整」通常亦係指小型化在相同技術節點內之佈局及裝置。用語「調整」亦可指相對於另一參數,例如,電源供應器層級,調整(例如:減緩或加快或加速,亦即,分別地縮小或放大)信號頻率。
用語「實質上」、「接近」、「大約」、「幾乎」及「近乎」通常係指在目標值之+/-10%內。舉例而言,除非另外指出在其使用之明確的情境中,用語「實質上相等」、「近乎相等」及「大約相等」意味如此描述之事物之間不存在多於偶發變化。在此項技術中,此變化通常不超過預定目標值之+/-10%。
應理解,如此使用之用語在適當情形下可互換,使得本文中所描述之本發明的實施例,例如,能夠在不同於本文中所例示或以其他方式描述之那些例子的其他方位上操作。
除非另外指定,否則使用序數形容詞「第一」、「第二」及「第三」等描述共同物件僅指示類似物件之不同例子被指代,且並不意欲暗示如此描述之物件必須在時間上、空間上、等級上抑或以任何其他方式在給定序列中。
出於本發明之目的,片語「A及/或BE」及「A或BE」意味(A)、(BE)或(A及BE)。出於本發明之目的,片語「A、BE及/或C」意味(A)、(BE)、(C)、(A及BE)、(A及C)、(BE及C),或(A、BE及C)。
若有的話,說明及請求項中的用語「左」、「右」、「前」、「後」、「頂部」、「底部」、「在……上方」、「在……下方」及其類似者都是為了描述目的且不一定是用來描述永久性的相對位置。例如,如本文所使用之用語「在……上方」、「在……下方」、「前側」、「後側」、「頂部」、「底部」、 「在……上方」、「在……下方」及「在……上」指相對於在一裝置中之其他參考組件、結構或材料之組件、結構或材料的相對位置,其中此類實體關係為值得注意的。此等用語在本文中僅用於描述目的且主要在裝置z軸之情境中且因此可相對於裝置之方位。因此,若裝置相對於所提供之諸圖中的情境而定向為顛倒,則在本文中提供的圖式之情境中的第一材料「在第二材料上方」亦可為「在第二材料下方」。在材料之情境中,安置於彼此上方或下方之一材料可直接接觸或可具有一或多個中介材料。此外,安置於兩個材料之間的一材料可直接接觸該等兩個層,或可具有一或多個中介層。對比下,「在第二材料上」之第一材料為處於與該第二材料直接接觸狀態中。類似的區別亦可於構件總成的情境中完成。
用語「在……之間」可用於裝置之z軸、x軸或y軸之情境中。兩個其他材料之間的材料可處於與該等材料中得一或兩個處於接觸狀態,或材料可藉由一或多個中介材料分離於其他兩個材料中之兩者。「在兩個其他材料之間」的材料可因此藉由其他兩個材料中之任一者接觸,或該材料可經由中介材料耦接至其他兩個材料。介於兩個其他裝置之間的裝置可直接連接至該等裝置中之一者或兩者,或者可藉由一或多個中介裝置而與該等其他兩個裝置中之兩者分離。
如貫穿本描述及申請專利範圍中所使用,由用語「中之至少一者」或「中之一或多者」接合的項目清單可意味所列出項目之任何組合。舉例而言,片語「A、BE或C中之至少一者」可意味A;BE;C;A及BE;A及C;BE及C;或A、BE及C。將指出,圖式中具有與任何其他圖中之元件相同的參考數字(或名稱)之彼等元件可以類似於所描述之方式之任何方式操作或起作用,但不限於此。
另外,本發明中論述的組合邏輯及順序邏輯的各種元件可同時涉及實體結構(諸如AND閘極、OR閘極或XOR閘極)或實施為所論述邏輯之布林等效物的邏輯結構之裝置的合成集合或以其他方式最佳化之集合。
應指出,圖式中具有與任何其他圖中之元件相同的參考數字(或名稱)的彼等元件可以類似於所描述之方式之任何方式操作或起作用,但不限於此。
本文中所描述之實施例以各種方式提供用於封裝裝置以包括IC晶片及耦接至IC晶片之小晶片的技術及機制,其中小晶片之記憶體資源可由IC晶片之一或多個處理器核心存取。在一些實施例中,此封裝裝置之硬體介面包括在該小晶片之一側處的一或多個導電接觸點,例如,其中相同硬體介面之一或多個其他導電接觸點經由獨立於該小晶片之各別路徑電氣互連至IC晶片。如本文所用,「主晶片」指包括一或多個處理器核心的IC晶片,且「小晶片」指相對小的IC晶粒結構,此結構(例如)僅沿此類主晶片之一部分延伸。
在一些實施例中,一或多個小晶片以各種方式耦接至主晶片,其中該等一或多個小晶片中之每一者包括各別快取記憶體,例如,包括可由主晶片之一或多個核心存取的末級快取記憶體(LLC)。此等實施例以各種方式促進資料快取,如與習知架構相比,該資料快取相對高密度且定位離處理器電路系統很近。另外或替代地,此等實施例使得舊有主晶片技術能夠調適以與較新的快取記憶體技術一起使用-例如,即使快取記憶體技術在一或多個應用完全整合之前可能經歷額外開發。
一些實施例提供用於工作負載之改良功率效率,諸如各種行動應用之彼等,其中當不存在使用者輸入時,一或多個核心頻繁地置於一低電力狀態中。傳統地,此低功率狀態係藉由在位於主晶粒上之SRAM快取記憶體中節省一些處理器核心狀態而達成,例如,其中主晶粒之CPU核心在SRAM保持供電時關閉。為了進一步減少此類應用中之電力消耗,一些實施例以各種方式將處理器核心狀態儲存至封裝有主晶片之小晶片中的NVM。藉由提供此NVM小晶片,一些實施例促進減少之能源經費,及/或致能更快之睡眠/喚醒循環。
另外或替代地,一些實施例以不同方式藉由使一類型的主晶粒與各種類型的記憶體小晶片中之任一者能夠一起使用來促進模組化,例如,允許在沒有需要不同遮罩之捲帶的情況下不同庫存單元(SKUs)的變化。
圖1A展示根據實施例提供對記憶體資源之高效存取的系統100之特徵。系統100為實施例之一範例,其中封裝裝置包含IC晶片及包括(分別)一或 多個處理器核心及對一或多個處理器核心為可存取之記憶體的小晶片。一此實施例之硬體介面包含在小晶片之一側處的導電接觸點,及自小晶片之該側以各種方式偏移的額外導電接觸點。
如圖1A中所示,系統100包括封裝裝置110及基體134,其經由硬體介面132耦接至封裝裝置110及基體134。主晶片112包括一或多個處理器核心,該等處理器核心各自作為記憶體資源之消耗者操作,且小晶片124包括耦接以可由主晶片112之各別處理器核心存取的一或多個記憶體陣列。在此特定情境中,「記憶體」、「記憶體陣列」、「記憶體資源」及相關用語,除非本文其他情況被指示-指快取記憶體或非快取記憶體(舉例來說,諸如系統記憶體)中之任一者。類似地,「記憶體控制器」除非另外指示,否則在本文中係指提供對快取記憶體或非快取記憶體中之一者之存取的控制器電路系統。例如,「快取記憶體控制器」在本文中用以更具體地指提供對快取記憶體之存取的記憶體控制器。
基體134包含一或多個電氣互連件,其各自促進封裝裝置110與包括於系統100中,或替代地耦接於系統100,的一或多個其他裝置(未展示)之間的通訊。在一實施例中,基體134為(或以其他方式包括)封裝體基體、中介件或各種其他結構中之任一者,該等其他結構適合於,例如,通訊一或多個信號及/或一或多個電壓。在一些替代性實施例中,系統100省略基體134,例如,其中該等實施例僅藉由封裝裝置110之結構以各種方式實現。
在所示之示例性實施例中,主晶片112經由另一硬體介面120耦接至小晶片124,該硬體介面之導電接觸點(例如,包括銅襯墊、焊料互連件等之接觸點)安置於小晶片124之沿主晶片112之第一表面區域延伸且重疊的一側126處。此一第一表面區域將被區別,例如與未由側126重疊的一或多個其他表面區域(例如包括所示之例示性第二表面區域118)。
硬體介面132包含至少一些導電接觸點,該等導電接觸點以對比而言安置於小晶片124之另一側130處,其於側126對面。在一些實施例中,硬體介面132延伸經過小晶片124之一或多個邊緣,例如,其中硬體介面132之其他接觸 點沿封裝裝置110之一或多個部分(例如,包括所展示之例示性部分122)以各種方式安置,該等部分沿小晶片124之個別側壁在側126、130之間延伸。舉例而言,一些或所有其他接觸點與第二表面區域118重疊,例如,其中對小晶片124及硬體介面132而言,主晶片112之第二表面區域118僅由硬體介面132重疊。
在各種實施例中,硬體介面120之第一最小金屬化特徵間距小於硬體介面132之相對應之第二最小金屬化特徵間距。作為例示而非限制地,硬體介面120展現最接近導電接觸點之間的第一平均最小距離,例如,其中第一平均最小距離小於(例如,至少10%小於)硬體介面132之最近導電接觸點之間的第二平均最小距離。另外或替代地,在一些實施例中,硬體介面120之接觸點的第一平均橫截面區域小於(例如,至少10%小於)硬體介面132之接觸點之第二平均橫截面區域。
主晶片112包含可作為記憶體資源之消耗者而操作之一處理器核心114。舉例而言,主晶片112係用以執行作業系統、二元輸入/輸出系統(BIOS)、及/或各種其他軟體程序中之任一者。為促進此軟體之執行,小晶片124包含一或多個記憶體陣列(例如,包括所示之例示性記憶體陣列128),其經由硬體介面120(以及,例如,經由耦接於核心114與硬體介面120之間的互連件結構116)耦接至處理器核心114使其可由處理器核心114存取。在一實施例中,記憶體陣列128包含靜態隨機存取記憶體(SRAM)胞元或動態隨機存取記憶體(DRAM)胞元。另外或替代地,處理器核心114耦接至記憶體陣列128以快取資料,例如,其中處理器核心114經耦接以存取記憶體陣列128之末級快取記憶體(LLC)。在各種其他實施例中,記憶體陣列128包含非依電性記憶體(NVM)胞元。
在一些實施例中,小晶片124進一步包含耦接於硬體介面120與記憶體陣列128之間的記憶體控制器(未展示),該記憶體控制器用來代表以核心114執行的程序而控制記憶體存取。然而,在其他實施例中,至少一些記憶體控制器邏輯以操作駐留主晶片112上之記憶體陣列128-例如,在亦包括核心114之主晶片112的裝置層中。
在一些實施例中,主晶片112進一步包含一或多個其他處理器核心(未展示),該一或多個其他處理器核心中之一些或全部亦可各自存取記憶體陣列128之個別部分。然而,在其他實施例中,主晶片112省略任何此類額外核心,及/或該等額外核心能夠僅經由核心114存取記憶體陣列128(若有的話)。藉由在小晶片124中提供安置於硬體介面132與主晶片112之間的記憶體陣列128,與先前技術及架構相比,一些實施例以各種方式促進用於由封裝裝置110之一或多個核心使用的資料之經改良局部性。此經改良資料局部性使得例如與需要經由基體134進行的此存取相比能夠更有空間效率、時間效率及/或功率效率的存取記憶體資源。另外或替代地,一些實施例以各種方式提供主晶片及小晶片之各別記憶體類型及/或程序之間的變化,例如,其中替代在主晶片上使用單石整合記憶體,在小晶片上提供相異的SRAM程序或DRAM程序。
在一些實施例中,硬體介面132中之至少一個接觸點,例如,包括在側130處之街接觸點,經耦接(或以其他方式接納耦接)以促進經由小晶片124之互連件至主晶片112之第一裝置層及/或小晶片124之第二裝置層的信號或電壓之通訊。在一個此類實施例中,該第一裝置層包含包括核心114之主動電路元件,而該第二裝置層包含記憶體陣列128之主動電路元件,例如,其中該第一裝置層或該第二裝置層中之一者係至少部分地由經由延伸至硬體介面132並至少部分地穿過小晶片組124之一互連件(未展示)所提供之一供應電壓來供電。儘管一些實施例就此而言不受限制,但舉例來說此互連件延伸至硬體介面120、132中之每一者。
在一些實施例中,硬體介面132之一或多個接觸點以各種方式耦接(或以其他方式接納耦接)以各自促進獨立於小晶片124的硬體介面132與主晶片112之間的個別信號通訊及/或電壓通訊。在一個此類實施例中,部分122中之一者已在其中形成互連結構(未展示),該互連結構延伸至硬體介面132之接觸點,該硬體介面自小晶片124之側130偏移。此互連件結構例如在主晶片112之表面區域118處耦接至另一導電接觸點(未展示)。透過說明而非限制之方式,在一些實 施例中,部分122之一給定者包含銅柱結構(未展示),其自表面區域118以各種方式延伸至硬體介面132,其中部分122之一模製複合物、一層間介電質或其他此類絕緣體材料延伸在各種該等銅柱狀物結構周圍(及例如介於該等銅柱狀物結構間)。替代地或另外,部分122之一給定者包含圖型化金屬化層,該等圖型化金屬化層藉由通孔結構而以各種方式互相耦接、耦接至硬體介面132,及耦接至表面區域118中的接觸點(未展示)。例如,層間介電質材料提供此類圖型化金屬化層之間的電氣絕緣。在一些實施例中,一模製複合物、層間介電(ILD)及/或部分122的任何其他這種絕緣體材料將要經分辨,例如,從一沉積在封裝裝置110下方及/或周圍的底部填充(若有的話)區分,以促進封裝裝置110與基體134的耦接。
圖1B展示根據實施例之有效地存取小晶片之記憶體資源的系統150之特徵。系統150例示一實施例,其中封裝裝置包括主晶片及耦接至該主晶片之小晶片,其中該小晶片之記憶體陣列可由主晶片之處理器核心存取。系統150包括例如系統100之特徵。
如圖1B中所示,系統150包含快取記憶體控制器164及經由互連件194耦接至其上之記憶體裝置180。快取記憶體控制器164係進一步經由一互連件192耦接至系統150之一處理器核心160,該互連件192在一些實施例中,為一晶片內網路(NoC)的互連件。在所示範例實施例中,核心160之一執行單元162包含用以執行一作業系統、一二元輸入/輸出系統(BIOS)、及/或各種其他軟體程序之任一者的電路系統。為了幫助此執行,核心160進一步包括一或多個局部快取記憶體(例如,包括所示之例示性L1及L2快取記憶體161),及用以請求快取資料或使用經預測以用於未來之資料來預填充快取記憶體的電路系統。快取記憶體控制器164之功能性,例如,管理快取條目及/或支援快取一致性方案,包括,舉例來說,根據習知資料快取技術改編的一或多個操作。
記憶體裝置180包括各種不同類型的記憶體技術的任何一種,例如,具有記憶體胞元的橫列,其中資料經由字線或等效物來存取。在一實施例中,記憶體裝置180包括動態隨機存取記憶體(DRAM)技術。 記憶體裝置180包括一或多個記憶體陣列186,其例如包括記憶體之一或多個邏輯及/或實體群組。記憶體之此分組之範例由例如各自包括以列及行配置的儲存元件之各別陣列的記憶體資源之庫187來例示。記憶體裝置180包括存取邏輯184以至少部分促進對一或多個記憶體陣列186之存取,例如,其中提供此存取以用於服務來自快取記憶體控制器164之一或多個命令。在一實施例中,存取邏輯184包括記憶體裝置180或結合記憶體裝置180之邏輯來操作,其(例如)根據習知技術提供資源存取。
快取記憶體控制器164透過一互連件194之一或多條匯流排,諸如未展示之一命令/位址(CA)匯流排,向記憶體裝置180發送命令或指令。此等命令由記憶體裝置180解譯,例如包括解碼執行記憶體內之各種存取功能之指令資訊及/或解碼行邏輯及/或列邏輯之位址資訊的記憶體裝置180。舉例而言,此邏輯以行位址選通或信號(CAS)與列位址選通或信號(RAS)的組合存取記憶體庫187中之一者的特定位置。在一些實施例中,記憶體列之係根據已知的記憶體架構或其衍生元件來實施。例如,記憶體庫187中之給定者之一列包括記憶體胞元之一或多個可定址行,如由記憶體裝置180之行邏輯產生的CAS所識別。列可各自經由由記憶體裝置180之列邏輯產生的RAS以各種方式定址。
在一實施例中,對一或多個記憶體陣列186之存取係用於經由耦接至記憶體裝置180之IO電路系統182的資料匯流排寫入經交換之資料及/或讀取待交換之資料之目的。舉例而言,互連件194之資料匯流排信號線(未展示)將快取記憶體控制器164之IO電路系統174耦接至IO電路系統182及/或一或多個其他記憶體裝置(未展示)。在一實施例中,快取記憶體控制器164包括經由互連件194之CA匯流排發送命令之命令邏輯172,例如,包括多種硬體邏輯及/或執行軟體邏輯中之任一者。命令邏輯172包括或耦接至記憶體控制器之邏輯,該邏輯執行產生、傳輸或另外判定根據一或多個習知技術來發送(在一些實施例中)之命令的操作。
在各種實施例中,系統150之一些或全部由封裝裝置實施,該封裝 裝置包含一或多個主晶片及一或多個小晶片,其各自以各種方式耦接至該一或多個主晶片中之各別者,其中一個此主晶片包括核心160,且其中耦接至該核心的小晶片包括一或多個記憶體資源,包括例如一或多個記憶體陣列186中之一些或全部(及例如,存取邏輯184)。以說明而非限制之方式,在一些實施例中,核心160功能上對應於核心114-例如,其中一些或所有記憶體庫187在功能上對應於記憶體陣列128。在一個此類實施例中,快取記憶體控制器164常駐在小晶片上,例如,其中互連件192包括或耦接至諸如硬體介面120之硬體介面的一或多個接觸點。在一替代實施例中,快取記憶體控制器164之至少一些電路系統常駐於主晶片上,例如,其中互連件194包括或耦接至諸如硬體介面120之硬體介面的一或多個接觸點。藉由在安置於封裝裝置之硬體介面(舉例而言,諸如介面132)與封裝裝置之主晶片之間的小晶片上提供SRAM、DRAM、NVM或其他記憶體,系統150促進用於由一或多個核心使用之資料之經改良局部性。
圖2展示根據一實施例之對主晶片提供用以存取小晶片之記憶體的方法200之特徵。方法200經執行以提供例如系統100或封裝裝置150之功能性。在各種實施例中,方法200包含用以製造封裝裝置的操作(例如,包括所示之例示性操作205),該封裝裝置例如包括封裝裝置110之特徵。另外或替代地,方法200包含以此封裝裝置進行之一或多個其他操作。
舉例而言,如圖2中所展示,操作205包括(在210處)形成諸如主晶片112之主晶片,其包含處理器核心。在一些實施例中,主晶片包含多個核心,例如,其中主晶片之網路節點包括該等多個核心及例如開關、路由器及/或橋接器之各種合適配置中之任一者以促進該等核心之間的通訊。操作205進一步包含(在步驟212)形成包含記憶體陣列之小晶片,例如,包括記憶體陣列128。在一實施例中,小晶片包括一或多個依電性記憶體陣列,包括例如SRAM胞元及/或DRAM胞元。可替代地,小晶片包括一或多個非依電性記憶體(NVM)陣列。在一些實施例中,210處及/或212處之形成包含例如自習知半導體製造技術改編的操作。
操作205進一步包含(在214處)將主晶片耦接至小晶片,包括在小晶片之第一側處經由第一硬體介面(例如,硬體介面120)將記憶體陣列耦接至處理器核心。例如,在一些實施例中,214之耦接包含在主晶片與小晶片之間形成焊料互連件。替代地或另外,214處之耦接包含例如藉由熱壓接合、混合式接合或其類似者形成主晶片與小晶片之間的各種直接(例如,銅對銅)互連件中之任一者。在一些實施例中,處理器核心係經耦接以經由第一硬體介面快取記憶體資料至記憶體陣列(及/或存取來自記憶體陣列之快取資料)。在一個此實施例中,記憶體陣列經耦接以提供用於主晶片之一或多個處理器核心的末級快取記憶體(LLC)。
操作205進一步包含(在216處)形成第二硬體介面,該第二硬體介面包含在小晶片之第二側處的導電接觸點,其中第二側於第一側對面。小晶片與主晶片之一第一表面區域重疊,例如,其中該第二硬體介面之一些接觸點係在與該第一表面區域重疊的小晶片之一側(諸如側130)處。在一個此類實施例中,第二硬體介面之其他接觸點自小晶片偏移及與主晶片之第二表面區域重疊(諸如,表面區域118),例如,其中對於小晶片及第二硬體介面,主晶片之第二表面區域僅由第二硬體介面重疊。
在一些實施例中,216處之形成包含沉積或以其他方式構建(部分122之)互連結構,其延伸自主晶片經由包圍或以其他方式鄰接小晶片之一或多個側壁結構的一或多個介電層。在一個此類實施例中,小晶片至少部分地形成自在經由第一硬體介面耦接至主晶片之後,經由一個或多個研磨、拋光及/或其他消去處理而成的一較大小晶片。在216處之形成包括(例如)自習知遮罩、沉積及蝕刻技術改編以形成硬體介面之導電接觸點的處理。在各種實施例中,第一硬體介面之第一最小金屬化特徵間距小於第二硬體介面之第二最小金屬化特徵間距。
在各種實施例中,主晶片包含包括處理器核心之網路,例如,其中網路之至少一些節點在包含列及行之陣列組態中彼此耦接。為了促進至該網路及/或自該網路之通訊,主晶片進一步包含例如一或多個實體層(PHY)電路,其 各經由該等行或列之一者之各別末端耦接至該陣列組態。在一個這樣的實施例中,小晶片的記憶體陣列被耦接以支援至少一些與處理器核心的通訊,該處理器核心獨立於裝置層的任何PHY電路,它們經由該等列或行之一者或其中一者的一各別末端耦接至該網路。舉例而言,主晶片之至少一些其他IO電路系統耦接於第一硬體介面之接觸點與陣列組態之節點之間。在各種實施例中,主晶片進一步包含記憶體控制器電路系統,其耦接於處理器核心與第一硬體介面之間,例如,其中記憶體控制器電路對處理器核心提供對於小晶片之記憶體陣列存取。
在各種實施例中,方法200另外或替代地包含藉由諸如自操作205產生之封裝裝置執行的程序。例如,方法200進一步包含(在218處)經由第二基體將基體耦接至包括主晶片及小晶片的封裝裝置。例如,在一些實施例中,該基體(例如,基體134)是矽中介件、封裝體基體或電路板的基體。在一個此類實施例中,方法200進一步包含(在220處)以處理器核心存取記憶體陣列,當封裝裝置耦接至基體時。
圖3展示根據實施例之用來有效地存取小晶片之記憶體資源的系統300之特徵。系統300為其中封裝裝置包含主晶片及一或多個小晶片之實施例之一個範例,該等小晶片各自安置於主晶片之各別區域下方,其中主晶片之多個處理器核心各自經組配來存取各別小晶片之記憶體資源。在各種實施例中,系統300包括系統100或封裝裝置150之特徵,例如其中根據方法200提供系統300之功能性。
如圖3中所示,系統300包括主晶片及耦接至該主晶片之小晶片(例如,分別為主晶片112及小晶片124),其中主晶片包含至少一些電路資源305。電路資源305描繪根據一個示例性實施例的處理能力晶片之選定組件及功能區塊之抽象區塊層級視圖。此等組件包括多個處理器核心310,其提供主晶片之主要處理操作。雖然所示示例性實施例描繪電路資源305之至少三個處理器核心,但將認識到,電路資源305可包括各種數目之處理器核心中任一者,諸如1、2、4、6、8、10、12等。核心310乃彼此以不同方式耦接(例如經由所示例示性晶片內網 路NoC 320)。NOC 320大致代表支援電路資源305中諸組件之間進行通訊之各種電路系統,如適用地包括匯流排、路由器、及控制邏輯。此等連接的進一步細節不會被展示以免模糊系統300的細節。
在各種實施例中,主晶片包含用於與主晶片外部之組件通訊的各種介面中之任一者,該等組件諸如碟片驅動器及其他輸入/輸出(IO)裝置、網路介面、BIOS/韌體及周邊裝置,以及可經由插座對插座互連件或用於晶片之間的通訊的其他形式之互連件耦接至主晶片的其他晶片。例如,用以促進至外部組件之通訊的介面包括各種實體層(PHY)電路,諸如所示之例示性PHYs 322,其各者包括個別的發射器電路及接收器電路。在一個此類實施例中,PHYs 322中之一或多個各自耦接至個別PCIe互連件,例如,其中PHYs 322包括一或多個x16 PCIe介面(具有16通道之鏈結寬度)、一或多個x8 PCIe介面(每一者具有8線道之鏈結寬度)等。然而,此類PCIe介面之鏈結寬度及數目僅為示範性的,且不限於一些實施例。此類PCIe介面用來例如與各種周邊及系統組件介接,該等組件諸如PCIe擴充槽、視訊卡、視訊晶片等。
系統300進一步包含記憶體及記憶體邏輯資源,至少一些邏輯資源常駐於耦接至包括電路資源305的主晶片上。在一個此類實施例中,此類記憶體存取資源支援資料快取及一致性功能性,例如,其中核心310中的一些核心或全部各自包括或以其他方式存取個別的多個快取記憶體層級,其中最接近於給定核心之快取記憶體具有最小延遲及最小大小,且距離較遠的快取記憶體較大但具有更多潛時。舉例而言,一個典型組態使用第一及第二層級快取記憶體,通常被稱作L1及L2快取記憶體。另一共同組態可進一步使用一第三層級或L3快取記憶體。在本文中以各種方式揭示之封裝裝置的情境中,一最高層級的快取記憶體被稱為末級快取記憶體,或LLC。舉例而言,用於給定核心之LLC可通常包含在亦採用L1及L2快取記憶體的情況下的L3型快取記憶體,或在其他快取記憶體僅為L1快取記憶體之情況下採用L2型快取記憶體。當然,此可經擴展至快取記憶體進一步之層級,其中LLC對應於快取記憶體之最後(亦即,最高)層級。
在所示之範例實施例中,系統300包含各自為處理器核心310之各別者「私用」的第一層級(L1)及第二層級(L2)快取記憶體(如由L1/L2區塊312所描繪)。核心310亦經由NoC 320及各別快取記憶體控制器332以各種方式耦接至一或多個末級快取記憶體(LLCs)330。記憶體存取資源進一步包含一記憶體裝置336及提供核心310存取記憶體裝置336之一記憶體控制器334。快取記憶體控制器332各自提供例如管理LLC 330之各別者中之資料的各別快取代理功能性及/或一致性代理(或「本籍代理」)功能性。在一些實施例中,系統300包含其他PHY電路(未展示)以促進一或多個LLC 330、快取記憶體控制器332、記憶體控制器334及記憶體裝置336中之各者之間的通訊。
在一些實施例中,記憶體裝置336提供系統記憶體,其例如,配置作為代表將經由記憶體控制器334來存取之記憶體資源的一邏輯分割之記憶體區塊。在此一實施例中,記憶體裝置336包含一或多個記憶體模組,例如,其中記憶體控制器334係經由一或多個DDR介面,諸如DDR3介面,耦接至記憶體裝置336。
在各種實施例中,系統300之封裝裝置包含主晶片(其包括電路資源305)以及一或多個小晶片,該等小晶片又各自包括可用於核心310中之一些或全部的個別記憶體存取資源。透過說明而非限制的方式,封裝裝置的一個這樣的小晶片包括該等一或多個LLC 330的一些或全部,例如,其中這樣的小晶片的一快取記憶體包含SRAM胞元。在一個此類實施例中,相同小晶片(或封裝裝置之另一小晶片)進一步包含快取記憶體控制器332。替代地,在各種實施例中,主晶片包含快取記憶體控制器332。
可替代地或另外地,封裝裝置的一小晶片包含有其他類型的記憶體,例如,包括所示之例示性非依電性記憶體(NVM)和NVM控制器338之一或多個記憶體陣列。在小晶片處提供之NVM資源中,一些實施例使得在非常低的功率輸送期間能夠以該小晶片核心狀態之有效儲存(例如,用於核心310中之一或多者),其係相較於用於在封裝外NVM中之此核心狀態之習知儲存。另外地或可替 代地,在一小晶片處提供NVM資源使得能夠進行自小晶片至各種核心310之狀態的低潛時擷取。
圖4展示根據實施例之用以存取小晶片之記憶體資源的IC晶片400之特徵。IC晶片400為實施例之一範例,其中在待被包括於封裝裝置中之主晶片的網路中,提供具有一路徑之一處理器核心來存取該封裝裝置之小晶片。延伸至核心及小晶片之路徑獨立於在網路之一邊緣處耦接的主晶片之任何PHY電路。在各種實施例中,IC晶片400包括主晶片112或電路資源305之特徵,例如,其中IC晶片400根據方法200耦接以與小晶片之記憶體操作。
如圖4中所示,IC晶片400包括節點之網路410,例如,包括至少一些處理器核心及(例如)各種開關、橋接器或路由器(參見圖例405)中之任一者,其中該等節點中之至少一些在包含列及行之陣列組態中彼此耦接。經由例示且非限制性,網路410包括路由器節點,其以各種方式彼此耦接至列430a、430b、……、430m及行420a、420b、……、420n之陣列中。在一個此類實施例中,此等路由器促進用於處理器核心、記憶體控制器、快取記憶體、PCIE I/O電路及/或其他網路代理之間的切換通訊之晶片上網路(例如,包括網格架構)。
在各種實施例中,IC晶片400進一步包含一或多個實體層(PHY)電路,其各自經由列430a、430b、……、430m中一者之各別端及/或在行420a、420b、……、420n中之一者的各別端處以各種方式耦接至網路410。該一或多個PHY電路各包括個別發送器電路系統及/或個別接收器電路系統,用來促進網路410與耦接至或待耦接至網路410之其他電路(未展示)之間的通訊。以說明而非限制之方式,網路410形成於IC晶片400之區中,例如,其中IC晶片400之PHY 450a、450b、……、450n沿區部之側配置且以各種方式在行420a、420b、……、420n之端處(各別地)被耦接。替代地或另外,一或多個PHY(諸如,所示之例示性PHY 440、441)各自在列430a、430b、……、430m中之一者之各別端處被耦接。在一實施例中,PHY電路450a、450b、……、450n中之給定者及/或PHY電路440、441中之一者包括(例如)用於提供對記憶體之存取的PHY,或高速IO埠之PHY。
在一些實施例中,IC晶片400耦接或以其他方式接納此耦接以致能網路410之處理器核心與小晶片(未展示)之記憶體陣列之間的至少一些通訊,其中此通訊,但未必全部通訊在主晶片與小晶片之間的通訊,係獨立於經由陣列組態之列或行之各別末端耦接至網路410的IC晶片400之任何PHY電路。舉例而言,陣列組態之一或多個節點,除了任何用於連結至另一個路由器或至另一個網路410之處理器核心之外,各自具有至少一個額外埠,其能夠用以耦接至亦包括IC晶片400之封裝裝置的小晶片(未展示)。作為例示而非限制地,在列430a及行420a兩者中之路由器461耦接至快取記憶體控制器電路系統460,其促進對小晶片之記憶體資源的存取。另外或替代地,在列430m及行420b兩者中之路由器463耦接至快取記憶體控制器電路系統462,其促進對同一片(或替代地,封裝裝置之另一小晶片)之記憶體資源的存取。另外或替代地,在列430b及行420n兩者中之路由器465耦接至快取記憶體控制器電路系統464,其促進存取小晶片之記憶體資源。在一個此類實施例中,IC晶片400之微凸塊、混合接合墊及/或其他垂直(z軸)互連結構促進將各自耦接至各別小晶片,諸如小晶片124,之快取記憶體控制器電路系統460、462、464之耦接。舉例來說,一給定快取記憶體控制器電路系統460、462、464提供諸如快取記憶體控制器164或快取記憶體控制器332之一者的功能性。
在各種實施例中,快取記憶體控制器電路系統460、462、464各自常駐於IC晶片400上。然而,在其他實施例中,快取記憶體控制器電路系統460、462、464的一給定者包括至少常駐於一小晶片上之一些電路系統,例如,其中路由器461、463、465中之一相對應者經由諸如硬體介面120的一硬體介面來耦接該類電路系統。在允許網路410之一或多個核心存取小晶片之記憶體資源而不需要經由網路410之邊緣的通訊時,一些實施例以各種方式提供用於核心之經改良資料局部性,例如,自網格(或其他)多處理器網路架構之邊緣偏移。另外地或可替代地,一些或所有此等實施例在例如包括較高密度記憶體類型之小晶片,諸如堆疊SRAM或DRAM,的情況下以各種方式提供經改良快取記憶體容量。
所屬技術領域中具有通常知識者將了解的是,採用本文中本揭露之效益,網路410中之節點之特定布置結構舉例而言,例如包括相對於各者之陣列組態之列或行之數量、處理器之相對組態、開關及/或路由器,僅例示並且不限於一些實施例。舉例而言,在各種實施例中,網路410之拓撲適於自各種合適環形、星形或網格架構(或其他)多核網格架構中之任一者,其並不限制一些實施例且本文中不詳述以避免混淆各種實施例之某些特徵。應進一步瞭解,耦接至網路410之特定數目、配置及/或類型PHY亦僅為例示性的,且不限於一些實施例。
圖5A展示根據另一實施例的用以存取小晶片之記憶體資源之IC晶片500之特徵。IC晶片500為一實施例之一範例,其中一主晶片包括包括多個核心之一網路或多個節點,其中該主晶片之IO電路系統以各種方式安置於該網路中之不同位置以接納一或多個小晶片之一各別核心與一各別記憶體資源之間的通訊。在各種實施例中,IC晶片500包括主晶片112或電路資源305之特徵,例如,其中IC晶片500根據方法200耦接以與小晶片之記憶體操作。
如圖5A中所示,IC晶片500包含包括路由器及處理器核心之網路節點(見圖例505)。該等節點中之各種節點在包含列及行之陣列組態中彼此耦接。以示列而非限制之方式,IC晶片500包括IC晶片400之特徵,例如,其中IC晶片500之列530a至530d功能對應於列430a、430b、……、430m,且其中IC晶片500之行520a至520d功能對應於行420a、420b、……、420n。在一個此類實施例中,IC晶片500進一步包含IO電路系統560,其經耦接以使核心共容易存取小晶片,該等核心(舉例來說)按各種方式各自分別與在行530a、530b的其中之一以及亦在行520a、520b的其中之一的相應路由器連接。可替代地或此外,IC晶片500的IO電路系統562係經耦接以使核心共容易存取小晶片,該等核心按各種方式各自分別與在行530a、530b的其中之一以及亦在行520c、520d的其中之一的相應路由器連接。可替代地或此外,IC晶片500的IO電路系統564經耦接以使核心共容易存取小晶片,該等核心按各種方式各自分別與在行530c、530d的其中之一以及亦在行520a、520b的其中之一的相應路由器連接。在各種實施例中,IO電路系統560、 562、564的一給定者進一步包含快取記憶體控制器電路,其例如提供快取記憶體控制器164或快取記憶體控制器332的其中之一的功能性。在其他實施例中,快取記憶體控制器之此功能性替代地實施於經由IO電路系統560、562、564中之給定者耦接至IC晶片500的小晶片上。
圖5B展示根據一實施例的待與主晶片一起封裝且為主晶片提供記憶體資源之小晶片570之特徵。小晶片570為多個IO電路各可操作來提供存取個別一或多個記憶體庫之一實施例之一範例,例如,其中該等IO電路各待耦接至一主晶片之一不同個別網路節點。在一此類實施例中,舉例來說小晶片570根據方法200對主晶片提供記憶體資源存取,例如,其中小晶片570包括小晶片124之特徵。
如圖5B中所示,舉例來說小晶片570包括各種IO電路580-583,其各提供IO電路系統182之個別功能性。IO電路580-583係各耦接以提供存取至小晶片570之一各別一或多個記憶體庫。在所示之範例實施例中,小晶片570之儲庫590可經由IO電路580存取,例如,其中儲庫591可經由IO電路581存取,儲庫592可經由IO電路582存取,及/或儲庫593可經由IO電路583存取。在一些實施例中,小晶片570包括2N個IO電路(其中N為正整數),例如,每一者支援與主晶片之不同個別核心通訊。
在依據一實施例之一例示情節中,小晶片570支援耦接至IC晶片500,例如,其中IO電路系統560(例如)包括IO電路,其各被組配來經由一硬體介面諸如硬體介面120耦接到IO電路581-583之一各別者。在另一此類實施例中,小晶片570支援耦接至IC晶片400,例如,其中快取記憶體控制器電路系統460、462、464各包括一IO電路,以耦接至IO電路581至583中之不同各別者。
在所示之範例實施例中,IO電路580至583係以各種方式布置在包括記憶體庫590-593之一區域周圍,例如,其中沒有IO電路580-583係介於記憶體庫590-593之任何兩者之間。在其他實施例中,記憶體庫590至593係以各種方式配置在包括IO電路580-583之一區域的周圍,例如,其中沒有記憶體庫590至593 係介於IO電路580-583中的任何兩者之間。在各種實施例中,將IO電路580至583之一給定者耦接至一主晶片之一相對應IO電路(例如,耦接至IO電路系統560之一IO電路)的導電接觸點係安置在一相對應一或多個記憶體庫上。在一個此類實施例中,該等導電接觸點,例如,包含微凸塊、或混合接合墊,接納所需數目之電氣連接及/或用以存取該等一或多個記憶體庫之所需實體間距。
圖6A展示根據實施例之用以提供具有主晶片及小晶片之記憶體存取的封裝裝置600之特徵。封裝裝置600為實施例之一範例,其中安置在主晶片與硬體介面之間的小晶片包括可由主晶片之一或多個處理器核心存取的記憶體資源。例如,封裝裝置600之功能性係根據方法200來提供。
如圖6A中所展示,封裝裝置600包括主晶片610及經由硬體介面620耦接至其之小晶片630。主晶片610包括一或多個處理器核心,且小晶片630之記憶體資源經耦接以用各種方式由一些或全部此等一或多個處理器核心存取。在一個此類實施例中,主晶片610提供小晶片112、400、500中之一者的功能性,例如,其中小晶片630提供小晶片124、570中一者之功能性,且其中硬體介面620功能上對應於硬體介面120。
在展示之範例實施例中,主晶片610包含半導體基體614及包括以各種方式製造於基體614上的電晶體及/或其他電路元件之裝置層612。裝置層612包括一或多個處理器核心,其例如包括核心310、網路410之核心或核心之各種其他合適配置中之任一者。主晶片610進一步包含一或多個金屬化層(例如,包括所示之例示性金屬化層616),其以各種方式將裝置層612之電路結構彼此、與硬體介面620及/或與封裝裝置600之硬體介面650互連。舉例來說,硬體介面650功能上對應於例如硬體介面132。
小晶片630類似地包含半導體基體634及包括以各種方式製造於基體634上之電晶體及/或其他電路元件的裝置層632。然而,裝置層632包括一或多個記憶體陣列之電晶體及/或其他電路元件,其例如包括記憶體庫187、記憶體庫590至593或一或多個記憶體資源之各種其他適合的佈置中任一者。小晶片630進 一步包含一或多個金屬化層(例如,包括所示之例示性金屬化層636),其以各種方式將裝置層632之電路結構彼此、與硬體介面620及/或與硬體介面650互連。在各種實施例中,硬體介面650包括一或多個第一導電接觸點,例如,包括所展示之例示性接觸點652,其在小晶片630之一側(並非安置硬體介面620之小晶片630之側)處。在一個此類實施例中,硬體介面650進一步包含自小晶片630偏移之一或多個第二導電接觸點(例如,包括所展示之例示性接觸點654)。
在一個此類實施例中,一或多個第一接觸點中之一些或全部以各種方式耦接(或以其他方式接納耦接)各自促進硬體介面650與金屬化層636之間的各別信號通訊及/或電壓通訊,例如其中此通訊穿過裝置層632及基體634。藉由於例示而非限制,小晶片630進一步包含至少一些互連結構(諸如所示之例示性互連件638),其以各種方式各自經由基體634延伸至硬體介面650之一或多個第一接觸點中之各別者,且在一些實施例中,至裝置層632。在一個此類實施例中,互連件638中之一些或全部以各種方式耦接,各自至金屬化層636之各別圖型化導體,以促進與主晶片610(經由硬體介面620)及/或與裝置層632之電路之信號通訊或電力輸送。
另外或替代地,一或多個第二接觸點中之一些或全部以各種方式耦接(或以其他方式接納耦接)各自促進硬體介面650與主晶片610之間的個別信號通訊及/或電壓通訊,其中此通訊獨立於小晶片630。作為例示而非限制地,封裝裝置600進一步包含絕緣材料640-例如,包括模製複合物或層間介電(ILD)材料-其已在其中形成至少一些互連結構(諸如所示之例示性互連件642),其各自以各種方式延伸至硬體介面650之一或多個第二接觸點中之個別者,且亦在金屬化層616之一側處至個別導電接觸點。舉例而言,互連件642包含銅柱及/或各種其他導體中適合於經由絕緣材料640傳達信號及/或電壓者。在一些實施例中,絕緣材料640之模製複合物、ILD及/或任何其他此類材料將例如與將要在硬體介面650下方及/或周圍沉積之底部填充(若有的話)區分。
在所示範例實施例中,硬體介面620包含以各種方式將主晶片610 及小晶片630之各別接觸點耦接至彼此之焊料互連件。舉例而言,圖6B根據實施例展示諸如封裝裝置600的封裝裝置660之詳細視圖。封裝裝置660的主晶片包含一裝置層672與一基體674(例如,分別為裝置層612與基體614)。主晶片經由一硬體介面690被耦接到的封裝裝置660之小晶片,其包含一裝置層682及一基體684(例如,分別為裝置層632及基體634)。
舉例而言,主晶片之金屬化層676a至676e(例如,金屬化層616)已在其中形成互連結構,其以各種方式將裝置層672電氣地耦接至硬體介面690。在此實施例中,小晶片之金屬化層686a-686e(例如,金屬化層636)已在其中形成互連結構,其以各種方式將裝置層682電氣地耦接至硬體介面690。硬體介面690包含以各種方式安置於主晶片或小晶片中之一者的各別側處之導電墊(例如,包括所示之例示性墊694)。焊料互連件(例如,包括所示之例示性微凸塊692)以各種方式提供經由墊694之主晶片與小晶片之間之耦接。
以例示而非限制之方式,小晶片之一或多個互連件以各種方式自封裝裝置660之另一硬體介面(未展示),例如自硬體介面132,穿過基體684及裝置層682延伸,且經由金屬化層686a至686之互連結構回至裝置層682之電路元件。另外或替代地,小晶片之一或多個互連件以各種方式自該其他硬體介面各自延伸穿過基體684、裝置層682及金屬化層686a至686e至硬體介面690,例如以經由金屬化層676a至676e將電力遞送至裝置層672。
在各種實施例中,封裝裝置600包含經耦接至主晶片610之各別小晶片中之每一者的多個裝置層,例如其中小晶片630進一步包含一或多個其他裝置層(未展示),該等其他裝置層彼此垂直地偏移且藉由包括例如貫穿孔通孔、單石層間通孔或其類似者互連。在另一此類實施例中,小晶片630為耦接至主晶片610之小晶片的堆疊中之一者,例如,其中堆疊之小晶片藉由焊料凸塊或混合接合墊以各種方式耦接至彼此,且其中硬體介面650包括在堆疊之小晶片之的接觸點。
圖7A展示根據另一實施例之用以存取小晶片之記憶體資源的封 裝裝置700之特徵。封裝裝置700為實施例之一個範例,其中小晶片經耦接以使得記憶體資源可用於主晶片,其中小晶片及主晶片經由包括例如熱壓接合、混合式接合或類似者之各種晶圓層級(或替代地,晶片層級)直接接合技術中之任一者彼此耦接。例如,係根據方法200來提供封裝裝置700的功能性。
如圖7A中所展示,封裝裝置700包含主晶片710及小晶片730,小晶片舉例來說功能上(分別)對應於主晶片610及小晶片630。主晶片710及小晶片730經由硬體介面720彼此耦接,其中另一硬體介面750促進封裝裝置700耦接至中介件、封裝基體、電路板或其類似者。硬體介面720、750處於小晶片730的對面側,例如,其中硬體介面720、750之功能性(分別)對應於硬體介面620、650。在一個此類實施例中,硬體介面750包含在小晶片730之底側處的第一導電接觸點(例如,包括接觸點752)。對比而言,硬體介面750之第二導電接觸點(例如,包括接觸點754)自小晶片730偏移。
在示出之範例實施例中,主晶片710包含裝置層712、半導體基體714及金屬化層716,其例如功能上(分別)對應於裝置層612、基體614、金屬化層616。小晶片組730包含裝置層732、半導體基體734及金屬化層736,其例如在功能上(分別)對應於裝置層632、基體634、金屬化層636。硬體介面750之第一導電接觸點(例如,接觸點752)以各種方式促進各自與裝置層732或裝置層712中之各別者的一或多個信號及/或一或多個電壓之通訊。舉例而言,互連件738(例如提供互連件638之功能性)以各種方式自第一接觸點、穿過基體734且至少至(且在一些實施例中,穿過)裝置層732延伸。在一個此類實施例中,第二導電接觸點(例如,接觸點754)以各種方式經由延伸通過絕緣材料740之互連件742促進一或多個信號及/或一或多個電壓之通訊,例如,其中此通訊獨立於小晶片730。
在所示範例實施例中,硬體介面720包含將主晶片710與小晶片730彼此耦接的直接接合互連結構。舉例而言,圖7B展示根據一實施例之封裝裝置760之詳細視圖,其包括諸如封裝裝置700之彼等的一或多個介面結構。如圖7B中所示,封裝裝置760之主晶片包含裝置層772與基體774(例如,分別為裝置層712 及基體714)。該主晶片經由一硬體介面790被耦接到的封裝裝置760之小晶片,其包含一裝置層782及一基體784(例如,分別為裝置層732及基體734)。
主晶片之金屬化層776a至776e已於其中形成互連結構,其以各種方式將裝置層772電氣地耦接至硬體介面790。在此一實施例中,小晶片之金屬化層786a至786e已於其中形成互連結構,其以各種方式將裝置層782電氣地耦接至硬體介面790。在硬體介面790,主晶粒的導電墊792各接合到小晶片的導電墊794之個別者。在一個這樣的實施例中,該小晶片的一或多個互連件以各種方式各自延伸自封裝裝置760的另一硬體介面(未示出),穿過基體784和裝置層782,以及經由金屬化層786a至786e的互連結構傳回至裝置層782的電路元件。另外或替代地,小晶片之一或多個互連件以各種方式各自延伸自該其他硬體介面穿過基體784、裝置層782及金屬化層786a至786e至硬體介面790,例如,用以將電力經由金屬化層776a至776e傳送至裝置層772。
在各種實施例中,封裝裝置700包含經耦接至主晶片710之各別小晶片中之每一者的多個裝置層,例如其中小晶片730進一步包含一或多個其他裝置層(未展示),其彼此垂直地偏移且藉由包括例如貫穿孔通孔、單石層間通孔或其類似者互連。在另一此類實施例中,小晶片730為耦接至主晶片710之小晶片的堆疊中之一者,例如,其中堆疊之小晶片藉由焊料凸塊或混合接合墊以各種方式耦接至彼此,且其中硬體介面750包括在堆疊之小晶片之的接觸點。
圖8根據一個實施例例示運算裝置800。運算裝置800容納板802。板802可包括多個組件,包括但不限於處理器804及至少一個通訊晶片806。處理器804實體地且電氣耦接至板802。在一些實現例中,至少一個通訊晶片806亦實體地且電氣耦接至板802。在其他實現例中,通訊晶片806為處理器804之部分。
取決於其應用,運算裝置800可包括可或可不實體地且電氣耦接至板802之其他組件。此等其他組件包括但不限於依電性記憶體(例如,DRAM)、非依電性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音 訊編解碼器、視訊編解碼器、功率放大器、全球定位系統(GPS)裝置、指南針、加速計、陀螺儀、揚聲器、攝影機,及大容量儲存裝置(諸如,諸如,硬碟驅動、緊密光碟(CD)、數位多功能光碟(DVD)等等)。
通訊晶片806致能無線通訊以用於將資料傳送至運算裝置800以及自運算裝置800傳送資料。用語「無線」及其衍生詞可用以描述可經由非固態媒體經由使用經調變之電磁輻射來傳達資料之電路、裝置、系統、方法、技術、通訊頻道等。該用語並非暗示相關聯裝置不含有任何引線,但是在一些實施例中該等相關聯裝置可不含有任何引線。通訊晶片806可實施數個無線標準或協定中之任一者,包括但不限於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽、其衍生協定,以及標明為3G、4G、5G及超過5G之任何其他無線協定。運算裝置800可包括複數個通訊晶片806。例如,一第一通訊晶片806可專用於諸如Wi-Fi及藍芽等之短程無線通訊以及一第二通訊晶片806可專用於諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等長程無線通訊。
運算裝置800之處理器804包括封裝於處理器804內之積體電路晶粒。用語「處理器」可指處理來自暫存器及/或記憶體之電子資料以將該電子資料變換成可儲存在暫存器及/或記憶體中之其他電子資料的任何裝置或裝置之部分。通訊晶片806亦包括封裝於通訊晶片806內之積體電路晶粒。
在各種實現例中,運算裝置800可為膝上型電腦、輕省筆電、筆記型電腦、輕薄型筆電、智慧型電話、平板電腦、個人數位助理(PDA)、輕薄型行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描機、監視器、機上盒、娛樂控制單元、數位攝影機、可攜式音樂播放器或數位視訊記錄器。在進一步實現例中,運算裝置800可為任何其他處理資料之電子裝置。
一些實施例可提供為電腦程式產品、或軟體,其可包括在機器可讀取媒體上儲存指令,該指令可用於為電腦系統(或其他電子裝置)編譯程式,以根據實施例執行程序。一機器可讀取媒體包括可以以可由一機器(例如,一電腦)讀取的形式來儲存或傳輸資訊的任何機制。例如,一機器可讀取(例如,電腦可讀取)媒體包括一機器(例如,一電腦)可讀取儲存媒體(例如,唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置等)、一機器(例如,電腦)可讀取傳送媒體(電氣、光學、聲學或其他形式之傳送信號(例如,紅外線信號、數位信號等))等。
圖9例示呈電腦系統900的例示性形式之機器的圖解表示,可在其內執行用於使得機器執行本文中所描述的方法中之任何一或多者的指令集。在替代實施例中,該機器可與在一區域網路(LAN)、一內部網路、一外部網路或網際網路中之其他機器連接(例如網路連接)。機器可作為主從網路環境中之伺服器或客戶端機器,或作為點對點(或分散式)網路環境中之同級機器來操作。機器可為個人電腦(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、蜂巢式電話、網路設備、伺服器、網路路由器、交換器或橋接器,或能夠執行指定待由機器採取的動作之指令集(依序或以其他方式)的任何機器。此外,儘管僅例示單一機器,但用語「機器」亦應被視為包括個別地或聯合地執行一組(或多個集合)指令以執行本文中所描述之方法中之任何一或多者的機器(例如,電腦)之任何集合。
例示性電腦系統900包括處理器902、主記憶體904(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),諸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)等)、靜態記憶體906(例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等)及次級記憶體918(例如,資料儲存裝置),以上各者經由匯流排930與彼此通訊。
處理器902表示一或多個通用目的處理裝置,諸如微處理器、中央 處理單元或其類似者。更特定言之,處理器902可為複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、極長指令(VLIW)微處理器、實施其他指令集之處理器或實施指令集組合之處理器。處理器902亦可為一或多個專用目的處理裝置,諸如特殊應用積體電路(ASIC)、場可程式化閘陣列(FPGA)、數位信號處理器(DSP)、網路處理器或其類似者。處理器902經組配以執行處理邏輯926以用於執行本文中所描述之操作。
電腦系統900可進一步包括網路介面裝置908。電腦系統900亦可包括視訊顯示單元910(例如,液晶顯示器(LCD)、發光二極體顯示器(LED)或陰極射線管(CRT))、文數字輸入裝置912(例如,鍵盤)、游標控制裝置914(例如,滑鼠)及信號產生裝置916(例如,揚聲器)。
次級記憶體918可包括機器可存取儲存媒體(或更具體言之,電腦可讀儲存媒體)932,其上儲存有體現本文中所描述之方法或功能中之任何一或多者的一或多個指令集(例如,軟體922)。軟體922在其由亦構成機器可讀儲存媒體之電腦系統900、主記憶體904及處理器902執行期間亦可完全或至少部分地常駐於主記憶體904內及/或處理器902內。可經由網路介面裝置908經由網路920進一步傳輸或接收軟體922。
雖然機器可存取儲存媒體932在示例性實施例中展示為單個媒體,但用語「機器可讀儲存媒體」應被視為包括儲存一或多個指令集之單一媒體或多個媒體(例如,集中式或分散式資料庫及/或相關聯快取記憶體及伺服器)。用語「機器可讀取儲存媒體」亦應被視為包括能夠儲存或編碼用於由該機器執行的一組指令並且使該機器進行任何一或多個實施例的任何媒體。用語「機器可讀取儲存媒體」應相應地被視為包括,但不限於,固態記憶體、以及光學和磁性媒體。
圖10例示包括一或多個實施例之中介件1000。中介件1000為用於將第一基體1002橋接至第二基體1004之中介基體。第一基體1002可為例如積體 電路晶粒。第二基體1004可為例如記憶體模組、電腦主機板或另一個積體電路晶粒。總體上,中介件1000之目的係將一個連接擴展至更寬間距或將一個連接重新路由至不同連接。舉例而言,中介件1000可將積體電路晶粒耦接至球狀柵格陣列(BGA)1006,其可隨後耦接至第二基體1004。在一些實施例中,第一基體1002及第二基體1004經附接至中介件1000之相對側。在其他實施例中,第一基體1002及第二基體1004經附接至中介件1000之同一側。且在其他實施例中,三個或更多基體藉由中介件1000互連。
中介件1000可由環氧樹脂、玻璃纖維加強環氧樹脂、陶瓷材料或諸如聚醯亞胺之聚合物材料形成。在進一步實現例中,中介件可由替代剛性或可撓材料形成,該等材料可包括用於在半導體基體中使用之上述相同材料,諸如矽、鍺及其他第III-V族及第IV族材料。
中介件可包括金屬互連件1008及通孔1010,包括但不限於矽穿孔通孔(TSV)1012。中介件1000可進一步包括嵌式裝置1014,包括被動及主動裝置兩者。此類裝置包括但不限於電容器、去耦電容器、電阻器、電感器、保險絲、二極體、變壓器、感測器及靜電放電(ESD)裝置。更複雜裝置諸如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感測器及MEMS裝置亦可形成於中介件1000上。根據一些實施例,本文所揭示之設備或程序可用於中介件1000之製造中。
在本案中描述用於在一封裝裝置中提供提經改良之資料局部性的技術和架構。在以上說明中,為了說明之目的,提出許多特定細節以便徹底了解某些實施例。然而,明顯的是,對熟於此技者而言,特定實施例能夠在沒有這些特定細節的情況下實踐。其他範例中,結構與裝置以方塊圖形式顯示以避免混淆該說明。
在本說明書中對「一個實施例」或「一實施例」的提及意味著結 合該實施例所描述之一特定的特徵、結構、或特性被包括在本發明的至少一個實施例中。在本說明書之各個地方所出現的短語「在一實施例中」不一定都是指同一個實施例。
本文之詳細描述的一些部分係在對電腦記憶體內之資料位元之操作的演算法及符號表示方面呈現。此等演算法描述及表示為藉由熟習運算之技術者用以將其工作之內容最有效地傳達至其他熟習此項技術者的手段。此處,演算法通常被設想為導致所需結果之步驟的自相容序列。步驟為要求實體量之實體操縱的步驟。此等量通常呈能夠儲存、傳送、組合、比較及以其他方式加以操縱之電信號或磁性信號之形式,但未必如此。主要出於常用之原因,已證明有時將此等信號稱為位元、值、元素、符號、字元、用語、編號或其類似者係便利的。
然而應銘記在心,所有此等及類似用語與適當實體量相關聯且僅僅為應用於此等量之便利標記。除非另外特別指出,否則如自本文中論述顯而易見,應瞭解,貫穿該描述,利用諸如「處理」或「運算」或「計算」或「判定」或「顯示」或類似者之用語的論述指電腦系統或類似電子運算裝置之動作及程序,其操縱表示為電腦系統之暫存器內之實體(電子)數量且記憶體隻資料及轉換其至表示為電腦系統之暫存器或記憶體內之實體數量的其他資料中。
某些實施例亦關於用於執行本文之操作的設備。此設備可經特殊建構以用於所要求目的,或其可包含由儲存於電腦中之電腦程式選擇性地啟動或重組配之一般用途電腦。此電腦程式可儲存於電腦可讀儲存媒體中,諸如但不限於任何類型之碟片,包括軟性磁碟、光碟、CD-ROM及磁光碟、唯讀記憶體(ROMs)、隨機存取記憶體(RAMs)諸如動態RAM(DRAM)、EPROMs、EEPROMs、磁性或光學卡,或適於儲存電子指令及耦接至電腦系統匯流排的任何類型之媒體。
本文所呈現之演算法及顯示並非固有地與任何特定電腦或其他設 備相關。各種通用目的系統可根據本文中之教示與程式一起使用,或其可證明為便於建構更專門的設備以執行所需方法步驟。自本文描述將顯現用於多種此等系統之所需結構。另外,並未參考任何特定程式設計語言來描述某些實施例。應瞭解,多種程式設計語言可用以實現如本文所描述之此等實施例的教示。
除本文所描述之內容之外,亦可在不背離所揭示之實施例及實現例之範例的情況下對其進行各種修改。因此,本文中之例示及範例應以例示性意義而非限制性意義來解釋。本發明的範疇應完全藉由參照後附的申請專利範圍衡量。
100:系統
110:封裝裝置
112:主晶片
114:核心
116:互連件結構
118:表面區域
120、132:硬體介面
122:部分
124:小晶片
126、130:側
128:記憶體陣列
134:基體

Claims (20)

  1. 一種封裝裝置,其包含:一主晶片,其包含一處理器核心;一小晶片,其包含經由在該小晶片之一第一側處的一第一硬體介面耦接以與該處理器核心通訊的一記憶體;以及一第二硬體介面,其包含在該小晶片之一第二側處的導電接觸點,其中該第二側係於該第一側對面,其中該第一硬體介面之一第一最小金屬化特徵間距小於該第二硬體介面之一第二最小金屬化特徵間距,其中該小晶片與該主晶片之一第一表面區域重疊,且其中關於該小晶片及該第二硬體介面,該主晶片之一第二表面區域僅由該第二硬體介面重疊。
  2. 如請求項1之封裝裝置,其中該主晶片之一第一裝置層包含該處理器核心,且其中該小晶片之一第二裝置層包含該記憶體,其中該第一裝置層或該第二裝置層中之一者係經由延伸至該第二硬體介面且至少部分穿過該小晶片之一互連件耦接以接收電力。
  3. 如請求項2之封裝裝置,其中該互連件延伸至該第一硬體介面。
  4. 如請求項1之封裝裝置,其中該主晶片之一第一裝置層包含:包含該處理器核心之一切換網路,其中該切換網路之節點在包含列及行之一陣列組態中彼此耦接,其中該記憶體係經耦接以與該處理器核心通訊,該處理器核心獨立於該第一裝置層之任何PHY電路,其經由該等列之一者或該等行之一者的一各別末端耦接至該切換網路。
  5. 如請求項1之封裝裝置,其中該主晶片之一第一裝置層包含該處理器核心及耦接於該處理器核心與該記憶體之間的記憶體控制器電路系統,該記憶體控制器電路系統用以對該處理器核心提供對於該記憶體之存取。
  6. 如請求項1之封裝裝置,其中該記憶體包含一靜態隨機存取記憶體或一動態隨機存取記憶體中之一者。
  7. 如請求項1之封裝裝置,其中該記憶體包含一非依電性記憶體。
  8. 如請求項1之封裝裝置,其中該處理器核心經耦接以對該記憶體快取資料。
  9. 如請求項8之封裝裝置,其中該處理器核心經耦接以存取該記憶體之一末級快取記憶體。
  10. 如請求項1之封裝裝置,其中該處理器核心為一第一處理器核心,其中該主晶片進一步包含一第二處理器核心,其中該記憶體包含一第一記憶體庫及一第二記憶體庫,且其中該小晶片進一步包含:一第一輸入/輸出(IO)電路,其耦接於該第一處理器核心與該第一記憶體庫之間;以及一第二IO電路,其耦接於該第二處理器核心與該第二記憶體庫之間;其中一第一快取記憶體控制器耦接於該第一記憶體庫與該第一處理器核心之間,且其中一第二快取記憶體控制器耦接於該第二記憶體庫與該第二處理器核心之間。
  11. 如請求項10之封裝裝置,其中該小晶片包括該第一快取記憶體控制器及該第二快取記憶體控制器。
  12. 一種用以形成一封裝裝置之方法,其包含:形成包含一處理器核心的一主晶片;形成包含一記憶體陣列的一小晶片;將該主晶片耦接至該小晶片,包括經由在該小晶片的一第一側處之一第一硬體介面將該記憶體陣列耦接至該處理器核心; 形成包含在該小晶片之一第二側處的導電接觸點之一第二硬體介面,其中該第二側係於該第一側對面,其中該第一硬體介面之一第一最小金屬化特徵間距小於該第二硬體介面之一第二最小金屬化特徵間距,其中該小晶片與該主晶片之一第一表面區域重疊,且其中關於該小晶片及該第二硬體介面,該主晶片之一第二表面區域僅由該第二硬體介面重疊。
  13. 如請求項12之方法,其中該主晶片之一第一裝置層包含該處理器核心,且其中該小晶片之一第二裝置層包含該記憶體陣列,其中該第一裝置層或該第二裝置層中之一者係經耦接以經由延伸至該第二硬體介面且至少部分穿過該小晶片之一互連件來接收電力。
  14. 如請求項12之方法,其中該主晶片之一第一裝置層包含:包含該處理器核心之一切換網路,其中該切換網路之節點在包含列及行之一陣列組態中彼此耦接,其中該記憶體陣列係經耦接以與該處理器核心通訊,該處理器核心獨立於該第一裝置層之任何PHY電路,其經由該等列之一者或該等行之一者的一各別末端耦接至該切換網路。
  15. 如請求項12之方法,其中該主晶片的一第一裝置層包含該處理器核心以及耦接於該處理器核心與該記憶體陣列之間的記憶體控制器電路系統,該記憶體控制器電路系統用以對該處理器核心提供對於該記憶體陣列之存取。
  16. 如請求項12之方法,其中該記憶體陣列包含一靜態隨機存取記憶體或一動態隨機存取記憶體中之一者。
  17. 一種運算系統,其包含:一封裝裝置,其包含:一主晶片,其包含一處理器核心;一小晶片,其包含經耦接以經由在該小晶片之一第一側處的一第一硬體介 面而與該處理器核心通訊的一記憶體;以及一第二硬體介面,其包含在該小晶片之一第二側處的導電接觸點,其中該第二側係於該第一側對面,其中該第一硬體介面之一第一最小金屬化特徵間距小於該第二硬體介面之一第二最小金屬化特徵間距,其中該小晶片覆蓋於該主晶片之一第一表面區域,且其中關於該小晶片及該第二硬體介面,該主晶片之一第二表面區域僅由該第二硬體介面重疊;以及耦接至該封裝裝置之一顯示器裝置,該顯示器裝置用以基於在該處理器核心及該記憶體之間通訊的一信號顯示一影像。
  18. 如請求項17之運算系統,其中該主晶片之一第一裝置層包含該處理器核心,且其中該小晶片之一第二裝置層包含該記憶體,其中該第一裝置層或該第二裝置層中之一者係經耦接以經由延伸至該第二硬體介面且至少部分穿過該小晶片之一互連件來接收電力。
  19. 如請求項17之運算系統,其中該主晶片之一第一裝置層包含:包含該處理器核心之一切換網路,其中該切換網路之節點在包含列及行之一陣列組態中彼此耦接,其中該記憶體係經耦接以與該處理器核心通訊,該處理器核心獨立於該第一裝置層之任何PHY電路,其經由該等列之一者或該等行之一者的一各別末端耦接至該切換網路。
  20. 如請求項17之運算系統,其中該主晶片之一第一裝置層包含該處理器核心及耦接於該處理器核心與該記憶體之間的記憶體控制器電路系統,該記憶體控制器電路系統用以對該記憶體提供對於該處理器核心之存取。
TW109120991A 2019-09-27 2020-06-20 封裝裝置、運算系統及用以形成封裝裝置之方法 TWI839537B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/586,167 2019-09-27
US16/586,167 US10998302B2 (en) 2019-09-27 2019-09-27 Packaged device with a chiplet comprising memory resources

Publications (2)

Publication Number Publication Date
TW202114063A TW202114063A (zh) 2021-04-01
TWI839537B true TWI839537B (zh) 2024-04-21

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190244947A1 (en) 2018-02-02 2019-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Manufacture

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190244947A1 (en) 2018-02-02 2019-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Manufacture

Similar Documents

Publication Publication Date Title
US11830534B2 (en) Memory system having combined high density, low bandwidth and low density, high bandwidth memories
US11367707B2 (en) Semiconductor package or structure with dual-sided interposers and memory
US11507527B2 (en) Active bridge chiplet with integrated cache
CN107039059B (zh) 存储器封装,包括其的存储器模块及存储器封装操作方法
US10998302B2 (en) Packaged device with a chiplet comprising memory resources
Akarvardar et al. Technology prospects for data-intensive computing
US11134030B2 (en) Device, system and method for coupling a network-on-chip with PHY circuitry
TWI839537B (zh) 封裝裝置、運算系統及用以形成封裝裝置之方法
US20230207428A1 (en) Integrated circuit die for efficient incorporation in a die stack
US20230317561A1 (en) Scalable architecture for multi-die semiconductor packages
US20240105675A1 (en) Backside power delivery and power grid pattern to support 3d die stacking
US20210327799A1 (en) Signal trace configuration to reduce cross talk
Dunning et al. TERA-SCALE MEMORY CHALLENGES AND SOLUTIONS.