TWI839228B - Piezoelectric structure and methods for forming the same - Google Patents

Piezoelectric structure and methods for forming the same Download PDF

Info

Publication number
TWI839228B
TWI839228B TW112119250A TW112119250A TWI839228B TW I839228 B TWI839228 B TW I839228B TW 112119250 A TW112119250 A TW 112119250A TW 112119250 A TW112119250 A TW 112119250A TW I839228 B TWI839228 B TW I839228B
Authority
TW
Taiwan
Prior art keywords
layer
material layer
piezoelectric
bottom electrode
forming
Prior art date
Application number
TW112119250A
Other languages
Chinese (zh)
Inventor
陳永祥
陳延淋
拉奇許 昌德
何彥仕
Original Assignee
世界先進積體電路股份有限公司
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Application granted granted Critical
Publication of TWI839228B publication Critical patent/TWI839228B/en

Links

Images

Abstract

A piezoelectric structure includes a substrate, a seed layer over the substrate, a bottom electrode over the seed layer, a piezoelectric material layer over the bottom electrode, a top electrode over the piezoelectric material layer, and a passivation layer over the piezoelectric material layer and covering the top electrode. The substrate includes a base and an oxide layer on the base. The oxide layer has a cavity. The piezoelectric structure further includes a loading material layer above the cavity. The bottom electrode, the piezoelectric material layer and the top electrode are stacked over the substrate in a first direction.The loading material layer is disposed under one of the bottom electrode and the top electrode.

Description

壓電結構及其形成方法Piezoelectric structure and method for forming the same

本發明是關於壓電結構及其形成方法,特別是關於通過半導體製程製作包含負載材料層的壓電結構及其形成方法。The present invention relates to a piezoelectric structure and a method for forming the same, and more particularly to a piezoelectric structure comprising a load material layer manufactured by a semiconductor process and a method for forming the same.

電子裝置中常需使用濾波器(filter)以擷取某特定頻率範圍之訊號,來除去不必要的雜訊。使用濾波器可使一特定頻帶的訊號通過,而此特定頻帶以外的所有訊號則衰減。依濾波器的功能可分為低通濾波器(low pass filter)、高通濾波器(high pass filter)、帶通濾波器(band pass filter)以及帶拒濾波器(band reject filter)等。而濾波器中的薄膜體聲波諧振(film bulk acoustic resonator;FBAR)濾波器是通過壓電薄膜的壓電效應將電能量轉換成聲波而形成諧振,其具有體積小、成本低、品質因數(Q)高、功率承受能力強、頻率高且與IC技術兼容等特點,在新一代無線通信系統和超微量生化檢測領域等都具有良好的應用前景。雖然現有的壓電濾波裝置的製程(例如金屬剝離(lift-off)製程)可以達到它們原先預定的目的,但是並非各方面都是令人滿意的,例如現有製程與半導體製程並不相容,因此目前在壓電結構的形成方法仍有需要進一步克服的問題與改善空間。Filters are often used in electronic devices to capture signals within a specific frequency range and remove unnecessary noise. Filters can allow signals within a specific frequency band to pass through, while attenuating all signals outside this specific frequency band. Filters can be divided into low pass filters, high pass filters, band pass filters, and band reject filters according to their functions. The film bulk acoustic resonator (FBAR) filter in the filter converts electrical energy into sound waves to form resonance through the piezoelectric effect of the piezoelectric film. It has the characteristics of small size, low cost, high quality factor (Q), strong power handling ability, high frequency and compatibility with IC technology. It has good application prospects in the new generation of wireless communication systems and ultra-trace biochemical detection fields. Although the existing processes of piezoelectric filter devices (such as metal lift-off processes) can achieve their original intended purposes, they are not satisfactory in all aspects. For example, the existing processes are not compatible with semiconductor processes. Therefore, there are still problems that need to be further overcome and room for improvement in the formation method of piezoelectric structures.

本揭露的一些實施例提供一種壓電結構,包括一基板,包含一底材和位於前述底材上的一氧化層,其中此氧化層中具有一空腔;一晶種層,位於前述基板的上方;一底電極,位於前述晶種層的上方;一壓電材料層,位於前述底電極的上方;一頂電極,位於前述壓電材料層的上方;一保護層,位於前述壓電材料層上,且保護層覆蓋頂電極;以及一負載材料層,對應於前述空腔,且前述底電極、前述壓電材料層和前述頂電極係沿著第一方向堆疊於前述基板之上,其中,前述負載材料層是位於前述底電極和前述頂電極其中一者的下方。Some embodiments of the present disclosure provide a piezoelectric structure, including a substrate, including a base material and an oxide layer located on the base material, wherein the oxide layer has a cavity; a seed layer located above the substrate; a bottom electrode located above the seed layer; a piezoelectric material layer located above the bottom electrode; a top electrode located above the piezoelectric material layer; a protective layer located on the piezoelectric material layer and covering the top electrode; and a load material layer corresponding to the cavity, and the bottom electrode, the piezoelectric material layer and the top electrode are stacked on the substrate along a first direction, wherein the load material layer is located below one of the bottom electrode and the top electrode.

本揭露的一些實施例還提供一種壓電結構的形成方法,包含提供一基板,此基板包含一底材和位於前述底材上的一氧化層,其中前述氧化層中具有一空腔;以及在前述基板上沿著第一方向形成一堆疊結構。此堆疊結構包含形成於前述基板的上方的一晶種層,形成於前述晶種層上方的一底電極,形成於前述底電極的上方的一壓電材料層,形成於前述壓電材料層的上方的一頂電極,形成於前述壓電材料層上的一保護層,以及對應於前述空腔的一負載材料層。前述保護層覆蓋前述頂電極,且前述底電極、前述壓電材料層和前述頂電極係沿著第一方向堆疊,其中前述負載材料層形成於前述底電極和前述頂電極其中一者的下方。Some embodiments of the present disclosure also provide a method for forming a piezoelectric structure, comprising providing a substrate, the substrate comprising a base material and an oxide layer located on the base material, wherein the oxide layer has a cavity; and forming a stacked structure on the substrate along a first direction. The stacked structure comprises a seed layer formed on the substrate, a bottom electrode formed on the seed layer, a piezoelectric material layer formed on the bottom electrode, a top electrode formed on the piezoelectric material layer, a protective layer formed on the piezoelectric material layer, and a load material layer corresponding to the cavity. The protective layer covers the top electrode, and the bottom electrode, the piezoelectric material layer and the top electrode are stacked along a first direction, wherein the load material layer is formed below one of the bottom electrode and the top electrode.

以下揭露提供了許多的實施例或範例,用於實施所提供的半導體裝置之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在不同的範例中重複參考數字及/或字母。如此重複是為了簡明和清楚,而非用以表示所討論的不同實施例之間的關係。The following disclosure provides many embodiments or examples for implementing different components of the provided semiconductor device. Specific examples of each component and its configuration are described below to simplify the description of the embodiments of the present invention. Of course, these are merely examples and are not intended to limit the embodiments of the present invention. For example, if the description refers to a first component formed on a second component, it may include an embodiment in which the first and second components are directly in contact, and it may also include an embodiment in which additional components are formed between the first and second components so that they are not in direct contact. In addition, the embodiments of the present invention may repeatedly reference numbers and/or letters in different examples. Such repetition is for the sake of simplicity and clarity, and is not intended to indicate the relationship between the different embodiments discussed.

再者,在以下敘述中可使用空間上相關措辭,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」和其他類似的用語,以簡化一元件或部件與其他元件或其他部件之間如圖所示之關係的陳述。此空間相關措辭除了包含圖式所描繪之方向,還包含裝置在使用或操作中的不同方位。裝置可以朝其他方向定位(旋轉90度或在其他方向),且在此使用的空間相關描述可依此相應地解讀。Furthermore, spatially relative terms such as "below", "beneath", "below", "above", "upper" and other similar terms may be used in the following description to simplify the description of the relationship between one element or component and other elements or components as shown in the figures. Such spatially relative terms include different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be oriented in other orientations (rotated 90 degrees or at other orientations), and the spatially relative descriptions used herein should be interpreted accordingly.

以下描述實施例的一些變化。在不同圖式和說明的實施例中,相似的元件符號被用來標明相似的元件。可以理解的是,在方法的前、中、後可以提供額外的步驟,且一些敘述的步驟可為了該方法的其他實施例被取代或刪除。Some variations of the embodiments are described below. In the different drawings and illustrated embodiments, similar element symbols are used to indicate similar elements. It is understood that additional steps may be provided before, during, or after the method, and some of the described steps may be replaced or deleted for other embodiments of the method.

本揭露的內容係提供了壓電結構及其形成方法與應用其之壓電濾波裝置。一些實施例的壓電結構包含一負載材料層於底電極層和頂電極層其中一者的下方。設置有負載材料層的壓電結構可與未設置有負載材料層的壓電結構之間因不同的負載效應而產生不一的諧振頻率,以使應用的壓電濾波裝置達到濾波效果。在以下的一些實施例中,是以薄膜體聲波諧振(film bulk acoustic resonator;FBAR)濾波器作為壓電濾波裝置的相關部件的實施例說明。The present disclosure provides a piezoelectric structure, a method for forming the same, and a piezoelectric filter device using the same. The piezoelectric structure of some embodiments includes a load material layer below one of a bottom electrode layer and a top electrode layer. The piezoelectric structure provided with the load material layer can generate different resonant frequencies due to different load effects with the piezoelectric structure not provided with the load material layer, so that the applied piezoelectric filter device can achieve a filtering effect. In some of the following embodiments, a film bulk acoustic resonator (FBAR) filter is used as an embodiment of a related component of the piezoelectric filter device.

第1A圖是根據本揭露的一些實施例的一種壓電濾波裝置的電路圖。根據第1A圖,其示出一種階梯式(ladder type)壓電濾波裝置,其包括多個諧振器(resonator)連接而成。一般階梯式壓電濾波裝置,例如薄膜體聲波諧振濾波器,其包括諧振頻率為fs的串聯諧振器(series resonator)和諧振頻率為fp的並聯諧振器(shunt resonator)。一個串聯諧振器和一個並聯諧振器的組合為一階(stage),而一階梯式濾波器(ladder type filter)可以是多階(multiple-stage)的諧振器所組成。第1A圖係以包含三階諧振器的壓電濾波裝置為例做說明,但不限於此。FIG. 1A is a circuit diagram of a piezoelectric filter device according to some embodiments of the present disclosure. According to FIG. 1A, a ladder type piezoelectric filter device is shown, which includes a plurality of resonators connected. A general ladder type piezoelectric filter device, such as a thin film bulk acoustic wave resonator filter, includes a series resonator with a resonant frequency of fs and a shunt resonator with a resonant frequency of fp. A combination of a series resonator and a shunt resonator is a stage, and a ladder type filter can be composed of multiple-stage resonators. FIG. 1A is an example of a piezoelectric filter device including a third-order resonator, but the invention is not limited thereto.

第1B圖是根據第1A圖所示的一壓電濾波裝置的串聯\並聯諧振器的頻率與相應反射損耗(return lose)的模擬關係示意圖。曲線Cseries代表串聯諧振器的頻率與相應反射損耗(縱軸;對數值)的關係,其中在反諧振頻率f1和諧振頻率f2(fs)時,其反射損耗分別是最大值和最小值。曲線Cshunt代表並聯諧振器的頻率與相應阻抗(縱軸;對數值)的關係,其中在反諧振頻率f3和諧振頻率f4(fp)時,其反射損耗分別是最大值和最小值。串聯諧振器在反諧振頻率f1時,反射損耗最大,訊號無法通過形成開路;並聯諧振器在諧振頻率f4時,反射損耗最小,訊號可以通過形成短路。FIG. 1B is a diagram showing the simulated relationship between the frequency and the corresponding return loss of the series/parallel resonator of the piezoelectric filter device shown in FIG. 1A. The curve Cseries represents the relationship between the frequency and the corresponding return loss (vertical axis; logarithmic value) of the series resonator, wherein the return loss is at the maximum and minimum values at the anti-resonance frequency f1 and the resonance frequency f2 (fs), respectively. The curve Cshunt represents the relationship between the frequency and the corresponding impedance (vertical axis; logarithmic value) of the parallel resonator, wherein the return loss is at the maximum and minimum values at the anti-resonance frequency f3 and the resonance frequency f4 (fp), respectively. When the series resonator is at the anti-resonance frequency f1, the reflection loss is the largest and the signal cannot pass through, forming an open circuit. When the parallel resonator is at the resonant frequency f4, the reflection loss is the smallest and the signal can pass through, forming a short circuit.

第1C圖是根據第1A圖所示的一壓電濾波裝置的頻率與相應饋入損耗(insertion lose)的模擬關係示意圖。同時參照第1B、1C圖,訊號的頻率在頻率f4至頻率f1之間的頻帶是可以低損耗通過。訊號的頻率在前述通過頻帶以外則是高損耗無法通過。實際應用可藉由調整薄膜體聲波諧振的製程,得到壓電濾波裝置需要的通過頻帶寬度和其相應的頻率。具有如第1B、1C圖所示的此種頻率響應型態的濾波器也稱為帶通濾波器(band pass filter)。FIG. 1C is a diagram showing the simulated relationship between the frequency and the corresponding insertion loss of a piezoelectric filter device shown in FIG. 1A. Referring to FIG. 1B and FIG. 1C at the same time, the signal frequency in the frequency band between frequency f4 and frequency f1 can pass with low loss. The signal frequency outside the aforementioned pass band cannot pass with high loss. In practical applications, the pass bandwidth and its corresponding frequency required by the piezoelectric filter device can be obtained by adjusting the film bulk acoustic wave resonance process. A filter having this frequency response type as shown in FIG. 1B and FIG. 1C is also called a bandpass filter.

以下係以如第1A圖的壓電濾波裝置中的一個串聯諧振器和一個並聯諧振器的製法為例做說明,並且在並聯諧振器的壓電結構中設置一負載材料層(loading material layer),以降低此壓電結構的諧振頻率,達到如上述第1C圖所示的帶通濾波(band pass)的效果。如下提出的多個實施例係用以說明負載材料層在壓電結構中的不同設置。The following is an example of a method for manufacturing a series resonator and a parallel resonator in a piezoelectric filter device as shown in FIG. 1A, and a loading material layer is set in the piezoelectric structure of the parallel resonator to reduce the resonant frequency of the piezoelectric structure to achieve the band pass filtering effect as shown in FIG. 1C above. The following multiple embodiments are used to illustrate different settings of the loading material layer in the piezoelectric structure.

第2A~2H圖是根據本揭露的一些實施例中,一種壓電濾波裝置在各個中間製造階段的剖面示意圖。FIGS. 2A to 2H are schematic cross-sectional views of a piezoelectric filter device at various intermediate manufacturing stages according to some embodiments of the present disclosure.

參照第2A圖,根據一些實施例,提供一基板S。基板S包含一底材100、位於底材100上的一氧化層102以及埋置於氧化層102中的一犧牲層103。在後續製程中,犧牲層103會被去除而形成壓電結構中的一空腔(cavity),以利於製得之壓電結構的堆疊材料層在空腔上方振盪,例如沿第一方向D1上進行振盪。Referring to FIG. 2A , according to some embodiments, a substrate S is provided. The substrate S includes a base material 100, an oxide layer 102 located on the base material 100, and a sacrificial layer 103 buried in the oxide layer 102. In subsequent processes, the sacrificial layer 103 will be removed to form a cavity in the piezoelectric structure, so as to facilitate the stacked material layers of the manufactured piezoelectric structure to oscillate above the cavity, for example, oscillate along the first direction D1.

在一些實施例中,底材100可為一塊狀半導體基板,例如一半導體晶圓。此實施例中,底材100為一矽晶圓。底材100亦可包含其他元素半導體材料,例如鍺(Ge)。在一些實施例中,底材100可包括化合物半導體,例如碳化矽、氮化鎵。在一些實施例中,底材100可包括合金半導體,例如矽鍺、碳化矽鍺或其他合適的基底。在一些實施例中,底材100可由多層材料組成,例如矽/矽鍺、矽/碳化矽。In some embodiments, the substrate 100 may be a block-shaped semiconductor substrate, such as a semiconductor wafer. In this embodiment, the substrate 100 is a silicon wafer. The substrate 100 may also include other elemental semiconductor materials, such as germanium (Ge). In some embodiments, the substrate 100 may include a compound semiconductor, such as silicon carbide, gallium nitride. In some embodiments, the substrate 100 may include an alloy semiconductor, such as silicon germanium, silicon germanium carbide or other suitable substrates. In some embodiments, the substrate 100 may be composed of multiple layers of materials, such as silicon/silicon germanium, silicon/silicon carbide.

一些實施例的氧化層102和犧牲層103的形成步驟如下。首先形成一氧化薄膜於底材100上;之後形成一犧牲材料層於氧化薄膜,並且通過合適的微影圖案化製程(例如通過一圖案化遮罩和蝕刻製程)以圖案化犧牲材料層,而定義出犧牲層103。之後,再沉積一氧化材料層於氧化薄膜和犧牲層103上,且氧化材料層覆蓋犧牲層103。接著對氧化材料層進行一平坦化製程,例如例如是化學機械研磨(chemical-mechanical polishing;CMP)製程,以平坦化氧化材料層,並暴露出犧牲層103的頂表面。上述氧化薄膜以及平坦化後的氧化材料層係構成一底部氧化層(bottom oxide layer)1021。之後,再沉積一覆蓋氧化層(cap oxide layer)1022於底部氧化層1021和犧牲層103上。The steps for forming the oxide layer 102 and the sacrificial layer 103 of some embodiments are as follows. First, an oxide film is formed on the substrate 100; then a sacrificial material layer is formed on the oxide film, and the sacrificial material layer is patterned by a suitable lithography patterning process (for example, by a patterning mask and an etching process) to define the sacrificial layer 103. Then, an oxide material layer is deposited on the oxide film and the sacrificial layer 103, and the oxide material layer covers the sacrificial layer 103. Then, a planarization process is performed on the oxide material layer, such as a chemical-mechanical polishing (CMP) process, to planarize the oxide material layer and expose the top surface of the sacrificial layer 103. The oxide film and the planarized oxide material layer constitute a bottom oxide layer 1021. Then, a cap oxide layer 1022 is deposited on the bottom oxide layer 1021 and the sacrificial layer 103.

為簡化說明,上述底部氧化層1021以及覆蓋氧化層1022係共同稱為此些實施例和後續實施例的氧化層102,其中犧牲層103埋置於氧化層102中。犧牲層103的底表面與底材100在第一方向D1上相隔一距離。另外,基板S製作過程中的氧化薄膜的厚度(在第一方向D1上)例如但不限於是約1000nm,上述犧牲材料層的厚度(在第一方向D1上)例如但不限於是約1500nm,上述氧化材料層的厚度(在第一方向D1上)例如但不限於是約1500nm,上述覆蓋氧化層的厚度(在第一方向D1上)例如但不限於是約100nm。For simplicity of explanation, the bottom oxide layer 1021 and the cap oxide layer 1022 are collectively referred to as the oxide layer 102 of these embodiments and subsequent embodiments, wherein the sacrificial layer 103 is buried in the oxide layer 102. The bottom surface of the sacrificial layer 103 is separated from the substrate 100 by a distance in the first direction D1. In addition, the thickness of the oxide film in the process of manufacturing the substrate S (in the first direction D1) is, for example but not limited to, about 1000 nm, the thickness of the sacrificial material layer (in the first direction D1) is, for example but not limited to, about 1500 nm, the thickness of the oxide material layer (in the first direction D1) is, for example but not limited to, about 1500 nm, and the thickness of the cap oxide layer (in the first direction D1) is, for example but not limited to, about 100 nm.

再者,在一些實施例中,上述氧化層102(包括底部氧化層1021以及覆蓋氧化層1022)包括矽氧化物或其他合適材料,例如四乙氧基矽烷(Tetraethyl Silicate,TEOS)。在一些實施例中,上述犧牲層103包括非晶矽或其他合適材料。再者,上述沉積製程包括一物理氣相沉積(physical vapor deposition;PVD)製程、一化學氣相沉積(chemical vapor deposition;CVD)製程、一原子層沉積(atomic layer deposition;ALD)製程、或前述製程之組合。上述蝕刻製程包括乾式蝕刻製程、濕式蝕刻製程、電漿蝕刻製程、反應性離子蝕刻製程、其他合適的製程、或前述製程的組合。再者,在一些實施例中,上述犧牲層103包括非晶矽或其他合適材料。Furthermore, in some embodiments, the oxide layer 102 (including the bottom oxide layer 1021 and the cap oxide layer 1022) includes silicon oxide or other suitable materials, such as tetraethoxysilane (Tetraethyl Silicate, TEOS). In some embodiments, the sacrificial layer 103 includes amorphous silicon or other suitable materials. Furthermore, the deposition process includes a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, or a combination of the aforementioned processes. The etching process includes a dry etching process, a wet etching process, a plasma etching process, a reactive ion etching process, other suitable processes, or a combination of the aforementioned processes. Furthermore, in some embodiments, the sacrificial layer 103 includes amorphous silicon or other suitable materials.

之後,參照第2B圖,根據一些實施例,在基板S上形成一負載材料層104。負載材料層104的位置對應於犧牲層103之上。具體而言,此實施例的負載材料層104是形成在氧化層102上,例如形成在覆蓋氧化層1022上,且負載材料層104與犧牲層103例如以覆蓋氧化層1022相隔開來。Then, referring to FIG. 2B , according to some embodiments, a load material layer 104 is formed on the substrate S. The position of the load material layer 104 corresponds to the sacrificial layer 103. Specifically, the load material layer 104 of this embodiment is formed on the oxide layer 102, for example, on the capping oxide layer 1022, and the load material layer 104 and the sacrificial layer 103 are separated by the capping oxide layer 1022, for example.

在一些實施例中,上述負載材料層104包括金屬、金屬氮化物、介電材料、或上述材料之組合。上述介電材料例如包含氮化物、氮氧化物、或上述之組合。前述金屬和金屬氮化物例如是鎢(W)、鉬(Mo)、鈦(Ti)、鋁(Al)、鉑(Pt)、鉭(Ta)、其他合適的金屬、上述材料之合金、上述金屬的氮化物(例如氮化鉭(TaN)、氮化鈦(TiN))。再者,根據實施例,負載材料層104可以和後續形成的底電極以及/或頂電極包含相同或不同材料。例如負載材料層104與底電極以及/或頂電極包含不同的金屬材料。或者,負載材料層104包含介電材料,不同於底電極以及/或頂電極所包含的金屬材料。再者,負載材料層104可以是包含上述材料的一單層結構或一多層結構。In some embodiments, the load material layer 104 includes a metal, a metal nitride, a dielectric material, or a combination of the above materials. The dielectric material includes, for example, a nitride, an oxynitride, or a combination of the above materials. The metal and metal nitride include, for example, tungsten (W), molybdenum (Mo), titanium (Ti), aluminum (Al), platinum (Pt), tantalum (Ta), other suitable metals, alloys of the above materials, and nitrides of the above metals (e.g., tantalum nitride (TaN), titanium nitride (TiN)). Furthermore, according to an embodiment, the load material layer 104 may include the same or different materials as the bottom electrode and/or the top electrode to be formed subsequently. For example, the load material layer 104 and the bottom electrode and/or the top electrode include different metal materials. Alternatively, the load material layer 104 includes a dielectric material that is different from the metal material included in the bottom electrode and/or the top electrode. Furthermore, the load material layer 104 can be a single-layer structure or a multi-layer structure including the above materials.

根據一些實施例,可先於基板S上例如以一沉積製程形成一負載材料(未示出),之後通過微影圖案化製程以及蝕刻製程,以去除部分的負載材料,而定義出負載材料層104。在一些實施例中,上述微影圖案化製程包含在負載材料的上方形成一圖案化光阻層(未示出)。然後,根據此圖案化光阻層進行蝕刻,以去除未被圖案化光阻層覆蓋的負載材料的部分,而形成如第2B圖所示的負載材料層104。上述蝕刻製程可以是乾式蝕刻製程、濕式蝕刻製程、其他合適的製程、或前述製程之組合。乾式蝕刻製程例如電漿蝕刻製程、反應性離子蝕刻製程。According to some embodiments, a carrier material (not shown) may be first formed on the substrate S, for example, by a deposition process, and then a lithography patterning process and an etching process may be performed to remove a portion of the carrier material to define the carrier material layer 104. In some embodiments, the lithography patterning process includes forming a patterned photoresist layer (not shown) above the carrier material. Then, etching is performed based on the patterned photoresist layer to remove the portion of the carrier material not covered by the patterned photoresist layer to form the carrier material layer 104 as shown in FIG. 2B. The etching process may be a dry etching process, a wet etching process, other suitable processes, or a combination of the aforementioned processes. Dry etching processes include plasma etching and reactive ion etching.

再者,在一些實施例中,負載材料層104的厚度(在第一方向D1上)是在約100A(Angstrom)~約400A的範圍。但本揭露的負載材料層104厚度並不限於前述範圍和數值。在負載材料層104包含多個材料層的一實施例中,負載材料層104例如包含(但不限於)約100A的金屬鈦(Ti)層和約150A的氮化鈦(TiN)層於金屬鈦(Ti)層上。Furthermore, in some embodiments, the thickness of the load material layer 104 (in the first direction D1) is in the range of about 100 Å (Angstrom) to about 400 Å. However, the thickness of the load material layer 104 disclosed herein is not limited to the aforementioned range and value. In an embodiment in which the load material layer 104 includes multiple material layers, the load material layer 104 includes (but is not limited to) a metal titanium (Ti) layer of about 100 Å and a titanium nitride (TiN) layer of about 150 Å on the metal titanium (Ti) layer.

之後,參照第2C圖,根據一些實施例,在基板S上形成一晶種層106,且晶種層106覆蓋負載材料層104。晶種層106可包括二氧化矽、氮氧化矽、氮化鋁(AlN)、氮化銦(InN)、氮化銦鎵(InGaN)、氮化鋁鎵(AlGaN)、氮化鋁銦(AlInN)、氮化鋁銦鎵(AlInGaN)、或其他合適的材料。再者,在一些實施例中,晶種層106和後續形成的壓電材料層120(第2D圖)包含相同的材料,以提供壓電材料層120的生長表面。晶種層106可通過沈積方法而形成於基板S的覆蓋氧化層1022上。上述沈積方法包含物理氣相沉積(PVD)製程、化學氣相沉積(CVD)製程、其他合適的製程或前述製程之組合。再者,晶種層106的厚度例如在(但不限於)約100A(Angstrom)~約300A的範圍,例如大約200A。但本揭露的晶種層106厚度並不限於前述範圍和數值。Thereafter, referring to FIG. 2C , according to some embodiments, a seed layer 106 is formed on the substrate S, and the seed layer 106 covers the load material layer 104. The seed layer 106 may include silicon dioxide, silicon oxynitride, aluminum nitride (AlN), indium nitride (InN), indium gallium nitride (InGaN), aluminum gallium nitride (AlGaN), aluminum indium nitride (AlInN), aluminum indium gallium nitride (AlInGaN), or other suitable materials. Furthermore, in some embodiments, the seed layer 106 and the subsequently formed piezoelectric material layer 120 ( FIG. 2D ) include the same material to provide a growth surface for the piezoelectric material layer 120. The seed layer 106 may be formed on the capping oxide layer 1022 of the substrate S by a deposition method. The deposition method includes a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process, other suitable processes or a combination of the aforementioned processes. Furthermore, the thickness of the seed layer 106 is, for example, in the range of (but not limited to) about 100 Angstrom to about 300 Angstrom, for example, about 200 Angstrom. However, the thickness of the seed layer 106 disclosed in the present invention is not limited to the aforementioned range and value.

值得注意的是,設置在基板S上(例如氧化層102上)的晶種層106,其表面紋理會影響沉積在其上的各材料層的結晶性。由於此實施例的晶種層106是在形成負載材料層104之後才形成,後續沉積在晶種層106上的材料層不與負載材料層104直接接觸,因此此實施例的負載材料層104不影響後續在晶種層106上方的各材料層的沉積。It is worth noting that the surface texture of the seed layer 106 disposed on the substrate S (e.g., on the oxide layer 102) will affect the crystallinity of each material layer deposited thereon. Since the seed layer 106 of this embodiment is formed after the carrier material layer 104 is formed, the material layer subsequently deposited on the seed layer 106 does not directly contact the carrier material layer 104, and therefore the carrier material layer 104 of this embodiment does not affect the subsequent deposition of each material layer above the seed layer 106.

之後,再參照第2C圖,在晶種層106上形成一底電極層110。自基板S俯視,底電極層110視應用裝置的需求而具有合適的圖案設計(未示出)。根據一些實施例,雖然底電極層110因其圖形設計而於此圖式的截面示出數個分離段,但可能在此截面以外連接,且底電極層110電性連接至一輸入端(input terminal)(未示出) 以輸入一操作電壓至底電極層110。Then, referring to FIG. 2C , a bottom electrode layer 110 is formed on the seed layer 106. When viewed from the top of the substrate S, the bottom electrode layer 110 has a suitable pattern design (not shown) depending on the requirements of the application device. According to some embodiments, although the bottom electrode layer 110 is shown as a plurality of separated sections in the cross section of this figure due to its pattern design, it may be connected outside this cross section, and the bottom electrode layer 110 is electrically connected to an input terminal (not shown) to input an operating voltage to the bottom electrode layer 110.

在此實施例中,底電極層110包含第一底電極111和與第一底電極111相鄰的第二底電極112,其沿著第一方向D1形成於晶種層106上,且在第二方向D2上相隔一距離。再者,如第2C圖所示,在此一實施例中,第一底電極111和第二底電極112對應位於犧牲層103(在後續製程中會被去除而形成空腔)的上方,且第一底電極111對應於負載材料層104的上方。In this embodiment, the bottom electrode layer 110 includes a first bottom electrode 111 and a second bottom electrode 112 adjacent to the first bottom electrode 111, which are formed on the seed layer 106 along the first direction D1 and are separated by a distance in the second direction D2. Furthermore, as shown in FIG. 2C , in this embodiment, the first bottom electrode 111 and the second bottom electrode 112 are located above the sacrificial layer 103 (which will be removed in a subsequent process to form a cavity), and the first bottom electrode 111 is located above the load material layer 104.

在此實施例中,是以在犧牲層103上方形成的堆疊結構做為一應用裝置的串聯諧振器和並聯諧振器的壓電結構的說明。根據此實施例的一應用,一並聯諧振器的壓電結構包含負載材料層104、晶種層106、第一底電極111以及後續堆疊於其上方的層和部件。而一串聯諧振器的壓電結構則包含晶種層106、第二底電極112以及後續堆疊於其上方的層和部件,且不包含有負載材料層。In this embodiment, the stacked structure formed on the sacrificial layer 103 is used as an illustration of the piezoelectric structure of a series resonator and a parallel resonator of an application device. According to an application of this embodiment, the piezoelectric structure of a parallel resonator includes a load material layer 104, a seed layer 106, a first bottom electrode 111, and layers and components subsequently stacked thereon. The piezoelectric structure of a series resonator includes a seed layer 106, a second bottom electrode 112, and layers and components subsequently stacked thereon, and does not include a load material layer.

在一些實施例中,底電極層110包括導電氧化物、金屬、導電氮化物、包含前述材料之合金、或其他合適的導電材料、或前述材料之組合。前述導電氧化物例如銦錫氧化物(ITO)、銦鋅氧化物(IZO)、或其他合適的氧化物。前述金屬例如鎢(W)、鉬(Mo)、鈦(Ti)、鋁(Al)、鉑(Pt)、鉭(Ta)、或其他合適的金屬、或前述材料之合金、或前述材料之組合。前述導電氮化物例如氮化鉭(TaN)、氮化鈦(TiN)、或其他合適的氮化物。In some embodiments, the bottom electrode layer 110 includes a conductive oxide, a metal, a conductive nitride, an alloy containing the aforementioned materials, or other suitable conductive materials, or a combination of the aforementioned materials. The aforementioned conductive oxide is, for example, indium tin oxide (ITO), indium zinc oxide (IZO), or other suitable oxides. The aforementioned metal is, for example, tungsten (W), molybdenum (Mo), titanium (Ti), aluminum (Al), platinum (Pt), tantalum (Ta), or other suitable metals, or alloys of the aforementioned materials, or a combination of the aforementioned materials. The aforementioned conductive nitride is, for example, tantalum nitride (TaN), titanium nitride (TiN), or other suitable nitrides.

根據一些實施例,先沉積一底電極材料層於晶種層106上。在一些實施例中,可藉由化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、物理氣相沉積(PVD)製程、其他合適的製程、或前述製程之組合,而沉積此底電極材料層。之後,通過合適的微影圖案化製程以及蝕刻製程,以形成具有合適圖案的底電極層110。According to some embodiments, a bottom electrode material layer is first deposited on the seed layer 106. In some embodiments, the bottom electrode material layer can be deposited by a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a physical vapor deposition (PVD) process, other suitable processes, or a combination of the aforementioned processes. Afterwards, a suitable lithography patterning process and an etching process are performed to form a bottom electrode layer 110 having a suitable pattern.

再者,在一些實施例中,所形成的底電極層110的厚度(在第一方向D1上)是在約100nm~約250nm的範圍。本揭露的底電極層110的厚度並不限於前述範圍和數值。Furthermore, in some embodiments, the thickness of the formed bottom electrode layer 110 (in the first direction D1) is in the range of about 100 nm to about 250 nm. The thickness of the bottom electrode layer 110 disclosed herein is not limited to the aforementioned range and value.

之後,參照第2D圖,根據一些實施例,在晶種層106和底電極層110上形成一壓電材料層120,且此壓電材料層120覆蓋晶種層106和底電極層110。在一些實施例中,壓電材料層120包括二氧化矽、氮氧化矽、氧化鋅、氮化鋁、氮化銦、氮化銦鎵(InGaN)、氮化鋁鎵(AlGaN)、氮化鋁銦(AlInN)、氮化鋁銦鎵(AlInGaN)、氮化鋁鈧(AlScN)、鋯鈦酸鉛(PZT)、其他合適的材料、或前述材料的組合。再者,在一些實施例中,壓電材料層120與晶種層106包含相同的材料。壓電材料層120可根據晶種層106提供的結晶表面接續地生長。Thereafter, referring to FIG. 2D , according to some embodiments, a piezoelectric material layer 120 is formed on the seed layer 106 and the bottom electrode layer 110, and the piezoelectric material layer 120 covers the seed layer 106 and the bottom electrode layer 110. In some embodiments, the piezoelectric material layer 120 includes silicon dioxide, silicon oxynitride, zinc oxide, aluminum nitride, indium nitride, indium gallium nitride (InGaN), aluminum gallium nitride (AlGaN), aluminum indium nitride (AlInN), aluminum indium gallium nitride (AlInGaN), aluminum nitride (AlScN), lead zirconate titanate (PZT), other suitable materials, or a combination of the foregoing materials. Furthermore, in some embodiments, the piezoelectric material layer 120 and the seed layer 106 include the same material. The piezoelectric material layer 120 can be continuously grown based on the crystallization surface provided by the seed layer 106.

在一些實施例中,壓電材料層120沿第一方向D1沈積於晶種層106上。上述沈積方法包含物理氣相沉積(PVD)製程、化學氣相沉積(CVD)製程或其他合適的製程。再者,在一些實施例中,壓電材料層120的厚度(在第一方向D1上)在約400nm~約1000nm的範圍。本揭露的壓電材料層120的厚度並不限於前述範圍和數值。In some embodiments, the piezoelectric material layer 120 is deposited on the seed layer 106 along the first direction D1. The above-mentioned deposition method includes a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process or other suitable processes. Furthermore, in some embodiments, the thickness of the piezoelectric material layer 120 (in the first direction D1) is in the range of about 400nm to about 1000nm. The thickness of the piezoelectric material layer 120 disclosed in the present invention is not limited to the aforementioned range and value.

之後,再參照第2D圖,根據一些實施例,在壓電材料層120上形成一頂電極層130。自基板S俯視,頂電極層130視應用裝置的需求而具有合適的圖案設計(未示出)。視應用的壓電濾波裝置需求,可設計底電極層110與頂電極層130的圖案,使製得的壓電濾波裝置具有良好的帶通濾波的效果,且使得如第1C圖所示的帶通濾波具有良好的波形,例如通過頻帶具有更穩定的低饋入損耗(dB)以及對應於截止頻率f1、f4呈現更垂直的陡升和陡降的饋入損耗差異(即通過頻帶更接近方形)等效果。根據一些實施例,雖然頂電極層130因其圖形設計而於第2D圖的截面示出數個分離段,但可能在此截面以外連接,且頂電極層130電性連接至一輸入端(input terminal)(未示出),以輸入一操作電壓至頂電極層130。Then, referring to FIG. 2D , according to some embodiments, a top electrode layer 130 is formed on the piezoelectric material layer 120. When viewed from the substrate S, the top electrode layer 130 has a suitable pattern design (not shown) depending on the requirements of the application device. Depending on the requirements of the applied piezoelectric filter device, the patterns of the bottom electrode layer 110 and the top electrode layer 130 can be designed so that the manufactured piezoelectric filter device has a good bandpass filtering effect and the bandpass filter shown in FIG. 1C has a good waveform, such as a more stable low feed loss (dB) in the passband and a more vertical steep rise and fall in feed loss difference corresponding to the cutoff frequencies f1 and f4 (i.e., the passband is closer to a square) and other effects. According to some embodiments, although the top electrode layer 130 is shown as a plurality of separated segments in the cross section of FIG. 2D due to its graphic design, it may be connected outside this cross section, and the top electrode layer 130 is electrically connected to an input terminal (not shown) to input an operating voltage to the top electrode layer 130.

在此一實施例中,頂電極層130包含第一頂電極131和與第一頂電極131相鄰的第二頂電極132形成於壓電材料層120上。在此截面中,第一頂電極131和第二頂電極132在第二方向D2相隔一距離。再者,如第2D圖所示,在此一實施例中,第一頂電極131和第二頂電極132對應位於犧牲層103(在後續製程中會被去除而形成空腔)的上方。根據實施例,第一頂電極131對應於第一底電極111的上方,也對應於負載材料層104的上方。第二頂電極132對應於第二底電極112的上方。In this embodiment, the top electrode layer 130 includes a first top electrode 131 and a second top electrode 132 adjacent to the first top electrode 131 formed on the piezoelectric material layer 120. In this cross section, the first top electrode 131 and the second top electrode 132 are separated by a distance in the second direction D2. Furthermore, as shown in FIG. 2D, in this embodiment, the first top electrode 131 and the second top electrode 132 are located above the sacrificial layer 103 (which will be removed in a subsequent process to form a cavity). According to the embodiment, the first top electrode 131 corresponds to the top of the first bottom electrode 111 and also corresponds to the top of the load material layer 104. The second top electrode 132 corresponds to the upper portion of the second bottom electrode 112.

在一些實施例中,頂電極層130包括導電氧化物、金屬、導電氮化物、包含前述材料之合金、或其他合適的導電材料、或前述材料之組合。前述導電氧化物例如銦錫氧化物(ITO)、銦鋅氧化物(IZO)、或其他合適的氧化物。前述金屬例如鎢、鉬、鈦、鋁、鉑、鉭、或其他合適的金屬、或前述材料之合金、或前述材料之組合。前述導電氮化物例如氮化鉭(TaN)、氮化鈦(TiN)、或其他合適的氮化物。頂電極層130和底電極層110可包含不同材料或相同材料。在一些實施例中,頂電極層130和底電極層110係包含相同材料,例如鉬。In some embodiments, the top electrode layer 130 includes a conductive oxide, a metal, a conductive nitride, an alloy containing the aforementioned materials, or other suitable conductive materials, or a combination of the aforementioned materials. The aforementioned conductive oxide is, for example, indium tin oxide (ITO), indium zinc oxide (IZO), or other suitable oxides. The aforementioned metal is, for example, tungsten, molybdenum, titanium, aluminum, platinum, tungsten, or other suitable metals, or alloys of the aforementioned materials, or a combination of the aforementioned materials. The aforementioned conductive nitride is, for example, tungsten nitride (TaN), titanium nitride (TiN), or other suitable nitrides. The top electrode layer 130 and the bottom electrode layer 110 may include different materials or the same material. In some embodiments, the top electrode layer 130 and the bottom electrode layer 110 include the same material, such as molybdenum.

根據一些實施例,先沉積一頂電極材料層於壓電材料層120上。在一些實施例中,可藉由化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、物理氣相沉積(PVD)製程、其他合適的製程、或前述製程之組合,而沉積此頂電極材料層。之後,通過合適的微影圖案化製程以及蝕刻製程,以形成具有合適圖案的頂電極層130。前述蝕刻製程停止在壓電材料層120的頂表面上,在此實施例中,壓電材料層120對於頂電極層130的蝕刻具有極高的蝕刻選擇比。According to some embodiments, a top electrode material layer is first deposited on the piezoelectric material layer 120. In some embodiments, the top electrode material layer can be deposited by a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a physical vapor deposition (PVD) process, other suitable processes, or a combination of the aforementioned processes. Thereafter, a top electrode layer 130 having a suitable pattern is formed by a suitable lithography patterning process and an etching process. The aforementioned etching process stops on the top surface of the piezoelectric material layer 120. In this embodiment, the piezoelectric material layer 120 has an extremely high etching selectivity for etching the top electrode layer 130.

再者,在一些實施例中,所形成的頂電極層130的厚度(在第一方向D1上)約100nm~約250nm的範圍。本揭露的頂電極層130的厚度並不限於前述範圍和數值。Furthermore, in some embodiments, the thickness of the formed top electrode layer 130 (in the first direction D1) is in the range of about 100 nm to about 250 nm. The thickness of the top electrode layer 130 disclosed herein is not limited to the aforementioned range and value.

根據本揭露的一些實施例中的一壓電濾波裝置,其包含第一壓電結構10-1以及第二壓電結構10-2。第一壓電結構10-1包含沿第一方向D1堆疊的負載材料層104、晶種層106、第一底電極111、壓電材料層120和第一頂電極131。第二壓電結構10-2包含沿第一方向D1堆疊的晶種層106、第二底電極112、壓電材料層120和第二頂電極132。比起未設置負載材料層的第二壓電結構10-2,設置負載材料層的第一壓電結構10-1的整體厚度更厚,而具有較低的諧振頻率。在一應用中,如第1A圖所示的並聯諧振器和串聯諧振器分別具有第一壓電結構10-1和第二壓電結構10-2,以達到前述如第1C圖所示的帶通濾波(band pass)的效果。According to some embodiments of the present disclosure, a piezoelectric filter device includes a first piezoelectric structure 10-1 and a second piezoelectric structure 10-2. The first piezoelectric structure 10-1 includes a load material layer 104, a seed layer 106, a first bottom electrode 111, a piezoelectric material layer 120, and a first top electrode 131 stacked along a first direction D1. The second piezoelectric structure 10-2 includes a seed layer 106, a second bottom electrode 112, a piezoelectric material layer 120, and a second top electrode 132 stacked along the first direction D1. Compared to the second piezoelectric structure 10-2 without the load material layer, the first piezoelectric structure 10-1 with the load material layer is thicker and has a lower resonant frequency. In one application, the parallel resonator and the series resonator shown in FIG. 1A respectively have the first piezoelectric structure 10-1 and the second piezoelectric structure 10-2 to achieve the aforementioned band pass filtering effect as shown in FIG. 1C.

另外,根據一些實施例的第一壓電結構10-1,負載材料層104(在第二方向D2上)的寬度W1可以小於、大致相等或略大於上方的第一底電極111(在第二方向D2上)的寬度W2。在一些實施例中,負載材料層104的寬度W1可以小於、大致相等或略大於上方的第一頂電極131(在第二方向D2上)的寬度W3。負載材料層104的實際寬度視應用需求而定,只要負載材料層104是落在第一壓電結構10-1的元件區域應屬可實施的範圍。In addition, according to the first piezoelectric structure 10-1 of some embodiments, the width W1 of the load material layer 104 (in the second direction D2) may be smaller than, approximately equal to, or slightly larger than the width W2 of the first bottom electrode 111 (in the second direction D2). In some embodiments, the width W1 of the load material layer 104 may be smaller than, approximately equal to, or slightly larger than the width W3 of the first top electrode 131 (in the second direction D2). The actual width of the load material layer 104 depends on the application requirements, as long as the load material layer 104 is within the device region of the first piezoelectric structure 10-1, it should be within the practicable range.

之後,參照第2E圖,根據一些實施例,在壓電材料層120以及頂電極層130上形成一保護層140,且此保護層140覆蓋壓電材料層120以及頂電極層130。保護層140可用於鈍化以及/或保護下方的頂電極層130、壓電材料層120、底電極層110以及負載材料層104。2E , according to some embodiments, a protective layer 140 is formed on the piezoelectric material layer 120 and the top electrode layer 130, and the protective layer 140 covers the piezoelectric material layer 120 and the top electrode layer 130. The protective layer 140 can be used to passivate and/or protect the top electrode layer 130, the piezoelectric material layer 120, the bottom electrode layer 110, and the load material layer 104 below.

根據一些實施例,保護層140可包含二氧化矽、氮氧化矽、氧化鋅、氮化鋁、氮化銦、氮化銦鎵(InGaN)、氮化鋁鎵(AlGaN)、氮化鋁銦(AlInN)、氮化鋁銦鎵(AlInGaN)、氮化鋁鈧(AlScN)、鋯鈦酸鉛(PZT)、其他合適的材料、或前述材料的組合。保護層140和壓電材料層120可包含不同或相同的材料。在一實施例中,保護層140和壓電材料層120包含氮化鋁。在一些實施例中,保護層140可通過沈積方法例如物理氣相沉積、化學氣相沉積或其他合適的製程而形成於壓電材料層120上。According to some embodiments, the protective layer 140 may include silicon dioxide, silicon oxynitride, zinc oxide, aluminum nitride, indium nitride, indium gallium nitride (InGaN), aluminum gallium nitride (AlGaN), aluminum indium nitride (AlInN), aluminum indium gallium nitride (AlInGaN), aluminum nitride (AlScN), lead zirconium titanate (PZT), other suitable materials, or a combination of the foregoing materials. The protective layer 140 and the piezoelectric material layer 120 may include different or the same materials. In one embodiment, the protective layer 140 and the piezoelectric material layer 120 include aluminum nitride. In some embodiments, the protection layer 140 may be formed on the piezoelectric material layer 120 by a deposition method such as physical vapor deposition, chemical vapor deposition or other suitable processes.

再者,在一些實施例中,所形成的保護層140的厚度(在第一方向D1上)是在約100nm~約300nm的範圍。但本揭露的保護層140的厚度並不限於前述範圍和數值,可以達到鈍化和保護下方材料層的作用即可。Furthermore, in some embodiments, the thickness of the formed protective layer 140 (in the first direction D1) is in the range of about 100 nm to about 300 nm. However, the thickness of the protective layer 140 disclosed herein is not limited to the aforementioned range and value, and can achieve the function of passivation and protection of the underlying material layer.

之後,參照第2F圖,根據一些實施例,在保護層140上方形成一介電層150,並且形成可暴露出電極的接觸孔160,例如形成暴露出頂電極層130的第一接觸孔161,以及暴露出底電極層110的第二接觸孔162。在一些實施例中,介電層150包含一氧化層,例如TEOS層。在一些實施例中,介電層150的厚度在約100nm~約200nm的範圍,例如約100nm,但本揭露的介電層150的厚度並不限於前述範圍和數值。Then, referring to FIG. 2F , according to some embodiments, a dielectric layer 150 is formed on the protective layer 140, and a contact hole 160 is formed to expose the electrode, for example, a first contact hole 161 is formed to expose the top electrode layer 130, and a second contact hole 162 is formed to expose the bottom electrode layer 110. In some embodiments, the dielectric layer 150 includes an oxide layer, such as a TEOS layer. In some embodiments, the thickness of the dielectric layer 150 is in the range of about 100 nm to about 200 nm, for example, about 100 nm, but the thickness of the dielectric layer 150 disclosed herein is not limited to the aforementioned range and value.

根據一些實施例,可藉由化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、其他合適的製程、或前述製程之組合,而沉積此介電層150。之後,通過合適的微影圖案化製程以及蝕刻製程,以形成第一接觸孔161和第二接觸孔162。上述蝕刻製程可包含乾式蝕刻製程、濕式蝕刻製程、其他合適的製程、或前述製程之組合。在一些實施例中,如第2F圖所示,第一接觸孔161貫穿介電層150和保護層140,而暴露出頂電極層130。再者,第二接觸孔162貫穿介電層150、保護層140、頂電極層130和壓電材料層120,而暴露出底電極層110。According to some embodiments, the dielectric layer 150 may be deposited by a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, other suitable processes, or a combination of the aforementioned processes. Thereafter, a first contact hole 161 and a second contact hole 162 are formed by a suitable lithography patterning process and an etching process. The etching process may include a dry etching process, a wet etching process, other suitable processes, or a combination of the aforementioned processes. In some embodiments, as shown in FIG. 2F , the first contact hole 161 penetrates the dielectric layer 150 and the protective layer 140 to expose the top electrode layer 130. Furthermore, the second contact hole 162 penetrates the dielectric layer 150 , the protection layer 140 , the top electrode layer 130 , and the piezoelectric material layer 120 to expose the bottom electrode layer 110 .

之後,參照第2G圖,根據一些實施例,在接觸孔處形成接觸墊(contact pads),以與下方對應的電極接觸。例如,在第一接觸孔161形成第一接觸墊171,在第二接觸孔162形成第二接觸墊172。第一接觸墊171接觸下方的頂電極層130,以與頂電極層130電性連接。第二接觸墊172接觸下方的底電極層110,以與底電極層110電性連接。Then, referring to FIG. 2G , according to some embodiments, contact pads are formed at the contact holes to contact the corresponding electrodes below. For example, a first contact pad 171 is formed in the first contact hole 161, and a second contact pad 172 is formed in the second contact hole 162. The first contact pad 171 contacts the top electrode layer 130 below to be electrically connected to the top electrode layer 130. The second contact pad 172 contacts the bottom electrode layer 110 below to be electrically connected to the bottom electrode layer 110.

在一些實施例中,第一接觸墊171和第二接觸墊172的材料可包含導電材料,例如鋁銅(AlCu)、鋁矽銅(AlSiCu)、其他類似的金屬材料、其他合適的導電材料、或前述之組合。且此些接觸墊可以是單層結構或者多層結構。為簡化圖式,在此係繪示單層的第一接觸墊171和第二接觸墊172,以利清楚說明實施例。In some embodiments, the materials of the first contact pad 171 and the second contact pad 172 may include conductive materials, such as aluminum copper (AlCu), aluminum silicon copper (AlSiCu), other similar metal materials, other suitable conductive materials, or a combination thereof. And these contact pads may be a single-layer structure or a multi-layer structure. To simplify the diagram, a single-layer first contact pad 171 and a second contact pad 172 are shown here to facilitate a clear description of the embodiment.

根據一些實施例,先形成一金屬材料層(例如鋁銅層)於介電層150上,並且順應性地形成於第一接觸孔161和第二接觸孔162的側壁和底部。之後,通過合適的微影圖案化製程以及蝕刻製程,對金屬材料層和介電層進行蝕刻形成第一接觸墊171和第二接觸墊172,留下接觸墊圖案下方的介電層150’,並蝕刻停止在保護層140的頂表面上,在此實施例中,保護層140對於接觸墊蝕刻具有極高的蝕刻選擇比。According to some embodiments, a metal material layer (e.g., an aluminum-copper layer) is first formed on the dielectric layer 150, and is conformally formed on the sidewalls and bottom of the first contact hole 161 and the second contact hole 162. Afterwards, the metal material layer and the dielectric layer are etched to form the first contact pad 171 and the second contact pad 172 through a suitable lithography patterning process and an etching process, leaving the dielectric layer 150' below the contact pad pattern, and the etching stops on the top surface of the protective layer 140. In this embodiment, the protective layer 140 has an extremely high etching selectivity for contact pad etching.

在一些實施例中,可藉由化學氣相沉積製程、物理氣相沉積製程、其他合適的製程、或前述製程之組合,而形成上述金屬材料層。再者,上述蝕刻製程可以是乾式蝕刻製程、濕式蝕刻製程、其他合適的製程、或前述製程之組合。再者,在一些實施例中,所形成的第一接觸墊171和第二接觸墊172的厚度(在第一方向D1上)例如但不限於是在約800nm~約2000nm的範圍,本實施例揭露的第一接觸墊171和第二接觸墊172的厚度並不限於前述範圍和數值。In some embodiments, the metal material layer may be formed by a chemical vapor deposition process, a physical vapor deposition process, other suitable processes, or a combination of the aforementioned processes. Furthermore, the etching process may be a dry etching process, a wet etching process, other suitable processes, or a combination of the aforementioned processes. Furthermore, in some embodiments, the thickness of the formed first contact pad 171 and the second contact pad 172 (in the first direction D1) is, for example but not limited to, in the range of about 800 nm to about 2000 nm. The thickness of the first contact pad 171 and the second contact pad 172 disclosed in the present embodiment is not limited to the aforementioned range and value.

之後,同樣參照第2G圖,根據一些實施例,通過合適的微影圖案化製程以及蝕刻製程,形成貫穿保護層140、壓電材料層120、晶種層106和覆蓋氧化層1022,使犧牲層103露出的孔洞,例如第一孔洞(first via)181和第二孔洞(second via)182。此一實施例中,第一孔洞181位於第一壓電結構10-1的一側,且不超過犧牲層103的側緣。第二孔洞182位於第二壓電結構10-2的一側,且不超過犧牲層103的另一側緣。再者,自基板S上方俯視,第一孔洞181和第二孔洞182可為圓形、或其他形狀的圖案,分別位於犧牲層103的範圍內。Then, referring to FIG. 2G , according to some embodiments, through appropriate lithography patterning processes and etching processes, holes are formed to penetrate the protective layer 140, the piezoelectric material layer 120, the seed layer 106, and the capping oxide layer 1022 to expose the sacrificial layer 103, such as a first via 181 and a second via 182. In this embodiment, the first via 181 is located on one side of the first piezoelectric structure 10-1 and does not exceed the side edge of the sacrificial layer 103. The second via 182 is located on one side of the second piezoelectric structure 10-2 and does not exceed the other side edge of the sacrificial layer 103. Furthermore, when viewed from above the substrate S, the first hole 181 and the second hole 182 may be circular or in other shapes, and are respectively located within the range of the sacrificial layer 103 .

之後,參照第2H圖,根據一些實施例,去除犧牲層103,以形成一空腔(cavity)183。具體來說,可使用合適的蝕刻製程,通過第一孔洞181和第二孔洞182而去除基板S內的犧牲層103。上述蝕刻製程可以是乾式蝕刻製程、濕式蝕刻製程、其他合適的製程、或前述製程之組合。在一實施例中,對於犧牲層103的材料(例如非晶矽),乾式蝕刻可與含氟氣體一起使用,所述含氟氣體例如包括六氟化硫(SF 6)或二氟化氙(XeF 2)、其他可應用的氣體、或前述之組合。 Thereafter, referring to FIG. 2H , according to some embodiments, the sacrificial layer 103 is removed to form a cavity 183. Specifically, a suitable etching process can be used to remove the sacrificial layer 103 in the substrate S through the first hole 181 and the second hole 182. The etching process can be a dry etching process, a wet etching process, other suitable processes, or a combination of the aforementioned processes. In one embodiment, for the material of the sacrificial layer 103 (e.g., amorphous silicon), dry etching can be used together with a fluorine-containing gas, such as sulfur hexafluoride (SF 6 ) or xenon difluoride (XeF 2 ), other applicable gases, or a combination of the aforementioned processes.

再者,上述的蝕刻製程為一選擇性蝕刻製程,其對於犧牲層103的材料(例如非晶矽)具有高蝕刻選擇性,使得犧牲層103可以完全被移除而不影響周圍其他的層/部件,包含保護層140、壓電材料層120和晶種層106包括氮化鋁(AlN)。例如實施例使用六氟化硫(SF 6)或二氟化氙(XeF 2)的乾式蝕刻。 Furthermore, the above-mentioned etching process is a selective etching process, which has high etching selectivity for the material of the sacrificial layer 103 (e.g., amorphous silicon), so that the sacrificial layer 103 can be completely removed without affecting other surrounding layers/components, including the protective layer 140, the piezoelectric material layer 120, and the seed layer 106 including aluminum nitride (AlN). For example, the embodiment uses dry etching of sulfur hexafluoride (SF 6 ) or xenon difluoride (XeF 2 ).

根據上述,在本揭露的一些實施例中的壓電濾波裝置中,可通過第一接觸墊171和第二接觸墊172施加電壓至頂電極層130和底電極層110,使壓電結構產生振盪。設置負載材料層104的壓電結構(例如第2D圖的第一壓電結構10-1)比未設置負載材料層104的壓電結構(例如第2D圖的第二壓電結構10-2)具有更低的諧振頻率。而在此一實施例中,是通過在形成底電極層110之前先形成負載材料層104,使第一壓電結構10-1比第二壓電結構10-2具有較多的負載效應,因而具有較低的諧振頻率。而藉由改變負載材料層104的厚度以及/或形狀,可以調整濾波裝置的帶通濾波(band pass)的頻寬與濾波效果。例如在第1A圖所示的壓電濾波裝置的一些應用例中,一或多個並聯諧振器可具有此實施例的第一壓電結構10-1,一或多個串聯諧振器具有此實施例的第二壓電結構10-2,且第一壓電結構10-1具有如上述的負載材料層104,可以使此壓電濾波裝置達到如第1C圖所示的帶通濾波的效果和調整濾波裝置頻寬的目的。According to the above, in the piezoelectric filter device of some embodiments of the present disclosure, a voltage can be applied to the top electrode layer 130 and the bottom electrode layer 110 through the first contact pad 171 and the second contact pad 172 to make the piezoelectric structure vibrate. The piezoelectric structure with the load material layer 104 (e.g., the first piezoelectric structure 10-1 in FIG. 2D ) has a lower resonant frequency than the piezoelectric structure without the load material layer 104 (e.g., the second piezoelectric structure 10-2 in FIG. 2D ). In this embodiment, the first piezoelectric structure 10-1 has a greater loading effect than the second piezoelectric structure 10-2 by forming the loading material layer 104 before forming the bottom electrode layer 110, and thus has a lower resonant frequency. By changing the thickness and/or shape of the loading material layer 104, the bandwidth and filtering effect of the band pass of the filter device can be adjusted. For example, in some application cases of the piezoelectric filter device shown in FIG. 1A , one or more parallel resonators may have the first piezoelectric structure 10-1 of this embodiment, one or more series resonators may have the second piezoelectric structure 10-2 of this embodiment, and the first piezoelectric structure 10-1 may have the load material layer 104 as described above, so that the piezoelectric filter device can achieve the bandpass filtering effect as shown in FIG. 1C and the purpose of adjusting the bandwidth of the filter device.

除了上述如第2A~2H圖提出之製造方法,還可以通過其他製造方法製得本揭露一些實施例的壓電濾波裝置,以製得具有負載效應的壓電結構。第3A~3D圖是根據本揭露的一些實施例中,另一種壓電濾波裝置在各個中間製造階段的剖面示意圖。第3A~3D圖中與上述第2A~2H圖相同或相似的部件係使用相同或相似之參考號碼,且可參照上述實施例中關於該些部件之內容。In addition to the manufacturing method proposed in Figures 2A to 2H, the piezoelectric filter device of some embodiments of the present disclosure can also be manufactured by other manufacturing methods to obtain a piezoelectric structure with a load effect. Figures 3A to 3D are cross-sectional schematic diagrams of another piezoelectric filter device at various intermediate manufacturing stages in some embodiments of the present disclosure. The same or similar components in Figures 3A to 3D as those in Figures 2A to 2H above use the same or similar reference numbers, and the contents of these components in the above embodiments can be referred to.

參照第3A圖,首先提供一基板S。基板S包含一底材100、位於底材100上的一氧化層102以及埋置於氧化層102中的一犧牲層103。並且在基板S上方依序形成一負載材料層104、一緩衝氧化層202、一晶種層106和一底電極層110。底電極層110例如包含第一底電極111與第二底電極112。Referring to FIG. 3A , a substrate S is first provided. The substrate S includes a base material 100, an oxide layer 102 located on the base material 100, and a sacrificial layer 103 buried in the oxide layer 102. A load material layer 104, a buffer oxide layer 202, a seed layer 106, and a bottom electrode layer 110 are sequentially formed on the substrate S. The bottom electrode layer 110 includes, for example, a first bottom electrode 111 and a second bottom electrode 112.

與上述第2A~2H圖的製造方法不同的是,第3A~3D圖提出的製造方法是在形成負載材料層104之後,先形成一緩衝氧化層202於基板S上,且緩衝氧化層202並覆蓋負載材料層104,再形成晶種層106於緩衝氧化層202上。亦即,負載材料層104被氧化層102和緩衝氧化層202所包覆,因此晶種層106不與負載材料層104直接接觸。Different from the manufacturing method of FIGS. 2A to 2H above, the manufacturing method of FIGS. 3A to 3D is to first form a buffer oxide layer 202 on the substrate S after forming the load material layer 104, and the buffer oxide layer 202 covers the load material layer 104, and then form a seed layer 106 on the buffer oxide layer 202. That is, the load material layer 104 is covered by the oxide layer 102 and the buffer oxide layer 202, so the seed layer 106 does not directly contact the load material layer 104.

根據一些實施例,緩衝氧化層202包括矽氧化物或其他合適材料,例如四乙氧基矽烷(TEOS)。緩衝氧化層202可與上述氧化層102包含相同材料。可通過物理氣相沉積製程、化學氣相沉積製程、原子層沉積製程、其他合適的製程、或前述製程之組合,以沉積此緩衝氧化層202於基板S上並覆蓋負載材料層104。再者,在一實施例中,緩衝氧化層202的厚度(在第一方向D1上)是在約100nm~約200nm的範圍。本揭露的緩衝氧化層202的厚度並不限於前述範圍和數值。According to some embodiments, the buffer oxide layer 202 includes silicon oxide or other suitable materials, such as tetraethoxysilane (TEOS). The buffer oxide layer 202 may include the same material as the oxide layer 102. The buffer oxide layer 202 may be deposited on the substrate S and cover the load material layer 104 by a physical vapor deposition process, a chemical vapor deposition process, an atomic layer deposition process, other suitable processes, or a combination of the aforementioned processes. Furthermore, in one embodiment, the thickness of the buffer oxide layer 202 (in the first direction D1) is in the range of about 100 nm to about 200 nm. The thickness of the buffer oxide layer 202 disclosed herein is not limited to the aforementioned range and value.

再者,第3A圖中所示的部件,例如底材100、氧化層102(包括底部氧化層1021和覆蓋氧化層1022)、犧牲層103、負載材料層104、晶種層106以及底電極層110(包括第一底電極111和第二底電極112),其配置、材料和製法的細節可參照上述第2A、2B、2C圖相關內容的說明,在此不重述。Furthermore, the details of the configuration, materials and manufacturing methods of the components shown in Figure 3A, such as the substrate 100, the oxide layer 102 (including the bottom oxide layer 1021 and the covering oxide layer 1022), the sacrificial layer 103, the load material layer 104, the seed layer 106 and the bottom electrode layer 110 (including the first bottom electrode 111 and the second bottom electrode 112), can be referred to the description of the relevant contents of the above-mentioned Figures 2A, 2B, and 2C, and will not be repeated here.

之後,參照第3B圖,根據一些實施例,在晶種層106和底電極層110上形成一壓電材料層120,且此壓電材料層120覆蓋晶種層106和底電極層110。之後,在壓電材料層120上形成一頂電極層130。自基板S俯視,底電極層110和頂電極層130視應用裝置的需求而具有合適的圖案設計(未示出)。頂電極層130例如包含第一頂電極131與第二頂電極132。之後,在壓電材料層120以及頂電極層130上形成一保護層140,以鈍化以及/或保護下方的堆疊材料層。在一實施例中,保護層140、壓電材料層120與晶種層106可包含相同的材料,例如氮化鋁或其他合適的材料。頂電極層130與底電極層110可包含相同的材料,例如鉬或其他合適的材料。Then, referring to FIG. 3B , according to some embodiments, a piezoelectric material layer 120 is formed on the seed layer 106 and the bottom electrode layer 110, and the piezoelectric material layer 120 covers the seed layer 106 and the bottom electrode layer 110. Then, a top electrode layer 130 is formed on the piezoelectric material layer 120. From the top view of the substrate S, the bottom electrode layer 110 and the top electrode layer 130 have a suitable pattern design (not shown) depending on the requirements of the application device. The top electrode layer 130, for example, includes a first top electrode 131 and a second top electrode 132. Afterwards, a protective layer 140 is formed on the piezoelectric material layer 120 and the top electrode layer 130 to passivate and/or protect the stacked material layer below. In one embodiment, the protective layer 140, the piezoelectric material layer 120 and the seed layer 106 may include the same material, such as aluminum nitride or other suitable materials. The top electrode layer 130 and the bottom electrode layer 110 may include the same material, such as molybdenum or other suitable materials.

再者,第3B圖中所示的部件,例如壓電材料層120、頂電極層130(包括第一頂電極131與第二頂電極132)以及保護層140,其配置、材料、厚度和製法等細節可參照上述第2D、2E圖相關內容的說明,在此不重述。Furthermore, the configuration, material, thickness and manufacturing method of the components shown in FIG. 3B, such as the piezoelectric material layer 120, the top electrode layer 130 (including the first top electrode 131 and the second top electrode 132) and the protective layer 140, can be referred to the description of the relevant contents of the above-mentioned FIGS. 2D and 2E, and will not be repeated here.

之後,參照第3C圖,根據一些實施例,在保護層140上方形成一介電層,並且形成可暴露出對應電極的接觸孔,例如形成暴露出頂電極層130的第一接觸孔161,以及暴露出底電極層110的第二接觸孔162。接著,在接觸孔處形成接觸墊(contact pads)以與下方對應的電極接觸。例如,在第一接觸孔161形成第一接觸墊171,在第二接觸孔162形成第二接觸墊172。一實施例中,可通過形成一金屬材料層(例如鋁銅層)於介電層上,且金屬材料層順應性地形成於第一接觸孔161和第二接觸孔162的側壁和底部,之後通過合適的微影圖案化製程以及蝕刻製程對金屬材料層和介電層進行蝕刻,形成第一接觸墊171和第二接觸墊172,留下接觸墊圖案下方的介電層150’。第3C圖中所示的部件,例如介電層、第一接觸孔161和第二接觸孔162、第一接觸墊171和第二接觸墊172,其配置、材料、厚度和製法等細節可參照上述第2F、2G圖相關內容的說明,在此不重述。Thereafter, referring to FIG. 3C , according to some embodiments, a dielectric layer is formed on the protective layer 140, and contact holes are formed to expose corresponding electrodes, such as forming a first contact hole 161 to expose the top electrode layer 130, and a second contact hole 162 to expose the bottom electrode layer 110. Then, contact pads are formed at the contact holes to contact the corresponding electrodes below. For example, a first contact pad 171 is formed in the first contact hole 161, and a second contact pad 172 is formed in the second contact hole 162. In one embodiment, a metal material layer (e.g., an aluminum-copper layer) can be formed on the dielectric layer, and the metal material layer is conformally formed on the side walls and bottom of the first contact hole 161 and the second contact hole 162. The metal material layer and the dielectric layer are then etched through a suitable lithography patterning process and an etching process to form a first contact pad 171 and a second contact pad 172, leaving a dielectric layer 150' under the contact pad pattern. The components shown in FIG. 3C , such as the dielectric layer, the first contact hole 161 and the second contact hole 162 , the first contact pad 171 and the second contact pad 172 , their configuration, materials, thickness, manufacturing methods and other details can be referred to the description of the relevant contents of the above-mentioned FIGS. 2F and 2G , and will not be repeated here.

之後,參照第3D圖,根據一些實施例,形成貫穿保護層140、壓電材料層120、晶種層106、緩衝氧化層202和覆蓋氧化層1022,使犧牲層103露出的孔洞,例如第一孔洞181和第二孔洞182。之後,通過第一孔洞181和第二孔洞182而去除基板S內的犧牲層103,以形成空腔183。而第3D圖中所示的部件,例如第一孔洞181、第二孔洞182以及空腔183,其配置、材料、厚度和製法等細節可參照上述第2G、2H圖中相關內容的說明,在此不重述。Then, referring to FIG. 3D , according to some embodiments, holes such as the first hole 181 and the second hole 182 are formed through the protective layer 140, the piezoelectric material layer 120, the seed layer 106, the buffer oxide layer 202 and the cap oxide layer 1022 to expose the sacrificial layer 103. Then, the sacrificial layer 103 in the substrate S is removed through the first hole 181 and the second hole 182 to form a cavity 183. The configuration, material, thickness and manufacturing method of the components shown in FIG. 3D , such as the first hole 181, the second hole 182 and the cavity 183, can be referred to the description of the relevant contents in the above-mentioned FIGS. 2G and 2H , and will not be repeated here.

根據實施例,操作壓電裝置時,可通過第一接觸墊171和第二接觸墊172施加電壓至頂電極層130和底電極層110,使壓電結構產生振盪。而設置負載材料層104的第一壓電結構10-1比未設置負載材料層的第二壓電結構10-2具有較高的負載效應,因而具有較低的諧振頻率。藉由改變負載材料層104的厚度和形狀,可以調整濾波裝置的帶通濾波(band pass)的頻寬與濾波效果。再者,實施例中,在形成負載材料層104之後,再形成一緩衝氧化層202覆蓋負載材料層104,因此晶種層106是形成於緩衝氧化層202上而不與負載材料層104直接接觸。亦即,緩衝氧化層202進一步減少負載材料層104對晶種層106結晶的影響。因此,後續在晶種層106上沉積的壓電材料層120有更良好的品質因素(Q)。According to the embodiment, when operating the piezoelectric device, a voltage can be applied to the top electrode layer 130 and the bottom electrode layer 110 through the first contact pad 171 and the second contact pad 172 to make the piezoelectric structure vibrate. The first piezoelectric structure 10-1 with the load material layer 104 has a higher load effect than the second piezoelectric structure 10-2 without the load material layer, and thus has a lower resonant frequency. By changing the thickness and shape of the load material layer 104, the bandwidth and filtering effect of the band pass of the filter device can be adjusted. Furthermore, in the embodiment, after forming the load material layer 104, a buffer oxide layer 202 is formed to cover the load material layer 104, so that the seed layer 106 is formed on the buffer oxide layer 202 without directly contacting the load material layer 104. That is, the buffer oxide layer 202 further reduces the influence of the load material layer 104 on the crystallization of the seed layer 106. Therefore, the piezoelectric material layer 120 subsequently deposited on the seed layer 106 has a better quality factor (Q).

上述提出的如第2H圖和第3D圖所示的壓電濾波裝置,其負載材料層104位於第一底電極111的下方,且負載材料層104位於晶種層106(第2H圖)或晶種層106和緩衝氧化層202(第3D圖)之下。但本揭露並不以此為限制。在一些實施例中,負載材料層104亦可位於晶種層106之上,直接與第一底電極111底部接觸。In the piezoelectric filter device proposed above as shown in FIG. 2H and FIG. 3D , the load material layer 104 is located below the first bottom electrode 111, and the load material layer 104 is located below the seed layer 106 (FIG. 2H) or the seed layer 106 and the buffer oxide layer 202 (FIG. 3D). However, the present disclosure is not limited to this. In some embodiments, the load material layer 104 may also be located above the seed layer 106 and directly contact the bottom of the first bottom electrode 111.

第4A~4H圖是根據本揭露的一些實施例中,另一種壓電濾波裝置在各個中間製造階段的剖面示意圖。第4A~4H中與上述第2A~2H圖相同或相似的部件係使用相同或相似之參考號碼,且可參照上述實施例中關於該些部件之內容。Figures 4A to 4H are cross-sectional schematic diagrams of another piezoelectric filter device at various intermediate manufacturing stages according to some embodiments of the present disclosure. The same or similar components in Figures 4A to 4H as those in Figures 2A to 2H above are given the same or similar reference numbers, and the contents of these components in the above embodiments can be referred to.

參照第4A圖,首先提供一基板S。基板S包含一底材100、位於底材100上的一氧化層102以及埋置於氧化層102中的一犧牲層103。第4A圖中所示部件的配置、材料和製法的細節可參照上述第2A圖相關內容的說明,在此不重述。Referring to FIG. 4A , a substrate S is first provided. The substrate S comprises a base material 100, an oxide layer 102 on the base material 100, and a sacrificial layer 103 buried in the oxide layer 102. The configuration, materials, and manufacturing methods of the components shown in FIG. 4A may refer to the description of the relevant contents of FIG. 2A above, and will not be repeated here.

之後,參照第4B圖,根據一些實施例,先在基板S上形成一晶種層106,再於晶種層106上形成一負載材料層304。亦即,第4B圖中所示的部件,例如晶種層106和負載材料層304,其配置、材料和製法的細節,可參照上述第2B圖相關內容的說明,在此不重述。Then, referring to FIG. 4B , according to some embodiments, a seed layer 106 is first formed on the substrate S, and then a carrier material layer 304 is formed on the seed layer 106. That is, the details of the configuration, materials, and manufacturing methods of the components shown in FIG. 4B , such as the seed layer 106 and the carrier material layer 304, can be referred to the description of the relevant contents of FIG. 2B above, and will not be repeated here.

之後,參照第4C圖,根據一些實施例,在晶種層106上形成一底電極層310,且底電極層310覆蓋負載材料層304。自基板S俯視,底電極層310視應用裝置的需求而具有合適的圖案設計,而於此圖式的截面示出數個分離段,但可能在此截面以外連接(未示出)。在此實施例中,底電極層310包含第一底電極311和第二底電極312,其沿著第一方向D1形成於晶種層106上。再者,負載材料層304、第一底電極311和第二底電極312對應位於犧牲層103(在後續製程中會被去除而形成空腔)的上方。Then, referring to FIG. 4C , according to some embodiments, a bottom electrode layer 310 is formed on the seed layer 106, and the bottom electrode layer 310 covers the load material layer 304. Looking down from the substrate S, the bottom electrode layer 310 has a suitable pattern design depending on the requirements of the application device, and a plurality of separated sections are shown in the cross section of this figure, but may be connected outside this cross section (not shown). In this embodiment, the bottom electrode layer 310 includes a first bottom electrode 311 and a second bottom electrode 312, which are formed on the seed layer 106 along the first direction D1. Furthermore, the load material layer 304, the first bottom electrode 311 and the second bottom electrode 312 are correspondingly located above the sacrificial layer 103 (which will be removed in subsequent processes to form a cavity).

值得注意的是,在此實施例中,第一底電極311形成於負載材料層304上,第4C圖中所示的底電極層310的材料和製法的細節,可參照上述第2C圖相關內容的說明,在此不重述。It is worth noting that in this embodiment, the first bottom electrode 311 is formed on the load material layer 304. The details of the material and manufacturing method of the bottom electrode layer 310 shown in FIG. 4C can be referred to the description of the relevant content of the above-mentioned FIG. 2C, which will not be repeated here.

之後,參照第4D圖,根據一些實施例,在晶種層106和底電極層310上形成一壓電材料層120,且此壓電材料層120覆蓋晶種層106和底電極層310。然後,在壓電材料層120上形成一頂電極層330。自基板S俯視,頂電極層330視應用裝置的需求而具有合適的圖案設計,而於此圖式的截面示出數個分離段(例如包括第一頂電極331和第二頂電極332),但可能在此截面以外連接(未示出)。Thereafter, referring to FIG. 4D , according to some embodiments, a piezoelectric material layer 120 is formed on the seed layer 106 and the bottom electrode layer 310, and the piezoelectric material layer 120 covers the seed layer 106 and the bottom electrode layer 310. Then, a top electrode layer 330 is formed on the piezoelectric material layer 120. Looking down from the substrate S, the top electrode layer 330 has a suitable pattern design depending on the requirements of the application device, and a cross section of this figure shows several separated sections (for example, including a first top electrode 331 and a second top electrode 332), but may be connected outside this cross section (not shown).

再者,根據此實施例,由於第一底電極311包覆負載材料層304,負載材料層304(在第二方向D2上)的寬度W1小於第一底電極311(在第二方向D2上)的寬度W2。再者,第一頂電極331(在第二方向D2上)的寬度W3可小於、大致相等或略大於第一底電極311的寬度W2。負載材料層304、第一底電極311和第一頂電極331的實際寬度視應用需求而定,只要負載材料層304是落在第一壓電結構10-1的區域範圍應屬可實施的範圍。Furthermore, according to this embodiment, since the first bottom electrode 311 covers the load material layer 304, the width W1 of the load material layer 304 (in the second direction D2) is smaller than the width W2 of the first bottom electrode 311 (in the second direction D2). Furthermore, the width W3 of the first top electrode 331 (in the second direction D2) may be smaller than, substantially equal to, or slightly larger than the width W2 of the first bottom electrode 311. The actual widths of the load material layer 304, the first bottom electrode 311, and the first top electrode 331 depend on the application requirements, as long as the load material layer 304 is within the region of the first piezoelectric structure 10-1, it should be within the practicable range.

在一些實施例中,壓電材料層120與晶種層106可包含(但不限於)相同的材料,例如包含氮化鋁(AlN)或其他合適的材料。在一些實施例中,頂電極層330與底電極層310可包含(但不限於)相同的材料,例如鉬(Mo)或其他合適的材料。在一些實施例中,負載材料層304可與底電極層310包含相同或相異的材料。第4D圖中所示的部件,例如壓電材料層120以及頂電極層330(第一底電極311和第一頂電極331),其配置、材料和製法的細節,可參照上述第2D圖相關內容的說明,在此不重述。In some embodiments, the piezoelectric material layer 120 and the seed layer 106 may include (but not limited to) the same material, such as aluminum nitride (AlN) or other suitable materials. In some embodiments, the top electrode layer 330 and the bottom electrode layer 310 may include (but not limited to) the same material, such as molybdenum (Mo) or other suitable materials. In some embodiments, the load material layer 304 may include the same or different materials as the bottom electrode layer 310. The components shown in Figure 4D, such as the piezoelectric material layer 120 and the top electrode layer 330 (the first bottom electrode 311 and the first top electrode 331), the details of their configuration, materials and manufacturing methods can refer to the description of the relevant content of the above-mentioned Figure 2D, which will not be repeated here.

之後,參照第4E圖,根據一些實施例,形成一保護層140覆蓋壓電材料層120以及頂電極層330。在一些實施例中,保護層140、壓電材料層120與晶種層106可包含(但不限於)相同的材料,例如包含氮化鋁(AlN)或其他合適的材料。第4E圖中所示的保護層140的配置、材料和製法的細節,可參照上述第2E圖相關內容的說明,在此不重述。Then, referring to FIG. 4E , according to some embodiments, a protective layer 140 is formed to cover the piezoelectric material layer 120 and the top electrode layer 330. In some embodiments, the protective layer 140, the piezoelectric material layer 120 and the seed layer 106 may include (but not limited to) the same material, such as aluminum nitride (AlN) or other suitable materials. The configuration, material and manufacturing method of the protective layer 140 shown in FIG. 4E can be referred to the description of the relevant content of FIG. 2E above, and will not be repeated here.

之後,參照第4F圖,根據一些實施例,在保護層140上方形成一介電層150,以及形成可暴露出電極的接觸孔。例如,暴露出頂電極層330的第一接觸孔161和暴露出底電極層310的第二接觸孔162。第4F圖中所示的部件,包括介電層150、第一接觸孔161以及第二接觸孔162,其配置、材料和製造方法的細節,可參照上述第2F圖相關內容的說明,在此不重述。Then, referring to FIG. 4F , according to some embodiments, a dielectric layer 150 is formed on the protective layer 140, and contact holes that can expose the electrodes are formed. For example, a first contact hole 161 that exposes the top electrode layer 330 and a second contact hole 162 that exposes the bottom electrode layer 310. The components shown in FIG. 4F , including the dielectric layer 150, the first contact hole 161, and the second contact hole 162, can refer to the description of the relevant contents of the above-mentioned FIG. 2F for details of their configuration, materials, and manufacturing methods, which will not be repeated here.

之後,參照第4G圖,根據一些實施例,在接觸孔處形成接觸墊(contact pads),以與下方對應的電極接觸。例如,在第一接觸孔161形成第一接觸墊171,第一接觸墊171接觸和電性連接頂電極層330。在第二接觸孔162形成第二接觸墊172,第二接觸墊172接觸和電性連接底電極層310。然後,形成貫穿保護層140、壓電材料層120、晶種層106和覆蓋氧化層1022,使犧牲層103露出的孔洞,例如第一孔洞181和第二孔洞182。第4G圖中所示的部件,包括接觸墊(例如第一接觸墊171和第二接觸墊172)以及孔洞(例如第一孔洞181和第二孔洞182),其配置、材料和製造方法的細節,可參照上述第2G圖相關內容的說明,在此不重述。Thereafter, referring to FIG. 4G , according to some embodiments, contact pads are formed at the contact holes to contact the corresponding electrodes below. For example, a first contact pad 171 is formed in the first contact hole 161, and the first contact pad 171 contacts and electrically connects to the top electrode layer 330. A second contact pad 172 is formed in the second contact hole 162, and the second contact pad 172 contacts and electrically connects to the bottom electrode layer 310. Then, holes such as the first hole 181 and the second hole 182 are formed to penetrate the protective layer 140, the piezoelectric material layer 120, the seed layer 106, and the capping oxide layer 1022 to expose the sacrificial layer 103. The components shown in FIG. 4G include contact pads (e.g., first contact pad 171 and second contact pad 172) and holes (e.g., first hole 181 and second hole 182). Details of their configuration, materials, and manufacturing methods can be found in the description of the relevant contents of FIG. 2G above and will not be repeated here.

之後,參照第4H圖,根據一些實施例,通過第一孔洞181和第二孔洞182而去除基板S內的犧牲層103,以形成空腔183。去除犧牲層103的方法可參照上述第2H圖相關內容的說明,在此不重述。根據上述,完成一壓電濾波裝置之製作。Then, referring to FIG. 4H, according to some embodiments, the sacrificial layer 103 in the substrate S is removed through the first hole 181 and the second hole 182 to form a cavity 183. The method of removing the sacrificial layer 103 can refer to the description of the relevant content of FIG. 2H above, which will not be repeated here. According to the above, the manufacture of a piezoelectric filter device is completed.

在實施例中,操作壓電裝置時,可通過與第一接觸墊171和第二接觸墊172施加電壓至頂電極層330和底電極層310,使壓電結構產生振盪。設置負載材料層304的第一壓電結構10-1比未設置負載材料層的第二壓電結構10-2具有較高的負載效應而具有較低的諧振頻率。藉由改變負載材料層304的厚度以及/或形狀,可以調整濾波裝置的帶通濾波(band pass)的頻寬與濾波效果。再者,此實施例的晶種層106是形成於基板S的氧化層102上,且此負載材料層304被底電極層310(例如第一底電極311)所包覆,因此壓電材料層120是在晶種層106上沉積而不與負載材料層304直接接觸,所形成的壓電材料層120可具有良好的品質因素(Q)。In an embodiment, when operating the piezoelectric device, a voltage can be applied to the top electrode layer 330 and the bottom electrode layer 310 through the first contact pad 171 and the second contact pad 172 to cause the piezoelectric structure to vibrate. The first piezoelectric structure 10-1 provided with the load material layer 304 has a higher load effect and a lower resonant frequency than the second piezoelectric structure 10-2 without the load material layer. By changing the thickness and/or shape of the load material layer 304, the bandwidth and filtering effect of the band pass of the filter device can be adjusted. Furthermore, the seed layer 106 of this embodiment is formed on the oxide layer 102 of the substrate S, and the load material layer 304 is covered by the bottom electrode layer 310 (e.g., the first bottom electrode 311), so the piezoelectric material layer 120 is deposited on the seed layer 106 without directly contacting the load material layer 304, and the formed piezoelectric material layer 120 can have a good quality factor (Q).

上述實施例提出的如第2H圖、第3D圖和第4H圖所示的壓電濾波裝置,其中負載材料層104、304是位於底電極層110、310(例如第一底電極111、311)之下方。但本揭露並不以此為限制。在一些實施例中,負載材料層亦可位於頂電極層和底電極層之間。In the piezoelectric filter device shown in FIG. 2H, FIG. 3D and FIG. 4H in the above-mentioned embodiments, the load material layer 104, 304 is located below the bottom electrode layer 110, 310 (e.g., the first bottom electrode 111, 311). However, the present disclosure is not limited to this. In some embodiments, the load material layer may also be located between the top electrode layer and the bottom electrode layer.

第5A~5F圖是根據本揭露的一些實施例中,另一種壓電濾波裝置在各個中間製造階段的剖面示意圖。第5A~5F中與上述第2A~2H圖或第4A~4H圖相同或相似的部件係使用相同或相似之參考號碼,且可參照上述實施例中關於該些部件之內容。再者,第5A~5F中所示的部件,包括各材料層和孔洞,其配置、材料與製造方法的細節,可參照上述第2A~2H圖相關內容的說明,在此不重述。Figures 5A to 5F are cross-sectional schematic diagrams of another piezoelectric filter device at various intermediate manufacturing stages according to some embodiments of the present disclosure. The same or similar components in Figures 5A to 5F as those in Figures 2A to 2H or Figures 4A to 4H are given the same or similar reference numbers, and the contents of these components in the above embodiments can be referred to. Furthermore, the components shown in Figures 5A to 5F, including various material layers and holes, and the details of their configuration, materials and manufacturing methods can be referred to the description of the relevant contents of Figures 2A to 2H above, which will not be repeated here.

在此實施例中,與上述實施例不同的是,如第5B圖所示,是在基板S上形成晶種層106、底電極層410(包括第一底電極411和第二底電極412)以及壓電材料層120之後,再形成一負載材料層404。此負載材料層404位於犧牲層103的上方,且設置於一壓電結構(例如第一壓電結構10-1)的元件區域中。之後,如第5C圖所示,再於壓電材料層120上形成頂電極層430(包括第一頂電極431和第二頂電極432),且頂電極層430覆蓋負載材料層404。In this embodiment, different from the above-mentioned embodiment, as shown in FIG. 5B , after forming the seed layer 106, the bottom electrode layer 410 (including the first bottom electrode 411 and the second bottom electrode 412) and the piezoelectric material layer 120 on the substrate S, a load material layer 404 is formed. The load material layer 404 is located above the sacrificial layer 103 and is disposed in a component region of a piezoelectric structure (e.g., the first piezoelectric structure 10-1). Thereafter, as shown in FIG. 5C , a top electrode layer 430 (including the first top electrode 431 and the second top electrode 432) is formed on the piezoelectric material layer 120, and the top electrode layer 430 covers the load material layer 404.

之後,再於壓電材料層120上依序形成一保護層140和一介電層150,並且形成可暴露出頂電極層430的第一接觸孔161以及暴露出底電極層410的第二接觸孔162(第5D圖)。之後,在第一接觸孔161和第二接觸孔162分別形成第一接觸墊171與第二接觸墊172(第5E圖)。之後,形成貫穿保護層140、壓電材料層120、晶種層106和覆蓋氧化層1022,使犧牲層103露出的孔洞,例如第一孔洞181和第二孔洞182,並且通過此些孔洞和合適的製程以去除基板S內的犧牲層103,而形成空腔183(第5F圖)。據此完成一壓電濾波裝置之製作。Then, a protective layer 140 and a dielectric layer 150 are sequentially formed on the piezoelectric material layer 120, and a first contact hole 161 that can expose the top electrode layer 430 and a second contact hole 162 that can expose the bottom electrode layer 410 are formed (FIG. 5D). Then, a first contact pad 171 and a second contact pad 172 are formed in the first contact hole 161 and the second contact hole 162, respectively (FIG. 5E). Afterwards, holes such as the first hole 181 and the second hole 182 are formed through the protective layer 140, the piezoelectric material layer 120, the seed layer 106 and the capping oxide layer 1022 to expose the sacrificial layer 103, and the sacrificial layer 103 in the substrate S is removed through these holes and appropriate processes to form a cavity 183 (FIG. 5F). Thus, the manufacturing of a piezoelectric filter device is completed.

在如第5A~5F圖所示的實施例中,由於負載材料層404是在晶種層106和壓電材料層120形成後才進行製作,負載材料層不會影響壓電材料層的沉積,因此所形成的壓電材料層120具有良好的品質因素(Q)。In the embodiment shown in FIGS. 5A to 5F , since the load material layer 404 is fabricated after the seed layer 106 and the piezoelectric material layer 120 are formed, the load material layer will not affect the deposition of the piezoelectric material layer, and thus the formed piezoelectric material layer 120 has a good quality factor (Q).

綜合上述,根據本揭露的一些實施例,設置有負載材料層的壓電結構與未設置有負載材料層的壓電結構具有不同的負載效應因而產生不同的諧振頻率。實施例提出的負載材料層係位於底電極層和頂電極層其中一者的下方,且皆不與底電極層和頂電極層的上表面直接接觸,例如一些實施例的負載材料層位於底電極層的下方(第2H圖、第3D圖和第4H圖)。例如,一些實施例的負載材料層位於頂電極層的下方(第5F圖)。再者,根據本揭露的一些實施例,可以使用和底電極層以及/或頂電極層相同或相異的材料製作負載材料層,並且在形成底電極層之前或是在形成頂電極層之前形成負載材料層。而實施例所提出的製造方法,通過半導體製程以根據實際應用的壓電裝置的需求彈性地選擇任何合適的材料以製作此負載材料層,並且可調整此負載材料層的厚度。因此,實施例所提出的形成方法,可以與現有的製程相容簡單的製造出壓電濾波裝置。In summary, according to some embodiments of the present disclosure, a piezoelectric structure provided with a load material layer and a piezoelectric structure not provided with a load material layer have different load effects and thus generate different resonant frequencies. The load material layer proposed in the embodiments is located below one of the bottom electrode layer and the top electrode layer, and is not in direct contact with the upper surface of the bottom electrode layer and the top electrode layer. For example, the load material layer of some embodiments is located below the bottom electrode layer (FIG. 2H, FIG. 3D, and FIG. 4H). For example, the load material layer of some embodiments is located below the top electrode layer (FIG. 5F). Furthermore, according to some embodiments of the present disclosure, the load material layer can be made of the same or different material as the bottom electrode layer and/or the top electrode layer, and the load material layer can be formed before the bottom electrode layer is formed or before the top electrode layer is formed. The manufacturing method proposed in the embodiment can flexibly select any suitable material to make the load material layer according to the requirements of the piezoelectric device in actual application through the semiconductor process, and the thickness of the load material layer can be adjusted. Therefore, the formation method proposed in the embodiment can be compatible with the existing process to simply manufacture a piezoelectric filter device.

雖然本揭露的實施例及其優點已揭露如上,但應該瞭解的是,任何所屬技術領域中具有通常知識者,在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。此外,本揭露之保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本揭露一些實施例之揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大抵相同功能或獲得大抵相同結果皆可根據本揭露一些實施例使用。因此,本揭露之保護範圍包括上述製程、機器、製造、物質組成、裝置、方法及步驟。另外,每一申請專利範圍構成個別的實施例,且本揭露之保護範圍也包括各個申請專利範圍及實施例的組合。Although the embodiments and advantages of the present disclosure have been disclosed as above, it should be understood that any person with ordinary knowledge in the relevant technical field can make changes, substitutions and modifications without departing from the spirit and scope of the present disclosure. In addition, the scope of protection of the present disclosure is not limited to the processes, machines, manufacturing, material compositions, devices, methods and steps in the specific embodiments described in the specification. Any person with ordinary knowledge in the relevant technical field can understand the current or future developed processes, machines, manufacturing, material compositions, devices, methods and steps from the disclosure content of some embodiments of the present disclosure, as long as they can implement substantially the same functions or obtain substantially the same results in the embodiments described here, they can be used according to some embodiments of the present disclosure. Therefore, the protection scope of the present disclosure includes the above-mentioned processes, machines, manufacturing, material compositions, devices, methods and steps. In addition, each patent application constitutes a separate embodiment, and the protection scope of the present disclosure also includes the combination of each patent application and embodiment.

S:基板S: Substrate

10-1:第一壓電結構10-1: The first piezoelectric structure

10-2:第二壓電結構10-2: Second piezoelectric structure

100:底材100: Base material

102:氧化層102: Oxide layer

1021:底部氧化層1021: Bottom oxide layer

1022:覆蓋氧化層1022: Covering oxide layer

103:犧牲層103: Sacrifice layer

104,304,404:負載材料層104,304,404: Loading material layer

106:晶種層106: Seed layer

110,310,410:底電極層110,310,410: bottom electrode layer

111,311,411:第一底電極111,311,411: First bottom electrode

112,312,412:第二底電極112,312,412: Second bottom electrode

120:壓電材料層120: Piezoelectric material layer

130,330,430:頂電極層130,330,430: Top electrode layer

131,331,431:第一頂電極131,331,431: first top electrode

132,332,432:第二頂電極132,332,432: Second top electrode

140:保護層140: Protective layer

150,150’:介電層150,150’: Dielectric layer

160:接觸孔160: Contact hole

161:第一接觸孔161: First contact hole

162:第二接觸孔162: Second contact hole

171:第一接觸墊171: First contact pad

172:第二接觸墊172: Second contact pad

181:第一孔洞181: First hole

182:第二孔洞182: Second hole

183:空腔183: Cavity

202:緩衝氧化層202: Buffer oxide layer

Cseries,Cshunt:串聯,並聯諧振器的反射損耗(return lose)曲線Cseries, Cshunt: Return loss curves of series and parallel resonators

fs:串聯諧振器的諧振頻率fs: the resonance frequency of the series resonator

fp:並聯諧振器的諧振頻率fp: the resonance frequency of the parallel resonator

f1,f2,f3,f4:頻率f1,f2,f3,f4: frequency

W1,W2,W3:寬度W1,W2,W3: Width

D1:第一方向D1: First direction

D2:第二方向D2: Second direction

第1A圖是根據本揭露的一些實施例的一種壓電濾波裝置的電路圖。 第1B圖是根據第1A圖所示的一壓電濾波裝置的串聯\並聯諧振器的頻率與相應反射損耗(return lose)的模擬關係示意圖。 第1C圖是根據第1A圖所示的一壓電濾波裝置的頻率與相應饋入損耗(insertion lose)的模擬關係示意圖。 第2A~2H圖是根據本揭露的一些實施例中,一種壓電濾波裝置在各個中間製造階段的剖面示意圖。 第3A~3D圖是根據本揭露的一些實施例中,另一種壓電濾波裝置在各個中間製造階段的剖面示意圖。 第4A~4H圖是根據本揭露的一些實施例中,另一種壓電濾波裝置在各個中間製造階段的剖面示意圖。 第5A~5F圖是根據本揭露的一些實施例中,另一種壓電濾波裝置在各個中間製造階段的剖面示意圖。 FIG. 1A is a circuit diagram of a piezoelectric filter device according to some embodiments of the present disclosure. FIG. 1B is a schematic diagram of the simulated relationship between the frequency and the corresponding return loss (return loss) of the series/parallel resonator of a piezoelectric filter device shown in FIG. 1A. FIG. 1C is a schematic diagram of the simulated relationship between the frequency and the corresponding insertion loss (insertion loss) of a piezoelectric filter device shown in FIG. 1A. FIG. 2A to FIG. 2H are schematic cross-sectional views of a piezoelectric filter device at various intermediate manufacturing stages in some embodiments of the present disclosure. FIG. 3A to FIG. 3D are schematic cross-sectional views of another piezoelectric filter device at various intermediate manufacturing stages in some embodiments of the present disclosure. Figures 4A to 4H are schematic cross-sectional views of another piezoelectric filter device at various intermediate manufacturing stages according to some embodiments of the present disclosure. Figures 5A to 5F are schematic cross-sectional views of another piezoelectric filter device at various intermediate manufacturing stages according to some embodiments of the present disclosure.

S:基板 S: Substrate

10-1:第一壓電結構 10-1: The first piezoelectric structure

10-2:第二壓電結構 10-2: The second piezoelectric structure

100:底材 100: Base material

102:氧化層 102: Oxide layer

1021:底部氧化層 1021: Bottom oxide layer

1022:覆蓋氧化層 1022: Covering oxide layer

104:負載材料層 104: Loading material layer

106:晶種層 106: Seed layer

110:底電極層 110: Bottom electrode layer

111:第一底電極 111: First bottom electrode

112:第二底電極 112: Second bottom electrode

120:壓電材料層 120: Piezoelectric material layer

130:頂電極層 130: Top electrode layer

131:第一頂電極 131: first top electrode

132:第二頂電極 132: Second top electrode

140:保護層 140: Protective layer

150’:介電層 150’: Dielectric layer

160:接觸孔 160: Contact hole

161:第一接觸孔 161: First contact hole

162:第二接觸孔 162: Second contact hole

171:第一接觸墊 171: First contact pad

172:第二接觸墊 172: Second contact pad

181:第一孔洞 181: First hole

182:第二孔洞 182: Second hole

183:空腔 183: Cavity

D1:第一方向 D1: First direction

D2:第二方向 D2: Second direction

Claims (19)

一種壓電結構,包含:一基板,包含一底材和位於該底材上的一氧化層,其中該氧化層包含位於該底材上的一底部氧化層和一覆蓋氧化層,該底部氧化層中具有一空腔,該覆蓋氧化層位於該空腔上;一晶種層,位於該氧化層的該覆蓋氧化層上方;一底電極,位於該晶種層上方;一壓電材料層,位於該底電極上方;一頂電極,位於該壓電材料層上方;一保護層位於該壓電材料層上,且該保護層覆蓋該頂電極;以及一負載材料層對應該空腔並位於該覆蓋氧化層的上方,且該底電極、該壓電材料層和該頂電極係沿著第一方向堆疊於該基板之上,其中該負載材料層位於該底電極和該頂電極其中一者的下方。 A piezoelectric structure comprises: a substrate comprising a base material and an oxide layer located on the base material, wherein the oxide layer comprises a bottom oxide layer and a covering oxide layer located on the base material, the bottom oxide layer has a cavity therein, and the covering oxide layer is located on the cavity; a seed layer located above the covering oxide layer of the oxide layer; a bottom electrode located above the seed layer; a piezoelectric material layer located above the base material; electrode; a top electrode located above the piezoelectric material layer; a protective layer located on the piezoelectric material layer, and the protective layer covers the top electrode; and a load material layer corresponding to the cavity and located above the covering oxide layer, and the bottom electrode, the piezoelectric material layer and the top electrode are stacked on the substrate along a first direction, wherein the load material layer is located below one of the bottom electrode and the top electrode. 如請求項1之壓電結構,其中該負載材料層位於該底電極和該基板之間。 A piezoelectric structure as claimed in claim 1, wherein the load material layer is located between the bottom electrode and the substrate. 如請求項2之壓電結構,其中該負載材料層位於該氧化層的該覆蓋氧化層上,且該晶種層覆蓋該負載材料層。 A piezoelectric structure as claimed in claim 2, wherein the load material layer is located on the covering oxide layer of the oxide layer, and the seed layer covers the load material layer. 如請求項2之壓電結構,其中該負載材料層位於該氧化層的該覆蓋氧化層上,該壓電結構更包括一緩衝氧化層覆蓋該負載材料層,且該晶種層形成於該緩衝氧化層上。 The piezoelectric structure of claim 2, wherein the load material layer is located on the covering oxide layer of the oxide layer, the piezoelectric structure further includes a buffer oxide layer covering the load material layer, and the seed layer is formed on the buffer oxide layer. 如請求項2之壓電結構,其中該負載材料層位於該晶種層上,且該底電極覆蓋該負載材料層。 A piezoelectric structure as claimed in claim 2, wherein the load material layer is located on the seed layer, and the bottom electrode covers the load material layer. 如請求項1之壓電結構,其中該負載材料層位於該壓電材料層上,且該頂電極覆蓋該負載材料層。 A piezoelectric structure as claimed in claim 1, wherein the load material layer is located on the piezoelectric material layer, and the top electrode covers the load material layer. 如請求項1之壓電結構,其中該負載材料層包含金屬、金屬氮化物、介電材料、或前述之組合,而前述之介電材料包含氮化矽、氮氧化矽、或前述之組合。 The piezoelectric structure of claim 1, wherein the load material layer comprises metal, metal nitride, dielectric material, or a combination thereof, and the dielectric material comprises silicon nitride, silicon oxynitride, or a combination thereof. 如請求項1之壓電結構,其中該底電極為一第一底電極,該壓電結構更包含一第二底電極鄰近該第一底電極設置,在一截面中,該第二底電極係與該第一底電極在第二方向上相隔開,且該第一方向不同於該第二方向,其中該負載材料層位於該第一底電極之下。 The piezoelectric structure of claim 1, wherein the bottom electrode is a first bottom electrode, and the piezoelectric structure further comprises a second bottom electrode disposed adjacent to the first bottom electrode, wherein in a cross section, the second bottom electrode is separated from the first bottom electrode in a second direction, and the first direction is different from the second direction, wherein the load material layer is located below the first bottom electrode. 如請求項8之壓電結構,其中該晶種層覆蓋該負載材料層,該第二底電極和該第一底電極位於該晶種層上,且該負載材料層位於該第一底電極與該晶種層之下。 A piezoelectric structure as claimed in claim 8, wherein the seed layer covers the load material layer, the second bottom electrode and the first bottom electrode are located on the seed layer, and the load material layer is located below the first bottom electrode and the seed layer. 如請求項8之壓電結構,其中該負載材料層位於該氧化層上,一緩衝氧化層覆蓋該負載材料層,該晶種層位於該緩衝氧化層上,且該第二底電極和該第一底電極位於該晶種層上,其中該負載材料層位於該第一底電極、該晶種層與該緩衝氧化層之下。 A piezoelectric structure as claimed in claim 8, wherein the load material layer is located on the oxide layer, a buffer oxide layer covers the load material layer, the seed layer is located on the buffer oxide layer, and the second bottom electrode and the first bottom electrode are located on the seed layer, wherein the load material layer is located below the first bottom electrode, the seed layer and the buffer oxide layer. 如請求項8之壓電結構,其中該負載材料層位於該晶種層上,該第二底電極和該第一底電極位於該晶種層上,且該第一底電極覆蓋該負載材料層。 A piezoelectric structure as claimed in claim 8, wherein the load material layer is located on the seed layer, the second bottom electrode and the first bottom electrode are located on the seed layer, and the first bottom electrode covers the load material layer. 如請求項1之壓電結構,其中該頂電極為一第一頂電極,該壓電結構更包含一第二頂電極鄰近該第一頂電極設置,在一截面中,該第二頂電極係與該第一頂電極在第二方向上相隔開, 且該第一方向不同於該第二方向,其中該負載材料層位於該壓電材料層上,該第一頂電極覆蓋該負載材料層。 A piezoelectric structure as claimed in claim 1, wherein the top electrode is a first top electrode, and the piezoelectric structure further comprises a second top electrode disposed adjacent to the first top electrode, wherein in a cross section, the second top electrode is separated from the first top electrode in a second direction, and the first direction is different from the second direction, wherein the load material layer is located on the piezoelectric material layer, and the first top electrode covers the load material layer. 一種壓電結構的形成方法,包含:提供一基板,該基板包含一底材和位於該底材上的一氧化層,其中該氧化層包含位於該底材上的一底部氧化層和一覆蓋氧化層,該底部氧化層中具有一空腔,該覆蓋氧化層位於該空腔上;以及在該基板上沿著第一方向形成一堆疊結構,該堆疊結構包含:一晶種層,形成於該氧化層的該覆蓋氧化層的上方;一底電極,形成於該晶種層上方;一壓電材料層,形成於該底電極上方;一頂電極,形成於該壓電材料層上方;一保護層,形成於該壓電材料層上,且該保護層覆蓋該頂電極;以及一負載材料層,對應該空腔並位於該覆蓋氧化層的上方,且該底電極、該壓電材料層和該頂電極係沿著第一方向堆疊,其中該負載材料層形成於該底電極和該頂電極其中一者的下方。 A method for forming a piezoelectric structure comprises: providing a substrate, the substrate comprising a base material and an oxide layer located on the base material, wherein the oxide layer comprises a bottom oxide layer and a covering oxide layer located on the base material, the bottom oxide layer has a cavity therein, and the covering oxide layer is located on the cavity; and forming a stacking structure on the substrate along a first direction, the stacking structure comprising: a seed layer formed above the covering oxide layer of the oxide layer; a bottom electrode, formed on the seed layer; a piezoelectric material layer formed on the bottom electrode; a top electrode formed on the piezoelectric material layer; a protective layer formed on the piezoelectric material layer, and the protective layer covers the top electrode; and a load material layer corresponding to the cavity and located above the covering oxide layer, and the bottom electrode, the piezoelectric material layer and the top electrode are stacked along a first direction, wherein the load material layer is formed below one of the bottom electrode and the top electrode. 如請求項13之壓電結構的形成方法,其中該負載材料層形成於該底電極和該基板之間。 A method for forming a piezoelectric structure as claimed in claim 13, wherein the load material layer is formed between the bottom electrode and the substrate. 如請求項14之壓電結構的形成方法,其中形成該堆疊結構包含:形成該負載材料層於該覆蓋氧化層上;形成該晶種層於該覆蓋氧化層上並覆蓋該負載材料層;形成該底電極於該晶種層上; 形成該壓電材料層於該底電極上;以及形成該頂電極於該壓電材料層上。 A method for forming a piezoelectric structure as claimed in claim 14, wherein forming the stacked structure comprises: forming the load material layer on the capping oxide layer; forming the seed layer on the capping oxide layer and covering the load material layer; forming the bottom electrode on the seed layer; forming the piezoelectric material layer on the bottom electrode; and forming the top electrode on the piezoelectric material layer. 如請求項14之壓電結構的形成方法,其中形成該堆疊結構包含:形成該負載材料層於該覆蓋氧化層上;形成一緩衝氧化層於該覆蓋氧化層上並覆蓋該負載材料層;形成該晶種層於該緩衝氧化層上;形成該底電極於該晶種層上;形成該壓電材料層於該底電極上;以及形成該頂電極於該壓電材料層上。 A method for forming a piezoelectric structure as claimed in claim 14, wherein forming the stacked structure comprises: forming the load material layer on the capping oxide layer; forming a buffer oxide layer on the capping oxide layer and covering the load material layer; forming the seed layer on the buffer oxide layer; forming the bottom electrode on the seed layer; forming the piezoelectric material layer on the bottom electrode; and forming the top electrode on the piezoelectric material layer. 如請求項14之壓電結構的形成方法,其中形成該堆疊結構包含:形成該晶種層於該覆蓋氧化層上;形成該負載材料層於該晶種層上;形成該底電極於該晶種層上,且該底電極覆蓋該負載材料層;形成該壓電材料層於該底電極上;以及形成該頂電極於該壓電材料層上。 A method for forming a piezoelectric structure as claimed in claim 14, wherein forming the stacked structure comprises: forming the seed layer on the covering oxide layer; forming the load material layer on the seed layer; forming the bottom electrode on the seed layer, and the bottom electrode covers the load material layer; forming the piezoelectric material layer on the bottom electrode; and forming the top electrode on the piezoelectric material layer. 如請求項13之壓電結構的形成方法,其中該負載材料層形成於該壓電材料層和該頂電極之間。 A method for forming a piezoelectric structure as claimed in claim 13, wherein the load material layer is formed between the piezoelectric material layer and the top electrode. 如請求項18之壓電結構的形成方法,其中形成該堆疊結構包含:形成該晶種層於該覆蓋氧化層上;形成該底電極於該晶種層上; 形成該壓電材料層於該底電極上;形成該負載材料層於該壓電材料層上;以及形成該頂電極於該壓電材料層上,且該頂電極覆蓋該負載材料層。 A method for forming a piezoelectric structure as claimed in claim 18, wherein forming the stacked structure comprises: forming the seed layer on the capping oxide layer; forming the bottom electrode on the seed layer; forming the piezoelectric material layer on the bottom electrode; forming the load material layer on the piezoelectric material layer; and forming the top electrode on the piezoelectric material layer, and the top electrode covers the load material layer.
TW112119250A 2023-05-24 Piezoelectric structure and methods for forming the same TWI839228B (en)

Publications (1)

Publication Number Publication Date
TWI839228B true TWI839228B (en) 2024-04-11

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN218217328U (en) 2022-06-17 2023-01-03 杭州左蓝微电子技术有限公司 Air gap film bulk acoustic wave filter

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN218217328U (en) 2022-06-17 2023-01-03 杭州左蓝微电子技术有限公司 Air gap film bulk acoustic wave filter

Similar Documents

Publication Publication Date Title
US9444428B2 (en) Film bulk acoustic resonators comprising backside vias
JP4688070B2 (en) Piezoelectric thin film resonator, piezoelectric thin film device, and manufacturing method thereof
JP6388411B2 (en) Acoustic resonator and manufacturing method thereof
US9680439B2 (en) Method of fabricating acoustic resonator with planarization layer
KR20170073063A (en) Acoustic resonator and method for manufacturing same
CN107404304A (en) Acoustic resonator and its manufacture method
JP2008066792A (en) Piezoelectric thin-film resonator and piezoelectric filter device
US11777472B2 (en) Bulk acoustic wave resonator
CN110581697B (en) Acoustic resonator package and method of manufacturing the same
CN110719082B (en) Acoustic wave resonator package
US20230008078A1 (en) Structure and manufacturing method of surface acoustic wave filter with back electrode of piezoelectric layer
US20230011477A1 (en) Structure and manufacturing method of surface acoustic wave filter with back electrode of piezoelectric layer
US11677381B2 (en) Film bulk acoustic resonator structure and fabricating method
CN112117988A (en) Bulk acoustic wave resonator, method of manufacturing the same, filter, and electronic apparatus
TWI797693B (en) Bulk acoustic wave resonator and formation method thereof
CN117277985A (en) Bulk acoustic wave resonator and method of manufacturing the same
TWI839228B (en) Piezoelectric structure and methods for forming the same
CN114899303B (en) Semiconductor device with a plurality of semiconductor chips
TW202023081A (en) Acoustic resonator and method of manufacturing thereof
CN115296644A (en) Bulk acoustic wave filter
JP7111406B2 (en) Fabrication method of thin film bulk acoustic wave resonator
CN115001434A (en) Film bulk acoustic resonator with bifurcated electrodes
KR20170096717A (en) Acoustic resonator
KR20200041543A (en) Bulk acoustic wave resonator
US20220103146A1 (en) Film bulk acoustic resonator structure and fabricating method