TWI839076B - Engineered substrate structure for power and rf applications - Google Patents

Engineered substrate structure for power and rf applications Download PDF

Info

Publication number
TWI839076B
TWI839076B TW112101490A TW112101490A TWI839076B TW I839076 B TWI839076 B TW I839076B TW 112101490 A TW112101490 A TW 112101490A TW 112101490 A TW112101490 A TW 112101490A TW I839076 B TWI839076 B TW I839076B
Authority
TW
Taiwan
Prior art keywords
layer
epitaxial
single crystal
silicon
substrate
Prior art date
Application number
TW112101490A
Other languages
Chinese (zh)
Other versions
TW202322418A (en
Inventor
弗拉基米爾 歐迪諾布魯朵夫
山姆 巴斯賽利
薛瑞 法倫斯
Original Assignee
美商克若密斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克若密斯股份有限公司 filed Critical 美商克若密斯股份有限公司
Publication of TW202322418A publication Critical patent/TW202322418A/en
Application granted granted Critical
Publication of TWI839076B publication Critical patent/TWI839076B/en

Links

Abstract

A substrate includes a support structure comprising: a polycrystalline ceramic core; a first adhesion layer coupled to the polycrystalline ceramic core; a conductive layer coupled to the first adhesion layer; a second adhesion layer coupled to the conductive layer; and a barrier layer coupled to the second adhesion layer. The substrate also includes a silicon oxide layer coupled to the support structure, a substantially single crystalline silicon layer coupled to the silicon oxide layer, and an epitaxial III-V layer coupled to the substantially single crystalline silicon layer.

Description

用於功率及RF應用的工程基板結構Engineered substrate structures for power and RF applications

本專利申請案主張於2016年6月14日提出申請、標題為「用於功率及RF應用的工程基板結構(ENGINEERED SUBSTRATE STRUCTURE FOR POWER AND RF APPLICATIONS)」的美國臨時專利申請案第62/350,084號及於2016年6月14日提出申請、標題為「工程基板結構及其製造方法(ENGINEERED SUBSTRATE STRUCTURE AND METHOD OF MANUFACTURE)」的美國臨時專利申請案第62/350,077號的優先權權益,為了所有的目的將該等申請案之揭示內容以引用方式全部併入本文中。 This patent application claims priority to U.S. Provisional Patent Application No. 62/350,084 filed on June 14, 2016, entitled "ENGINEERED SUBSTRATE STRUCTURE FOR POWER AND RF APPLICATIONS" and U.S. Provisional Patent Application No. 62/350,077 filed on June 14, 2016, entitled "ENGINEERED SUBSTRATE STRUCTURE AND METHOD OF MANUFACTURE", the disclosures of which are hereby incorporated by reference in their entirety for all purposes.

本發明大體而言係關於工程基板結構。更具體言之,本發明係關於適用於磊晶生長製程的方法和系統。 The present invention generally relates to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes.

發光二極體(LED)的結構通常是磊晶生長在藍寶石基板上。目前有許多產品使用LED元件,包括照明、電腦監視器及其他顯示裝置。 The structure of a light-emitting diode (LED) is usually epitaxially grown on a sapphire substrate. There are many products that use LED components, including lighting, computer monitors and other display devices.

在藍寶石基板上生長氮化鎵系列LED結構是一種異質磊晶生長製程,因為基板和磊晶層是由不同的材料所組成。由於異質磊晶生長製程,磊晶生長的材料會表 現出各種不利的效果,包括降低均勻度及與磊晶層的電子/光學特性相關的度量降低。因此,所屬技術領域中需要有與磊晶生長製程和基板結構相關的改良方法和系統。 Growing a GaN series LED structure on a sapphire substrate is a heterogeneous epitaxial growth process because the substrate and epitaxial layer are composed of different materials. Due to the heterogeneous epitaxial growth process, the epitaxially grown material will exhibit various adverse effects, including reduced uniformity and reduced metrics related to the electronic/optical properties of the epitaxial layer. Therefore, there is a need in the art for improved methods and systems related to epitaxial growth processes and substrate structures.

本發明大體而言係關於工程基板結構。更具體言之,本發明係關於適用於磊晶生長製程的方法和系統。僅為舉例,本發明已被應用於提供適於磊晶生長的基板結構的方法和系統,該基板結構之特徵在於大體上與其上生長的磊晶層匹配的熱膨脹係數(CTE)。該等方法和技術可被應用於各式各樣的半導體處理操作。 The present invention generally relates to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes. By way of example only, the present invention has been applied to methods and systems for providing a substrate structure suitable for epitaxial growth, the substrate structure being characterized by a coefficient of thermal expansion (CTE) that is substantially matched to an epitaxial layer grown thereon. Such methods and techniques may be applied to a wide variety of semiconductor processing operations.

依據本發明之一實施例提供了一種基板。該基板包括支撐結構,該支撐結構包含:多晶陶瓷芯;耦接到該多晶陶瓷芯的第一黏合層;耦接到該第一黏合層的導電層;耦接到該導電層的第二黏合層;及耦接到該第二黏合層的阻障層。該基板還包括耦接到該支撐結構的氧化矽層、耦接到該氧化矽層的實質單晶矽層、以及耦接到該實質單晶矽層的磊晶III-V層。 According to one embodiment of the present invention, a substrate is provided. The substrate includes a support structure, which includes: a polycrystalline ceramic core; a first adhesive layer coupled to the polycrystalline ceramic core; a conductive layer coupled to the first adhesive layer; a second adhesive layer coupled to the conductive layer; and a barrier layer coupled to the second adhesive layer. The substrate also includes a silicon oxide layer coupled to the support structure, a substantially single crystal silicon layer coupled to the silicon oxide layer, and an epitaxial III-V layer coupled to the substantially single crystal silicon layer.

依據本發明之另一實施例提供了一種製造基板的方法。該方法包括藉由以下步驟形成支撐結構:提供多晶陶瓷芯;將該多晶陶瓷芯包封在第一黏合殼中;將該第一黏合殼包封在導電殼中;將該導電殼包封在第二黏合殼中;及將該第二黏合殼包封在阻障殼中。該方法還包括將結合層結合到該支撐結構、將實質單晶矽層結合到該結合層、藉由在該實質單晶矽層上磊晶生長而形成磊晶矽 層、以及藉由在該磊晶矽層上磊晶生長而形成磊晶III-V層。 According to another embodiment of the present invention, a method for manufacturing a substrate is provided. The method includes forming a support structure by the following steps: providing a polycrystalline ceramic core; encapsulating the polycrystalline ceramic core in a first bonding shell; encapsulating the first bonding shell in a conductive shell; encapsulating the conductive shell in a second bonding shell; and encapsulating the second bonding shell in a barrier shell. The method also includes bonding a bonding layer to the support structure, bonding a substantially single crystal silicon layer to the bonding layer, forming an epitaxial silicon layer by epitaxial growth on the substantially single crystal silicon layer, and forming an epitaxial III-V layer by epitaxial growth on the epitaxial silicon layer.

依據本發明之一具體實施例提供了一種工程基板結構。該工程基板結構包括支撐結構、耦接到該支撐結構的結合層、耦接到該結合層的實質單晶矽層、以及耦接到該實質單晶矽層的磊晶單晶矽層。該支撐結構包括多晶陶瓷芯、耦接到該多晶陶瓷芯的第一黏合層、耦接到該第一黏合層的導電層、耦接到該導電層的第二黏合層、及耦接到該第二黏合層的阻障殼。 According to a specific embodiment of the present invention, an engineering substrate structure is provided. The engineering substrate structure includes a support structure, a bonding layer coupled to the support structure, a substantial single crystal silicon layer coupled to the bonding layer, and an epitaxial single crystal silicon layer coupled to the substantial single crystal silicon layer. The support structure includes a polycrystalline ceramic core, a first bonding layer coupled to the polycrystalline ceramic core, a conductive layer coupled to the first bonding layer, a second bonding layer coupled to the conductive layer, and a barrier shell coupled to the second bonding layer.

經由本發明實現了許多優於傳統技術的效益。例如,本發明的實施例提供CTE與適用於光學、電子及光電子應用的氮化鎵系列磊晶層匹配的工程基板結構。充當工程基板結構的組成部分的包封層阻止存在於基板中心部分內的雜質擴散到達使用工程基板的半導體處理環境。與基板材料相關的關鍵特性,包括熱膨脹係數、晶格不匹配、熱穩定性、及形狀控制被獨立設計用於改善(例如最佳化)與氮化鎵系列磊晶和元件層的匹配、以及與不同元件架構和效能目標的匹配。因為基板材料層在傳統的半導體製造製程中被整合在一起,所以簡化了製程整合。結合下文和附圖來更詳細地描述本發明的此等和其他實施例及其許多優點和特徵。 Numerous benefits over conventional techniques are realized through the present invention. For example, embodiments of the present invention provide an engineered substrate structure having a CTE matched to a gallium nitride series epitaxial layer suitable for optical, electronic, and optoelectronic applications. The encapsulation layer that is a component of the engineered substrate structure prevents impurities present in the central portion of the substrate from diffusing into the semiconductor processing environment in which the engineered substrate is used. Key properties associated with the substrate material, including coefficient of thermal expansion, lattice mismatch, thermal stability, and shape control are independently designed to improve (e.g., optimize) matching with gallium nitride series epitaxial and device layers, as well as matching with different device architectures and performance targets. Because the substrate material layers are integrated together in a conventional semiconductor manufacturing process, process integration is simplified. These and other embodiments of the present invention and their many advantages and features are described in more detail below and in conjunction with the accompanying drawings.

100:工程基板 100: Engineering substrate

110:芯 110: Core

112:第一黏合層 112: First adhesive layer

114:導電層 114: Conductive layer

116:第二黏合層 116: Second adhesive layer

118:阻障層 118: Barrier layer

120:結合層 120: Binding layer

122:實質單晶矽層 122: Substantial single crystal silicon layer

130:磊晶材料 130: Epitaxial materials

300:工程基板 300: Engineering substrate

314:導電層 314: Conductive layer

316:第二黏合層 316: Second adhesive layer

317:平面 317: Plane

412:第一黏合層 412: First adhesive layer

414:導電層 414: Conductive layer

416:第二黏合層 416: Second adhesive layer

418:阻障層 418: Barrier layer

500:方法 500:Methods

600:磊晶/工程基板結構 600: Epitaxial/engineering substrate structure

610:工程基板結構 610: Engineering substrate structure

620:矽磊晶層 620: Silicon epitaxial layer

710:工程基板結構 710: Engineering substrate structure

720:III-V磊晶層 720: III-V epitaxial layer

724:通孔 724:Through hole

第1圖為圖示依據本發明之一實施例的工程基板結構之簡化示意圖。 Figure 1 is a simplified schematic diagram showing the structure of an engineering substrate according to one embodiment of the present invention.

第2A圖為圖示依據本發明之一實施例工程結構的物種濃度為深度的函數之SIMS曲線。 Figure 2A is a SIMS curve showing the species concentration as a function of depth of an engineering structure according to one embodiment of the present invention.

第2B圖為圖示依據本發明之一實施例工程結構在退火之後的物種濃度為深度的函數之SIMS曲線。 Figure 2B is a SIMS curve showing the species concentration as a function of depth of an engineering structure after annealing according to one embodiment of the present invention.

第2C圖為圖示依據本發明之一實施例具有氮化矽層的工程結構在退火之後的物種濃度為深度的函數之SIMS曲線。 FIG. 2C is a SIMS curve showing the species concentration as a function of depth of an engineering structure having a silicon nitride layer after annealing according to one embodiment of the present invention.

第3圖為圖示依據本發明之另一實施例的工程基板結構之簡化示意圖。 Figure 3 is a simplified schematic diagram of an engineering substrate structure according to another embodiment of the present invention.

第4圖為圖示依據本發明之又另一實施例的工程基板結構之簡化示意圖。 Figure 4 is a simplified schematic diagram showing the structure of an engineering substrate according to another embodiment of the present invention.

第5圖為圖示依據本發明之一實施例製造工程基板的方法之簡化流程圖。 Figure 5 is a simplified flow chart illustrating a method for manufacturing an engineering substrate according to one embodiment of the present invention.

第6圖為圖示依據本發明之一實施例用於RF及功率應用的磊晶/工程基板結構之簡化示意圖。 Figure 6 is a simplified schematic diagram of an epitaxial/engineered substrate structure for RF and power applications according to one embodiment of the present invention.

第7圖為圖示依據本發明之一實施例在工程基板結構上的III-V磊晶層之簡化示意圖。 FIG. 7 is a simplified schematic diagram showing a III-V epitaxial layer on an engineering substrate structure according to one embodiment of the present invention.

第8圖為圖示依據本發明之另一實施例製造工程基板的方法之簡化流程圖。 Figure 8 is a simplified flow chart illustrating a method for manufacturing an engineering substrate according to another embodiment of the present invention.

本發明之實施例係關於工程基板結構。更具體言之,本發明係關於適用於磊晶生長製程的方法和系統。僅為舉例,本發明已被應用於提供適於磊晶生長的基板結構的方法和系統,該基板結構之特徵在於大體上與其上生 長的磊晶層匹配的熱膨脹係數(CTE)。該等方法和技術可被應用於各式各樣的半導體處理操作。 Embodiments of the present invention relate to engineered substrate structures. More specifically, the present invention relates to methods and systems suitable for use in epitaxial growth processes. By way of example only, the present invention has been applied to methods and systems for providing a substrate structure suitable for epitaxial growth, the substrate structure being characterized by a coefficient of thermal expansion (CTE) that is substantially matched to the epitaxial layer grown thereon. Such methods and techniques may be applied to a wide variety of semiconductor processing operations.

第1圖為圖示依據本發明之一實施例的工程基板結構之簡化示意圖。第1圖圖示的工程基板100適用於各式各樣的電子和光學應用。工程基板包括芯110,芯110可以具有與將被生長在工程基板100上的磊晶材料之熱膨脹係數(CTE)大體上匹配的CTE。將磊晶材料130圖示為可選的,因為不需要磊晶材料130作為工程基板的元件,但磊晶材料130通常將生長在工程基板上。 FIG. 1 is a simplified schematic diagram illustrating an engineering substrate structure according to one embodiment of the present invention. The engineering substrate 100 illustrated in FIG. 1 is suitable for use in a wide variety of electronic and optical applications. The engineering substrate includes a core 110 that may have a coefficient of thermal expansion (CTE) that substantially matches the CTE of an epitaxial material to be grown on the engineering substrate 100. The epitaxial material 130 is illustrated as optional because the epitaxial material 130 is not required as a component of the engineering substrate, but the epitaxial material 130 will typically be grown on the engineering substrate.

對於包括氮化鎵(GaN)系列材料(包括GaN系列層的磊晶層)的生長的應用來說,芯110可以是多晶陶瓷材料,例如多晶氮化鋁(AlN),多晶氮化鋁(AlN)可以包括諸如氧化釔的結合材料。可以將其他材料用於芯110,包括多晶氮化鎵(GaN)、多晶氮化鎵鋁(AlGaN)、多晶碳化矽(SiC)、多晶氧化鋅(ZnO)、多晶三氧化鎵(Ga2O3)及類似物。 For applications involving the growth of gallium nitride (GaN) family materials (including epitaxial layers of GaN family layers), core 110 may be a polycrystalline ceramic material, such as polycrystalline aluminum nitride (AlN), which may include bonding materials such as yttrium oxide. Other materials may be used for core 110, including polycrystalline gallium nitride (GaN), polycrystalline gallium aluminum nitride (AlGaN), polycrystalline silicon carbide (SiC), polycrystalline zinc oxide (ZnO), polycrystalline gallium trioxide (Ga 2 O 3 ), and the like.

芯的厚度可以在100μm至1,500μm的等級,例如725μm。芯110被包封在第一黏合層112中,可將第一黏合層112稱為殼或包封殼。在一實施例中,第一黏合層112包含厚度在1,000Å數量級的正矽酸四乙酯(TEOS)層。在其他實施例中,第一黏合層的厚度例如從100Å至2,000Å變化。儘管在一些實施例中將TEOS用於黏合層,但依據本發明之一實施例,可以利用其他材料在後續沉積層與下方層或材料(例如陶瓷,特別 是多晶陶瓷)之間提供黏合。例如,SiO2或其他矽氧化物(SixOy)良好地黏附於陶瓷材料,並提供用於後續沉積(例如導電材料)的適當表面。在一些實施例中,第一黏合層112完全包圍芯110以形成完全包封的芯,並且可以使用LPCVD製程形成第一黏合層112。第一黏合層112提供一個表面,後續層黏附於該表面上以形成工程基板結構的元件。 The thickness of the core can be in the order of 100 μm to 1,500 μm, for example 725 μm. The core 110 is encapsulated in a first bonding layer 112, which can be referred to as a shell or an encapsulation shell. In one embodiment, the first bonding layer 112 includes a tetraethyl orthosilicate (TEOS) layer having a thickness on the order of 1,000 Å. In other embodiments, the thickness of the first bonding layer varies, for example, from 100 Å to 2,000 Å. Although TEOS is used for the bonding layer in some embodiments, according to one embodiment of the present invention, other materials can be used to provide bonding between subsequently deposited layers and underlying layers or materials (such as ceramics, particularly polycrystalline ceramics). For example, SiO2 or other silicon oxides (Si x O y ) adhere well to ceramic materials and provide a suitable surface for subsequent deposition (e.g., conductive materials). In some embodiments, the first bonding layer 112 completely surrounds the core 110 to form a fully encapsulated core, and the first bonding layer 112 can be formed using an LPCVD process. The first bonding layer 112 provides a surface to which subsequent layers adhere to form elements of the engineered substrate structure.

除了使用LPCVD製程、基於爐的製程等來形成包封的第一黏合層之外,依據本發明的實施例可以使用其他的半導體製程,包括CVD製程或類似的沉積製程。作為實例,可以使用塗覆芯的一部分的沉積製程、可以將芯翻轉、而且可以重複沉積製程來塗覆芯的其他部分。因此,儘管在一些實施例中使用LPCVD技術來提供完全包封的結構,但可以視特定應用使用其他的膜形成技術。 In addition to using an LPCVD process, a furnace-based process, etc. to form the encapsulated first adhesive layer, other semiconductor processes, including CVD processes or similar deposition processes, may be used in accordance with embodiments of the present invention. As an example, a deposition process may be used to coat a portion of the core, the core may be turned over, and the deposition process may be repeated to coat other portions of the core. Thus, while LPCVD technology is used in some embodiments to provide a fully encapsulated structure, other film formation techniques may be used depending on the specific application.

形成包圍第一黏合層112的導電層114。在一實施例中,導電層114是形成在第一黏合層112周圍的多晶矽(即多結晶矽)殼,因為多晶矽會對陶瓷材料表現出差的黏合。在其中導電層為多晶矽的實施例中,多晶矽層的厚度可以在500-5,000Å的數量級,例如2,500Å。在一些實施例中,可以將多晶矽層形成為完全包圍第一黏合層112(例如TEOS層)的殼,從而形成完全包封的第一黏合層,並且可以使用LPCVD製程形成。在其他實施例中,如以下所討論的,可以將導電材料形成在黏合層的一部分上,例如基板結構的下半部上。在一些實施例中, 可以將導電材料形成為完全包封層,並於隨後移除在基板結構的一側上的導電材料。 A conductive layer 114 is formed surrounding the first adhesive layer 112. In one embodiment, the conductive layer 114 is a polysilicon (i.e., multicrystalline silicon) shell formed around the first adhesive layer 112, because polysilicon can exhibit poor adhesion to ceramic materials. In embodiments where the conductive layer is polysilicon, the thickness of the polysilicon layer can be on the order of 500-5,000 Å, such as 2,500 Å. In some embodiments, the polysilicon layer can be formed as a shell that completely surrounds the first adhesive layer 112 (e.g., TEOS layer), thereby forming a completely encapsulated first adhesive layer, and can be formed using an LPCVD process. In other embodiments, as discussed below, the conductive material can be formed on a portion of the adhesive layer, such as on the lower half of the substrate structure. In some embodiments, the conductive material may be formed as a fully encapsulating layer and the conductive material on one side of the substrate structure may be subsequently removed.

在一實施例中,導電層114可以是被摻雜以提供高導電材料的多晶矽層,例如摻雜硼以提供p型多晶矽層。在一些實施例中,用硼摻雜是在1×1019cm-3至1×1020cm-3的含量以提供高導電性。可以利用不同摻雜劑濃度的其他摻雜劑(例如,摻雜劑濃度範圍從1×1016cm-3至5×1018cm-3的磷、砷、鉍等)來提供適用於導電層的n型或p型半導體材料。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 In one embodiment, the conductive layer 114 may be a polysilicon layer doped to provide a highly conductive material, such as boron doped to provide a p-type polysilicon layer. In some embodiments, the boron doping is at a content of 1×10 19 cm -3 to 1×10 20 cm -3 to provide high conductivity. Other dopants (e.g., phosphorus, arsenic, bismuth, etc. with a dopant concentration ranging from 1×10 16 cm -3 to 5×10 18 cm -3 ) may be used to provide n-type or p-type semiconductor materials suitable for the conductive layer. Those skilled in the art will recognize many variations, modifications, and substitutions.

在將工程基板靜電夾持於半導體處理工具(例如具有靜電夾盤(ESC)的工具)的過程中,導電層114的存在是有用的。在半導體處理工具中處理之後,導電層114能夠快速解除夾持。因此,本發明的實施例提供可被以傳統矽晶圓使用的方式處理的基板結構。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 The presence of the conductive layer 114 is useful during electrostatic clamping of an engineered substrate in a semiconductor processing tool, such as a tool having an electrostatic chuck (ESC). After processing in the semiconductor processing tool, the conductive layer 114 can be quickly unclamped. Thus, embodiments of the present invention provide a substrate structure that can be processed in the same manner as conventional silicon wafers. Those skilled in the art will recognize numerous variations, modifications, and substitutions.

形成包圍導電層114的第二黏合層116(例如厚度在1000Å等級的TEOS層)。在一些實施例中,第二黏合層116完全包圍導電層114以形成完全包封的結構,並且可以使用LPCVD製程、CVD製程或任何其他適當的沉積製程形成,包括旋塗介電質的沉積。 A second adhesive layer 116 (e.g., a TEOS layer having a thickness on the order of 1000Å) is formed surrounding the conductive layer 114. In some embodiments, the second adhesive layer 116 completely surrounds the conductive layer 114 to form a fully encapsulated structure, and can be formed using an LPCVD process, a CVD process, or any other suitable deposition process, including the deposition of a spin-on dielectric.

形成包圍第二黏合層116的阻障層118,例如氮化矽層。在一實施例中,阻障層118係厚度在2,000Å至5,000Å數量級的氮化矽層118。在一些實施例中,阻 障層118完全包圍第二黏合層116以形成完全包封的結構,並且可以使用LPCVD製程形成。除了氮化矽層之外,可以使用非晶形材料(包括SiCN、SiON、AlN、SiC等)作為阻障層。在一些實施方案中,阻障層118包含被建造以形成阻障層的數個子層。因此,用語阻障層無意表示單層或單一材料,而是涵括以複合方式分層的一種或更多種材料。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 A barrier layer 118, such as a silicon nitride layer, is formed to surround the second adhesion layer 116. In one embodiment, the barrier layer 118 is a silicon nitride layer 118 having a thickness on the order of 2,000 Å to 5,000 Å. In some embodiments, the barrier layer 118 completely surrounds the second adhesion layer 116 to form a completely encapsulated structure, and may be formed using an LPCVD process. In addition to the silicon nitride layer, an amorphous material (including SiCN, SiON, AlN, SiC, etc.) may be used as the barrier layer. In some embodiments, the barrier layer 118 includes a plurality of sub-layers built to form the barrier layer. Therefore, the term barrier layer is not intended to represent a single layer or a single material, but rather encompasses one or more materials layered in a composite manner. Those skilled in the art will recognize many variations, modifications, and substitutions.

在一些實施例中,阻障層118(例如氮化矽層)防止存在於芯110內的元素(例如釔氧化物(即氧化釔)、氧、金屬雜質、其他微量元素等)擴散及/或出氣進入其中可能存在工程基板的半導體處理腔室的環境中,例如在高溫(例如1,000℃)磊晶生長製程期間。利用本文所述的包封層,可以在半導體製程流程和潔淨室環境中使用陶瓷材料,包括為非潔淨室環境設計的多晶AlN。 In some embodiments, barrier layer 118 (e.g., silicon nitride layer) prevents elements present in core 110 (e.g., yttrium oxide (i.e., yttrium oxide), oxygen, metal impurities, other trace elements, etc.) from diffusing and/or outgassing into the environment of a semiconductor processing chamber where an engineered substrate may be present, such as during a high temperature (e.g., 1,000°C) epitaxial growth process. With the encapsulation layer described herein, ceramic materials, including polycrystalline AlN designed for non-cleanroom environments, may be used in semiconductor process flows and cleanroom environments.

第2A圖為圖示依據本發明之一實施例工程結構的物種濃度為深度的函數之二次離子質譜(SIMS)曲線。該工程結構不包括阻障層118。參照第2A圖,存在於陶瓷芯中的幾種物種(例如釔、鈣及鋁)在工程層120/122中降至可忽略的濃度。鈣、釔及鋁的濃度分別下降了三個、四個及六個數量級。 FIG. 2A is a secondary ion mass spectroscopy (SIMS) curve illustrating species concentration as a function of depth for an engineered structure according to one embodiment of the present invention. The engineered structure does not include barrier layer 118. Referring to FIG. 2A, several species present in the ceramic core (e.g., yttrium, calcium, and aluminum) are reduced to negligible concentrations in the engineered layers 120/122. The concentrations of calcium, yttrium, and aluminum are reduced by three, four, and six orders of magnitude, respectively.

第2B圖為圖示依據本發明之一實施例沒有阻障層的工程結構在退火之後的物種濃度為深度的函數之SIMS曲線。如以上所討論的,在半導體處理操作期間, 例如在GaN系列層的磊晶生長期間,由本發明的實施例提供的工程基板結構可暴露於高溫(~1,100℃)幾個小時。 FIG. 2B is a SIMS curve illustrating species concentration as a function of depth for an engineered structure without a barrier layer after annealing according to one embodiment of the present invention. As discussed above, during semiconductor processing operations, such as during epitaxial growth of GaN series layers, the engineered substrate structure provided by embodiments of the present invention may be exposed to high temperatures (~1,100°C) for several hours.

對於第2B圖圖示的曲線來說,工程基板結構在1,100℃下退火4小時。如第2B圖所示,原始以低濃度存在於剛沉積好未經處理的樣品中的鈣、釔及鋁已經擴散到工程層中,達到與其它元素相似的濃度。 For the curves shown in Figure 2B, the engineered substrate structure was annealed at 1,100°C for 4 hours. As shown in Figure 2B, the calcium, yttrium, and aluminum that were originally present at low concentrations in the as-deposited, untreated sample have diffused into the engineered layer to concentrations similar to those of the other elements.

第2C圖為圖示依據本發明之一實施例具有阻障層的工程結構在退火之後的物種濃度為深度的函數之SIMS曲線。將擴散阻障層118(例如氮化矽層)整合到工程基板結構中防止了當擴散阻障層不存在時會發生的鈣、釔及鋁在退火製程期間擴散到工程層中。如第2C圖所圖示,退火之後,存在於陶瓷芯中的鈣、釔及鋁在工程層中保持低濃度。因此,使用阻障層118(例如氮化矽層)可防止此等元素擴散通過擴散阻障層,從而防止此等元素釋放到工程基板周圍的環境中。類似地,塊體陶瓷材料中所含的任何其它雜質亦將被阻障層遏制。 FIG. 2C is a SIMS plot illustrating species concentration as a function of depth for an engineering structure having a barrier layer after annealing according to one embodiment of the present invention. Integrating a diffusion barrier layer 118 (e.g., a silicon nitride layer) into the engineering substrate structure prevents calcium, yttrium, and aluminum from diffusing into the engineering layer during the annealing process, which would occur if the diffusion barrier layer were not present. As illustrated in FIG. 2C, after annealing, calcium, yttrium, and aluminum present in the ceramic core remain at low concentrations in the engineering layer. Thus, the use of a barrier layer 118 (e.g., a silicon nitride layer) prevents these elements from diffusing through the diffusion barrier layer, thereby preventing these elements from being released into the environment surrounding the engineering substrate. Similarly, any other impurities contained in the bulk ceramic material will also be contained by the barrier layer.

通常,用於形成芯110的陶瓷材料係在1800℃範圍中的溫度下焙燒。可預期此製程將驅除存在於陶瓷材料中的大量雜質。此等雜質可以包括由於使用氧化釔作為燒結劑所生成的釔、鈣及其他元素和化合物。隨後,在800℃至1100℃範圍內的遠較低溫度下進行的磊晶生長製程期間,可預期此等雜質的後續擴散將是不明顯的。然而,與傳統的預期相反,本發明人確定的是,即使在遠 比陶瓷材料的焙燒溫度更低的溫度下的磊晶生長製程期間也會發生元素大量擴散通過工程基板的層。因此,本發明的實施例整合阻障層118(例如氮化矽層)來防止背景元素從多晶陶瓷材料(例如AlN)向外擴散到工程層120/122和磊晶層(例如可選的GaN層130)中。包封下方的層和材料的氮化矽層118提供期望的阻障層功能。 Typically, the ceramic material used to form the core 110 is fired at a temperature in the range of 1800°C. It is expected that this process will drive off a significant amount of impurities present in the ceramic material. Such impurities may include yttrium, calcium, and other elements and compounds resulting from the use of yttrium oxide as a sintering agent. Subsequently, during the epitaxial growth process conducted at a much lower temperature in the range of 800°C to 1100°C, it is expected that subsequent diffusion of such impurities will not be significant. However, contrary to conventional expectations, the inventors have determined that significant diffusion of elements through the layers of the engineered substrate occurs even during the epitaxial growth process at temperatures much lower than the firing temperature of the ceramic material. Therefore, embodiments of the present invention integrate a barrier layer 118 (e.g., a silicon nitride layer) to prevent background elements from diffusing outward from the polycrystalline ceramic material (e.g., AlN) into the engineering layers 120/122 and epitaxial layers (e.g., the optional GaN layer 130). The silicon nitride layer 118 encapsulates the underlying layers and materials to provide the desired barrier layer function.

如第2B圖所圖示,原始存在於芯110中的元素(包括釔)擴散進入並通過第一TEOS層112、多晶矽層114、及第二TEOS層116。然而,氮化矽層118的存在可防止此等元素擴散通過氮化矽層,從而防止此等元素釋放到工程基板周圍的環境中,如第2C圖所圖示。 As shown in FIG. 2B , elements originally present in the core 110 (including yttrium) diffuse into and through the first TEOS layer 112 , the polysilicon layer 114 , and the second TEOS layer 116 . However, the presence of the silicon nitride layer 118 prevents these elements from diffusing through the silicon nitride layer, thereby preventing these elements from being released into the environment surrounding the engineered substrate, as shown in FIG. 2C .

再次參照第1圖,在阻障層118的一部分(例如阻障層的頂部表面)上沉積結合層120(例如氧化矽層),並且隨後在實質單晶矽層122的結合過程中使用結合層120。在一些實施例中,結合層120的厚度可以為約1.5μm。 Referring again to FIG. 1 , a bonding layer 120 (e.g., a silicon oxide layer) is deposited on a portion of the barrier layer 118 (e.g., the top surface of the barrier layer), and the bonding layer 120 is then used in the bonding process of the substantially single crystal silicon layer 122. In some embodiments, the thickness of the bonding layer 120 may be about 1.5 μm.

實質單晶層122適用於在磊晶生長製程期間用作生長層,用於形成磊晶材料130。在一些實施例中,磊晶材料130包括厚度2μm至10μm的GaN層,GaN層可被用作光電子元件、RF元件、功率元件等使用的複數個層中的一個層。在一實施例中,實質單晶層122包括使用層轉移製程附接到氧化矽層118的實質單晶矽層。 The substantially single crystal layer 122 is suitable for use as a growth layer during an epitaxial growth process to form an epitaxial material 130. In some embodiments, the epitaxial material 130 includes a GaN layer having a thickness of 2 μm to 10 μm, which can be used as one of a plurality of layers used in optoelectronic components, RF components, power components, etc. In one embodiment, the substantially single crystal layer 122 includes a substantially single crystal silicon layer attached to the silicon oxide layer 118 using a layer transfer process.

第3圖為圖示依據本發明之一實施例的工程基板結構之簡化示意圖。第3圖圖示的工程基板300適用 於各式各樣的電子和光學應用。工程基板包括芯110,芯110可以具有與將被生長在工程基板300上的磊晶材料130之熱膨脹係數(CTE)大體上匹配的CTE。將磊晶材料130圖示為可選的,因為不需要磊晶材料130作為工程基板的元件,但磊晶材料130通常將被生長在工程基板上。 FIG. 3 is a simplified schematic diagram illustrating an engineering substrate structure according to one embodiment of the present invention. The engineering substrate 300 illustrated in FIG. 3 is suitable for use in a wide variety of electronic and optical applications. The engineering substrate includes a core 110 that can have a coefficient of thermal expansion (CTE) that substantially matches the CTE of an epitaxial material 130 to be grown on the engineering substrate 300. The epitaxial material 130 is illustrated as optional because the epitaxial material 130 is not required as a component of the engineering substrate, but the epitaxial material 130 will typically be grown on the engineering substrate.

對於包括氮化鎵(GaN)系列材料(包括GaN系列層的磊晶層)的生長的應用來說,芯110可以是多晶陶瓷材料,例如多晶氮化鋁(AlN)。芯的厚度可以在100μm至1,500μm的等級,例如725μm。芯110被包封在第一黏合層112中,可將第一黏合層112稱為殼或包封殼。在此實施方案中,第一黏合層112將芯完全包封,但此舉並非本發明要求的,如關於第4圖另外詳細討論的。 For applications including the growth of gallium nitride (GaN) family materials (including epitaxial layers of GaN family layers), core 110 can be a polycrystalline ceramic material, such as polycrystalline aluminum nitride (AlN). The thickness of the core can be on the order of 100 μm to 1,500 μm, such as 725 μm. Core 110 is encapsulated in a first adhesive layer 112, which can be referred to as a shell or encapsulation shell. In this embodiment, first adhesive layer 112 completely encapsulates the core, but this is not required by the present invention, as discussed in further detail with respect to FIG. 4.

在一實施例中,第一黏合層112包含厚度在1,000Å數量級的正矽酸四乙酯(TEOS)層。在其他實施例中,第一黏合層的厚度例如從100Å至2,000Å變化。儘管在一些實施例中將TEOS用於黏合層,但依據本發明之一實施例,可以利用其他材料在後續沉積層與下方層或材料之間提供黏合。例如,SiO2、SiON及類似物良好地黏附於陶瓷材料,並提供用於後續沉積(例如導電材料)的適當表面。在一些實施例中,第一黏合層112完全包圍芯110以形成完全包封的芯,並且可以使用 LPCVD製程形成第一黏合層112。黏合層提供一個表面,後續層黏附於該表面上以形成工程基板結構的元件。 In one embodiment, the first adhesion layer 112 comprises a layer of tetraethylorthosilicate (TEOS) having a thickness on the order of 1,000 Å. In other embodiments, the thickness of the first adhesion layer varies, for example, from 100 Å to 2,000 Å. Although TEOS is used for the adhesion layer in some embodiments, other materials may be utilized to provide adhesion between subsequently deposited layers and underlying layers or materials in accordance with one embodiment of the present invention. For example, SiO2 , SiON, and the like adhere well to ceramic materials and provide a suitable surface for subsequent deposition (e.g., conductive materials). In some embodiments, the first adhesion layer 112 completely surrounds the core 110 to form a fully encapsulated core, and the first adhesion layer 112 may be formed using an LPCVD process. The adhesive layer provides a surface to which subsequent layers are adhered to form components of the engineered substrate structure.

除了使用LPCVD製程、基於爐的製程等來形成包封的黏合層之外,依據本發明的實施例可以使用其他的半導體製程。作為實例,可以使用塗覆芯的一部分的沉積製程(例如CVD、PECVD、或類似製程)、可以將芯翻轉、而且可以重複沉積製程來塗覆芯的其他部分。 In addition to using an LPCVD process, a furnace-based process, etc. to form an encapsulated adhesive layer, other semiconductor processes may be used in accordance with embodiments of the present invention. As an example, a deposition process (e.g., CVD, PECVD, or similar process) may be used to coat a portion of the core, the core may be flipped, and the deposition process may be repeated to coat other portions of the core.

在第一黏合層112的至少一部分上形成導電層314。在一實施例中,導電層314包括藉由沉積製程形成在芯/黏合層結構之下部(例如下半部或背側)上的多晶矽(即多結晶矽)。在其中導電層為多晶矽的實施例中,多晶矽層的厚度可以在幾千埃的數量級,例如3,000Å。在一些實施例中,可以使用LPCVD製程形成多晶矽層。 A conductive layer 314 is formed on at least a portion of the first adhesive layer 112. In one embodiment, the conductive layer 314 includes polysilicon (i.e., polycrystalline silicon) formed on the lower portion (e.g., lower half or back side) of the core/adhesion layer structure by a deposition process. In embodiments where the conductive layer is polysilicon, the thickness of the polysilicon layer can be on the order of several kiloangstroms, such as 3,000Å. In some embodiments, the polysilicon layer can be formed using an LPCVD process.

在一實施例中,導電層314可以是被摻雜以提供高導電材料的多晶矽層,例如導電層314可被摻雜硼以提供p型多晶矽層。在一些實施例中,用硼摻雜是在範圍從約1×1019cm-3至1×1020cm-3的含量以提供高導電性。在將工程基板靜電夾持於半導體處理工具(例如具有靜電夾盤(ESC)的工具)的過程中,導電層的存在是有用的。在處理之後導電層314能夠快速解除夾持。因此,本發明的實施例提供可被以傳統矽晶圓使用的方式處理的基板結構。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 In one embodiment, the conductive layer 314 can be a polycrystalline silicon layer doped to provide a highly conductive material, for example, the conductive layer 314 can be doped with boron to provide a p-type polycrystalline silicon layer. In some embodiments, the doping with boron is in a range from about 1×10 19 cm -3 to 1×10 20 cm -3 to provide high conductivity. The presence of the conductive layer is useful during electrostatic chucking of the engineered substrate in a semiconductor processing tool, such as a tool with an electrostatic chuck (ESC). The conductive layer 314 can be quickly unclamped after processing. Therefore, embodiments of the present invention provide a substrate structure that can be processed in the same manner as conventional silicon wafers. Those skilled in the art will recognize many variations, modifications and substitutions.

形成包圍導電層314(例如多晶矽層)的第二黏合層316(例如第二TEOS層)。第二黏合層316的厚度在1,000Å的數量級。在一些實施例中,第二黏合層316可以完全包圍導電層314以及第一黏合層112以形成完全包封的結構,並且可以使用LPCVD製程形成。在其他實施例中,第二黏合層316僅部分包圍導電層314,例如在平面317圖示的位置終止,平面317可對齊導電層314的頂部表面。在此實例中,導電層314的頂部表面將與阻障層118的一部分接觸。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 A second adhesive layer 316 (e.g., a second TEOS layer) is formed surrounding the conductive layer 314 (e.g., a polysilicon layer). The thickness of the second adhesive layer 316 is on the order of 1,000 Å. In some embodiments, the second adhesive layer 316 may completely surround the conductive layer 314 and the first adhesive layer 112 to form a fully encapsulated structure, and may be formed using an LPCVD process. In other embodiments, the second adhesive layer 316 only partially surrounds the conductive layer 314, such as terminating at a position illustrated by a plane 317, which may be aligned with the top surface of the conductive layer 314. In this example, the top surface of the conductive layer 314 will contact a portion of the barrier layer 118. Those skilled in the art will recognize many variations, modifications, and substitutions.

形成包圍第二黏合層316的阻障層118(例如氮化矽層)。在一些實施例中,阻障層118的厚度在4,000Å至5,000Å的數量級。在一些實施例中,阻障層118完全包圍第二黏合層316以形成完全包封的結構,並且可以使用LPCVD製程形成。 A barrier layer 118 (e.g., a silicon nitride layer) is formed surrounding the second adhesive layer 316. In some embodiments, the barrier layer 118 has a thickness on the order of 4,000Å to 5,000Å. In some embodiments, the barrier layer 118 completely surrounds the second adhesive layer 316 to form a fully encapsulated structure, and can be formed using an LPCVD process.

在一些實施例中,使用氮化矽阻障層防止存在於芯110內的元素(例如釔氧化物(即氧化釔)、氧、金屬雜質、其他微量元素等)擴散及/或出氣進入其中可能存在工程基板的半導體處理腔室的環境中,例如在高溫(例如1,000℃)磊晶生長製程期間。利用本文所述的包封層,可以在半導體製程流程和潔淨室環境中使用陶瓷材料,包括為非潔淨室環境設計的多晶AlN。 In some embodiments, a silicon nitride barrier layer is used to prevent elements present in the core 110 (e.g., yttrium oxide (i.e., yttrium oxide), oxygen, metal impurities, other trace elements, etc.) from diffusing and/or outgassing into the environment of a semiconductor processing chamber where an engineered substrate may be present, such as during a high temperature (e.g., 1,000°C) epitaxial growth process. With the encapsulation layer described herein, ceramic materials, including polycrystalline AlN designed for non-cleanroom environments, can be used in semiconductor process flows and cleanroom environments.

第4圖為圖示依據本發明之另一實施例的工程基板結構之簡化示意圖。在第4圖圖示的實施例中,第 一黏合層412被形成在芯110的至少一部分上、但不包封芯110。在此實施方案中,第一黏合層412被形成在芯110的下表面(芯110的背側)上以增強隨後形成的導電層414的黏合,如以下更充分描述的。儘管第4圖僅將黏合層412圖示在芯110的下表面上,但將理解的是,將黏合層材料沉積在芯的其它部分上將不會不利地影響工程基板結構的效能,而且此類材料可以存在於各種實施例中。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 FIG. 4 is a simplified schematic diagram illustrating an engineering substrate structure according to another embodiment of the present invention. In the embodiment illustrated in FIG. 4, a first adhesive layer 412 is formed on at least a portion of the core 110, but does not encapsulate the core 110. In this embodiment, the first adhesive layer 412 is formed on the lower surface of the core 110 (the back side of the core 110) to enhance the adhesion of the subsequently formed conductive layer 414, as described more fully below. Although FIG. 4 only illustrates the adhesive layer 412 on the lower surface of the core 110, it will be understood that depositing the adhesive layer material on other portions of the core will not adversely affect the performance of the engineering substrate structure, and such material may be present in various embodiments. Those skilled in the art will recognize many variations, modifications and substitutions.

導電層414不包封第一黏合層412和芯110,而是大體上與第一黏合層412對齊。儘管導電層414被圖示為沿著第一黏合層412的底部或背側延伸並沿著第一黏合層412的側面的一部分向上延伸,但沿著垂直側面延伸並非本發明要求的。因此,實施例可以利用基板結構一側上的沉積、基板結構一側的掩蔽等。導電層414可以被形成在第一黏合層412的一側(例如底部/背側)的一部分上。導電層414在工程基板結構的一側上提供電傳導,此舉在RF和高功率的應用中會是有利的。導電層可以包括關於第1圖中的導電層114討論的摻雜多晶矽。 The conductive layer 414 does not encapsulate the first adhesive layer 412 and the core 110, but is generally aligned with the first adhesive layer 412. Although the conductive layer 414 is illustrated as extending along the bottom or back side of the first adhesive layer 412 and extending upward along a portion of the side of the first adhesive layer 412, it is not required by the present invention to extend along the vertical side. Therefore, embodiments can utilize deposition on one side of the substrate structure, masking of one side of the substrate structure, etc. The conductive layer 414 can be formed on a portion of one side (e.g., the bottom/back side) of the first adhesive layer 412. The conductive layer 414 provides electrical conduction on one side of the engineered substrate structure, which can be advantageous in RF and high power applications. The conductive layer may include doped polysilicon as discussed with respect to conductive layer 114 in FIG. 1 .

芯110的一部分、第一黏合層412的多個部分、及導電層414被第二黏合層416覆蓋,以便增強阻障層418與下方材料的黏合。如以上所討論的,阻障層418形成包封結構以防止來自下方層的擴散。 A portion of the core 110, portions of the first adhesive layer 412, and the conductive layer 414 are covered by a second adhesive layer 416 to enhance the adhesion of the barrier layer 418 to the underlying material. As discussed above, the barrier layer 418 forms an encapsulation structure to prevent diffusion from the underlying layers.

除了半導體系列導電層之外,在其他實施例中,導電層414為金屬層,例如500Å的鈦或類似物。 In addition to the semiconductor series conductive layer, in other embodiments, the conductive layer 414 is a metal layer, such as 500Å titanium or the like.

再次參照第4圖,視實施方案而定,可以移除一個或更多個層。例如,可以移除層412和414,僅留下單黏合殼416和阻障層418。在另一個實施例中,可以僅移除層414。在此實施例中,層412還可以平衡由沉積在層418的頂部上的層120引起的應力和晶圓彎曲。在芯110的頂側上具有絕緣層的基板結構(例如在芯110與層120之間僅有絕緣層)的建造將為功率/RF應用(其中需要高度絕緣的基板)提供益處。 Referring again to FIG. 4, one or more layers may be removed, depending on the implementation. For example, layers 412 and 414 may be removed, leaving only a single bond shell 416 and barrier layer 418. In another embodiment, only layer 414 may be removed. In this embodiment, layer 412 may also balance stress and wafer bow caused by layer 120 deposited on top of layer 418. Construction of a substrate structure with an insulating layer on the top side of core 110 (e.g., only an insulating layer between core 110 and layer 120) would provide benefits for power/RF applications where a highly insulating substrate is required.

在另一個實施例中,阻障層418可以直接包封芯110,隨後是導電層414和隨後的黏合層416。在此實施例中,層120可以從頂側直接沉積到黏合層416上。在又另一個實施例中,黏合層416可以沉積在芯110上,隨後是阻障層418,然後是導電層414和另一個黏合層412。 In another embodiment, barrier layer 418 may directly encapsulate core 110, followed by conductive layer 414 and then adhesive layer 416. In this embodiment, layer 120 may be deposited directly onto adhesive layer 416 from the top side. In yet another embodiment, adhesive layer 416 may be deposited onto core 110, followed by barrier layer 418, then conductive layer 414 and another adhesive layer 412.

儘管已經關於層討論了一些實施例,但用語層應被理解為使得層可以包括被建造以形成感興趣層的若干子層。因此,用語層無意表示由單一材料所組成的單層,而是涵括以複合方式分層以形成所需結構的一種或更多種材料。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 Although some embodiments have been discussed with respect to layers, the term layer should be understood such that a layer may include several sub-layers constructed to form the layer of interest. Thus, the term layer is not intended to mean a single layer composed of a single material, but rather encompasses one or more materials layered in a composite manner to form a desired structure. Those skilled in the art will recognize many variations, modifications, and substitutions.

第5圖為圖示依據本發明之一實施例製造工程基板的方法之簡化流程圖。該方法可用於製造與在基板 上生長的一個或更多個磊晶層CTE匹配的基板。方法500包括藉由提供多晶陶瓷芯(510)、將該多晶陶瓷芯包封在第一黏合層中而形成殼(例如正矽酸四乙酯(TEOS)殼)(512)、以及將該第一黏合層包封在導電殼(例如多晶矽殼)中(514)而形成支撐結構。可將該第一黏合層形成為TEOS的單層。可將該導電殼形成為多晶矽的單層。 FIG. 5 is a simplified flow chart illustrating a method of manufacturing an engineered substrate according to an embodiment of the present invention. The method can be used to manufacture a substrate that is CTE matched to one or more epitaxial layers grown on the substrate. Method 500 includes forming a support structure by providing a polycrystalline ceramic core (510), encapsulating the polycrystalline ceramic core in a first adhesive layer to form a shell (e.g., a tetraethyl orthosilicate (TEOS) shell) (512), and encapsulating the first adhesive layer in a conductive shell (e.g., a polycrystalline silicon shell) (514). The first adhesive layer can be formed as a single layer of TEOS. The conductive shell can be formed as a single layer of polycrystalline silicon.

該方法還包括將該導電殼包封在第二黏合層(例如第二TEOS殼)中(516),並將第二黏合層包封在阻障層殼中(518)。可以將第二黏合層形成為TEOS的單層。可以將阻障層殼形成為氮化矽的單層。 The method also includes encapsulating the conductive shell in a second adhesive layer (e.g., a second TEOS shell) (516), and encapsulating the second adhesive layer in a barrier layer shell (518). The second adhesive layer can be formed as a single layer of TEOS. The barrier layer shell can be formed as a single layer of silicon nitride.

一旦藉由製程510-518形成了支撐結構,該方法還包括將結合層(例如氧化矽層)結合於支撐結構(520),並將實質單晶層(例如實質單晶矽層)結合於氧化矽層(522)。依據本發明的實施例,可以使用其他的實質單晶層,包括SiC、藍寶石、GaN、AlN、SiGe、Ge、金剛石、Ga2O3、ZnO等。結合層的結合可以包括沉積結合材料,隨後執行如本文所述之平坦化製程。在如下所述的實施例中,將實質單晶層(例如實質單晶矽層)連接於結合層係使用層轉移製程,其中該實質單晶層係自矽晶圓轉移的單晶矽層。 Once the support structure is formed by processes 510-518, the method further includes bonding a bonding layer (e.g., a silicon oxide layer) to the support structure (520) and bonding a substantially single crystalline layer (e.g., a substantially single crystalline silicon layer) to the silicon oxide layer ( 522 ). Other substantially single crystalline layers may be used according to embodiments of the present invention, including SiC, sapphire, GaN, AlN, SiGe, Ge, diamond, Ga2O3 , ZnO, etc. Bonding of the bonding layer may include depositing a bonding material followed by a planarization process as described herein. In the embodiments described below, a substantially single crystalline layer (eg, a substantially single crystalline silicon layer) is connected to a bonding layer using a layer transfer process, wherein the substantially single crystalline layer is a single crystalline silicon layer transferred from a silicon wafer.

參照第1圖,結合層120可以藉由沉積厚的(例如4μm厚)氧化物層隨後進行化學機械拋光(CMP)製程以將氧化物薄化至厚度約1.5μm來形成。厚的初始氧 化物用以填充存在於支撐結構上的空隙和表面特徵,該等空隙和表面特徵可能在製造多晶芯之後存在,並在形成第1圖圖示的包封層時繼續存在。CMP製程提供沒有空隙、顆粒或其他特徵的大體平坦表面,隨後可以在晶圓轉移製程期間使用該平坦表面來將實質單晶層122(例如實質單晶矽層)結合於結合層120。將理解的是,結合層120之特徵不必在於原子級平坦的表面,而是應提供將以期望的可靠度支撐結合實質單晶層(例如實質單晶矽層)的大體平坦表面。 1, the bonding layer 120 may be formed by depositing a thick (e.g., 4 μm thick) oxide layer followed by a chemical mechanical polishing (CMP) process to thin the oxide to a thickness of about 1.5 μm. The thick initial oxide is used to fill voids and surface features present on the support structure that may exist after the polycrystalline core is fabricated and continue to exist when the encapsulation layer illustrated in FIG. 1 is formed. The CMP process provides a generally flat surface without voids, particles, or other features that may then be used to bond a substantially single crystal layer 122 (e.g., a substantially single crystal silicon layer) to the bonding layer 120 during a wafer transfer process. It will be appreciated that the bonding layer 120 need not be characterized by an atomically flat surface, but rather should provide a generally flat surface that will support bonding to a substantially single crystal layer (e.g., a substantially single crystal silicon layer) with the desired reliability.

可以使用層轉移製程來將實質單晶矽層122結合於結合層120。在一些實施例中,矽晶圓(例如矽(111)晶圓)被佈植而形成分裂面。在晶圓結合之後,矽基板可與分裂面下方的單晶矽層部分一起被移出,從而產生第1圖圖示的剝離單晶矽層122。可以改變實質單晶層122的厚度來滿足各種應用的規格。此外,可以改變實質單晶層122的晶體方向來滿足應用的規格。另外,可以改變實質單晶層122中的摻雜水平和分佈來滿足特定應用的規格。 A layer transfer process may be used to bond the substantially single crystal silicon layer 122 to the bonding layer 120. In some embodiments, a silicon wafer (e.g., a silicon (111) wafer) is implanted to form a cleavage plane. After the wafers are bonded, the silicon substrate may be removed along with the portion of the single crystal silicon layer below the cleavage plane, thereby producing the peeled single crystal silicon layer 122 illustrated in FIG. 1. The thickness of the substantially single crystal layer 122 may be varied to meet the specifications of various applications. In addition, the crystal orientation of the substantially single crystal layer 122 may be varied to meet the specifications of the application. In addition, the doping level and distribution in the substantially single crystal layer 122 may be varied to meet the specifications of a particular application.

第5圖圖示的方法還可以包括光滑化實質單晶層(524)。在一些實施例中,可以修改實質單晶層122的厚度和表面粗糙度獲得高品質的磊晶生長。關於實質單晶層122的厚度和表面光滑度,不同的元件應用可以具有略微不同的規格。分裂製程使實質單晶層122在佈植離子分佈的峰值處從塊體單晶矽晶圓分層。在分裂之後,實質 單晶層122可以在幾個方面進行調整或修改,然後用作其他材料(例如氮化鎵)的磊晶生長的生長表面。 The method illustrated in FIG. 5 may also include smoothing the substantial single crystal layer (524). In some embodiments, the thickness and surface roughness of the substantial single crystal layer 122 may be modified to obtain high quality epitaxial growth. Different device applications may have slightly different specifications regarding the thickness and surface smoothness of the substantial single crystal layer 122. The splitting process causes the substantial single crystal layer 122 to be layered from the bulk single crystal silicon wafer at the peak of the implanted ion distribution. After splitting, the substantial single crystal layer 122 may be adjusted or modified in several aspects and then used as a growth surface for epitaxial growth of other materials, such as gallium nitride.

第一,轉移的實質單晶層122可能含有少量的殘餘氫濃度,並且可能具有來自佈植物的一些晶體損傷。因此,移除轉移的實質單晶層122中晶格受損的薄部分可能是有益的。在一些實施例中,可以將佈植物的深度調整為大於實質單晶層122的期望最終厚度。額外的厚度允許移除轉移的實質單晶層被損壞的薄的部分,從而留下所需最終厚度的未損傷部分。 First, the transferred substantial single crystal layer 122 may contain a small amount of residual hydrogen concentration and may have some crystalline damage from the planting. Therefore, it may be beneficial to remove the thin portion of the transferred substantial single crystal layer 122 where the lattice is damaged. In some embodiments, the depth of the planting can be adjusted to be greater than the desired final thickness of the substantial single crystal layer 122. The additional thickness allows the damaged thin portion of the transferred substantial single crystal layer to be removed, leaving an undamaged portion of the desired final thickness.

第二,可能需要調整實質單晶層122的總厚度。一般來說,可能希望使實質單晶層122足夠厚以提供高品質的晶格模板用於隨後生長一個或更多個磊晶層、但又足夠薄以具有高度順應性。當實質單晶層122相對較薄時,可以將實質單晶層122稱為「順應的」,使得實質單晶層122的物理性質較不受限並能夠模擬周圍材料的物理性質,且產生結晶缺陷的傾向較低。實質單晶層122的順應性可與實質單晶層122的厚度成反比。較高的順應性可在模板上生長的磊晶層中產生較低的缺陷密度,並能夠生長較厚的磊晶層。在一些實施例中,可以藉由在剝離的矽層上磊晶生長矽來增加實質單晶層122的厚度。 Second, it may be desirable to adjust the overall thickness of the substantially single crystal layer 122. Generally, it may be desirable to make the substantially single crystal layer 122 thick enough to provide a high quality lattice template for subsequently growing one or more epitaxial layers, but thin enough to be highly compliant. The substantially single crystal layer 122 may be referred to as "compliant" when it is relatively thin, such that the physical properties of the substantially single crystal layer 122 are less restricted and can mimic the physical properties of the surrounding material, and have a lower tendency to produce crystallographic defects. The compliance of the substantially single crystal layer 122 may be inversely proportional to the thickness of the substantially single crystal layer 122. Higher compliance can produce lower defect density in the epitaxial layer grown on the template and enable the growth of thicker epitaxial layers. In some embodiments, the thickness of the substantial single crystal layer 122 can be increased by epitaxially growing silicon on a peeled silicon layer.

第三,提高實質單晶層122的光滑度可能是有益的。層的光滑度可能與總氫劑量、任何共佈植物種的存在、以及用以形成氫基分裂面的退火條件相關。從層轉移 (即分裂步驟)產生的初始粗糙度可以藉由熱氧化和氧化物剝除來減小,如以下所討論的。 Third, it may be beneficial to improve the smoothness of the substantially single crystal layer 122. The smoothness of the layer may be related to the total hydrogen dosage, the presence of any co-existing species, and the annealing conditions used to form the hydrogen-based cleavage plane. The initial roughness resulting from the layer transfer (i.e., cleavage step) may be reduced by thermal oxidation and oxide stripping, as discussed below.

在一些實施例中,移除損傷層並調整實質單晶層122的最終厚度可以透過熱氧化剝離矽層的頂部部分、隨後使用氟化氫(HF)酸進行氧化物層剝除來實現。例如,可以將初始厚度為0.5μm的剝離矽層熱氧化以產生約420nm厚的二氧化矽層。移除生長的熱氧化物之後,轉移層中剩餘的矽厚度可以為約53nm。在熱氧化期間,佈植的氫可能往表面遷移。因此,隨後的氧化物層剝除可以移除一些損傷。並且,熱氧化通常在1000℃或更高的溫度下進行。升高的溫度也可以修復晶格損傷。 In some embodiments, removing the damaged layer and adjusting the final thickness of the substantial single crystal layer 122 can be achieved by thermally oxidizing the top portion of the silicon layer, followed by oxide layer stripping using hydrogen fluoride (HF) acid. For example, a stripped silicon layer having an initial thickness of 0.5 μm can be thermally oxidized to produce a silicon dioxide layer having a thickness of about 420 nm. After removing the grown thermal oxide, the remaining silicon thickness in the transfer layer can be about 53 nm. During thermal oxidation, the implanted hydrogen may migrate to the surface. Therefore, the subsequent oxide layer stripping can remove some of the damage. Furthermore, thermal oxidation is typically performed at a temperature of 1000° C. or higher. Increased temperatures can also repair lattice damage.

在熱氧化期間形成在實質單晶層的頂部部分上的氧化矽層可以使用HF酸蝕刻剝除。可以藉由調整HF溶液的溫度和濃度以及氧化矽的化學計量和密度來調整HF酸對氧化矽和矽(SiO2:Si)的蝕刻選擇率。蝕刻選擇率是指一種材料相對於另一種材料的蝕刻速率。HF溶液對於(SiO2:Si)的選擇率可以在約10:1至約100:1的範圍內。高的蝕刻選擇率可以藉由與初始表面粗糙度相似的因子來降低表面粗糙度。然而,所得實質單晶層122的表面粗糙度仍可能大於所需的。例如,在附加處理之前藉由2μm×2μm原子力顯微鏡(AFM)掃描測定,塊體Si(111)表面可能具有小於0.1nm的均方根(RMS)表面粗糙度。在一些實施例中,在Si(111)上磊晶生長氮化鎵材料所需的表面粗糙度可以例如為在 30μm×30μm的AFM掃描區域上小於1nm、小於0.5nm、或小於0.2nm。 The silicon oxide layer formed on the top portion of the substantially single crystal layer during thermal oxidation can be stripped using HF acid etching. The etching selectivity of the HF acid to silicon oxide and silicon ( SiO2 :Si) can be adjusted by adjusting the temperature and concentration of the HF solution and the stoichiometry and density of the silicon oxide. Etching selectivity refers to the etching rate of one material relative to another material. The selectivity of the HF solution for ( SiO2 :Si) can be in the range of about 10:1 to about 100:1. A high etching selectivity can reduce the surface roughness by a factor similar to the initial surface roughness. However, the surface roughness of the resulting substantially single crystal layer 122 may still be greater than desired. For example, a bulk Si(111) surface may have a root mean square (RMS) surface roughness of less than 0.1 nm as measured by a 2 μm×2 μm atomic force microscope (AFM) scan before additional processing. In some embodiments, the surface roughness required for epitaxial growth of gallium nitride material on Si(111) may be, for example, less than 1 nm, less than 0.5 nm, or less than 0.2 nm over a 30 μm×30 μm AFM scan area.

假使實質單晶層122在熱氧化和氧化物層剝除之後的表面粗糙度超過所需的表面粗糙度,則可以進行另外的表面光滑化。有幾種將矽表面光滑化的方法。此等方法可以包括氫退火、雷射修整、電漿光滑化、及接觸拋光(例如化學機械拋光或CMP)。此等方法可能涉及優先侵蝕高深寬比的表面峰。因此,表面上的高深寬比特徵可以比低深寬比特徵更快被移除,從而產生更光滑的表面。 If the surface roughness of the substantial single crystal layer 122 after thermal oxidation and oxide layer stripping exceeds the desired surface roughness, additional surface smoothing may be performed. There are several methods for smoothing a silicon surface. Such methods may include hydrogen annealing, laser trimming, plasma smoothing, and contact polishing (e.g., chemical mechanical polishing or CMP). Such methods may involve preferentially etching high aspect ratio surface peaks. Thus, high aspect ratio features on the surface may be removed faster than low aspect ratio features, resulting in a smoother surface.

應當理解的是,第5圖圖示的具體步驟提供了依據本發明之一實施例製造工程基板的特定方法。還可以依據替代實施例來執行其他的步驟順序。例如,本發明的替代實施例可以以不同的順序執行上述步驟。此外,第5圖圖示的各個步驟可以包括可以單個步驟適合的各種順序執行的多個子步驟。此外,可以視具體應用來添加或移除附加步驟。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 It should be understood that the specific steps illustrated in FIG. 5 provide a specific method for manufacturing an engineered substrate according to one embodiment of the present invention. Other step sequences may also be performed according to alternative embodiments. For example, alternative embodiments of the present invention may perform the above steps in different sequences. In addition, each step illustrated in FIG. 5 may include multiple sub-steps that may be performed in various sequences suitable for a single step. In addition, additional steps may be added or removed depending on the specific application. A person of ordinary skill in the art will recognize many variations, modifications, and substitutions.

第6圖為圖示依據本發明之一實施例用於RF及功率應用的磊晶/工程基板結構之簡化示意圖。在一些LED應用中,工程基板結構提供能夠生長高品質GaN層的生長基板,而且隨後移出工程基板結構。然而,對於RF和功率元件的應用來說,工程基板結構形成完成元件 的某些部分,結果,工程基板結構或工程基板結構的元件的電、熱及其它特性對於特定應用是重要的。 FIG. 6 is a simplified schematic diagram of an epitaxial/engineered substrate structure for RF and power applications according to one embodiment of the present invention. In some LED applications, the engineered substrate structure provides a growth substrate capable of growing a high quality GaN layer and then removing the engineered substrate structure. However, for RF and power device applications, the engineered substrate structure forms some portion of the finished device, and as a result, the electrical, thermal and other properties of the engineered substrate structure or components of the engineered substrate structure are important for specific applications.

參照第1圖,單晶矽層122通常是利用佈植和剝離技術從矽施體晶圓分離的剝離層。典型的佈植物是氫和硼。對於功率和RF元件的應用來說,工程基板結構中的層和材料的電性質是重要的。例如,一些元件架構使用電阻大於103Ohm-cm的高絕緣矽層來減少或消除透過基板和界面層的洩漏。其他的應用利用包括具有預定厚度(例如1μm)的導電矽層的設計,以將元件的源極連接到其它元件。因此,在這些應用中,希望可控制單晶矽層的尺寸和效能。在其中於層轉移期間使用佈植和剝離技術的設計中,殘餘佈植原子(例如氫或硼)存在於矽層中,從而改變電特性。另外,利用例如佈植劑量的調整來控制薄矽層的厚度、導電性及其它特性會是困難的,佈植劑量的調整會影響導電性以及佈植物分佈的半高寬(FWHM)、表面粗糙度、及分裂面位置精度、以及可能影響層厚度的佈植深度。 Referring to FIG. 1 , the single crystal silicon layer 122 is typically a peeling layer separated from a silicon donor wafer using implant and stripping techniques. Typical implants are hydrogen and boron. For power and RF component applications, the electrical properties of the layers and materials in the engineered substrate structure are important. For example, some component architectures use highly insulating silicon layers with a resistance greater than 10 3 Ohm-cm to reduce or eliminate leakage through the substrate and interface layers. Other applications utilize designs that include a conductive silicon layer with a predetermined thickness (e.g., 1 μm) to connect the source of the component to other components. Therefore, in these applications, it is desirable to control the size and performance of the single crystal silicon layer. In designs where implant and strip techniques are used during layer transfer, residual implant atoms (e.g., hydrogen or boron) remain in the silicon layer, changing the electrical properties. Additionally, it can be difficult to control the thickness, conductivity, and other properties of thin silicon layers using, for example, adjustments in implant dosage, which affect conductivity as well as the full width at half height (FWHM) of the implant distribution, surface roughness, and cleavage plane location accuracy, as well as implant depth, which can affect layer thickness.

依據本發明的實施例,利用在工程基板結構上的矽磊晶來實現單晶矽層適於特定元件設計的期望特性。 According to an embodiment of the present invention, silicon epitaxy on an engineered substrate structure is used to achieve desired properties of a single-crystal silicon layer suitable for a specific device design.

參照第6圖,磊晶/工程基板結構600包括工程基板結構610和形成在工程基板結構610上的矽磊晶層620。工程基板結構610可以類似於第1圖、第3圖、及第4圖圖示的工程基板結構。通常,在層轉移之後,實質單晶矽層122在0.5μm的等級。在一些製程中,可以使用 表面調理製程來將單晶矽層122的厚度減小到約0.3μm。為了將單晶矽層的厚度增加到約1μm以用於製造例如可靠的歐姆接觸,使用磊晶製程在由層轉移製程形成的實質單晶矽層122上生長磊晶單晶矽層620。可以使用各種磊晶生長製程來生長磊晶單晶矽層620,包括CVD、ALD、MBE等。磊晶單晶矽層620的厚度可以在約0.1μm至約20μm的範圍內,例如介於0.1μm和10μm之間。 Referring to FIG. 6 , the epitaxial/engineered substrate structure 600 includes an engineered substrate structure 610 and a silicon epitaxial layer 620 formed on the engineered substrate structure 610. The engineered substrate structure 610 may be similar to the engineered substrate structures illustrated in FIGS. 1 , 3 , and 4 . Typically, after the layer transfer, the substantial single crystal silicon layer 122 is on the order of 0.5 μm. In some processes, a surface conditioning process may be used to reduce the thickness of the single crystal silicon layer 122 to about 0.3 μm. In order to increase the thickness of the single crystal silicon layer to about 1 μm for making, for example, a reliable ohmic contact, an epitaxial process is used to grow the epitaxial single crystal silicon layer 620 on the substantial single crystal silicon layer 122 formed by the layer transfer process. Various epitaxial growth processes may be used to grow the epitaxial single crystal silicon layer 620, including CVD, ALD, MBE, etc. The thickness of the epitaxial single crystal silicon layer 620 may be in the range of about 0.1 μm to about 20 μm, for example, between 0.1 μm and 10 μm.

第7圖為圖示依據本發明之一實施例在工程基板結構上的III-V磊晶層之簡化示意圖。如下所述,可以將第7圖圖示的結構稱為雙磊晶結構。如第7圖所圖示,包括磊晶單晶矽層620的工程基板結構710具有形成在其上的III-V磊晶層720。在一實施例中,III-V磊晶層包含氮化鎵(GaN)。 FIG. 7 is a simplified schematic diagram illustrating a III-V epitaxial layer on an engineered substrate structure according to one embodiment of the present invention. As described below, the structure illustrated in FIG. 7 may be referred to as a dual epitaxial structure. As illustrated in FIG. 7, an engineered substrate structure 710 including an epitaxial single crystal silicon layer 620 has a III-V epitaxial layer 720 formed thereon. In one embodiment, the III-V epitaxial layer comprises gallium nitride (GaN).

取決於所需的功能,III-V磊晶層720的期望厚度可以變化很大。在一些實施例中,III-V磊晶層720的厚度可以在0.5μm與100μm之間變化,例如厚度大於5μm。在III-V磊晶層720上製造的元件的所得崩潰電壓可以視III-V磊晶層720的厚度而改變。一些實施例提供至少100V、300V、600V、1.2kV、1.7kV、3.3kV、5.5kV、13kV、或20kV的崩潰電壓。 Depending on the desired functionality, the desired thickness of the III-V epitaxial layer 720 can vary widely. In some embodiments, the thickness of the III-V epitaxial layer 720 can vary between 0.5 μm and 100 μm, such as a thickness greater than 5 μm. The resulting breakdown voltage of components fabricated on the III-V epitaxial layer 720 can vary depending on the thickness of the III-V epitaxial layer 720. Some embodiments provide a breakdown voltage of at least 100 V, 300 V, 600 V, 1.2 kV, 1.7 kV, 3.3 kV, 5.5 kV, 13 kV, or 20 kV.

為了在可以包括多個子層的III-V磊晶層720的某些部分之間提供導電性,在此實例中形成從III-V磊晶層720的頂部表面通入磊晶單晶矽層620的 一組通孔724。通孔724可以襯有絕緣層(未圖示),使得通孔724與III-V磊晶層720絕緣。作為實例,可以藉由提供穿過通孔的歐姆接觸而使用此等通孔來將二極體或電晶體的電極連接到下方的矽層,從而緩和元件中的電荷積累。 To provide conductivity between certain portions of the III-V epitaxial layer 720, which may include multiple sublayers, a set of vias 724 are formed in this example from the top surface of the III-V epitaxial layer 720 into the epitaxial single crystal silicon layer 620. The vias 724 may be lined with an insulating layer (not shown) such that the vias 724 are insulated from the III-V epitaxial layer 720. As an example, such vias may be used to connect the electrodes of a diode or transistor to the underlying silicon layer by providing an ohmic contact through the vias, thereby mitigating charge accumulation in the device.

假使在單晶矽層122上生長III-V磊晶層,則穿過通孔獲得此類歐姆接觸會是困難的,因為在單晶矽層122中終止通孔蝕刻將是困難的:例如可靠地在整個晶圓上蝕穿5μm的GaN,並在0.3μm的矽層中終止蝕刻。利用本發明的實施例可以提供厚度幾微米的單晶矽層,此舉在使用佈植和剝離製程之下是困難的,因為實現大的佈植深度需要高的佈植能量。接著,厚的矽層致能諸如能夠實現各式各樣元件設計的所說明通孔的應用。 If the III-V epitaxial layer is grown on the single crystal silicon layer 122, it would be difficult to obtain such an ohmic contact through the via, because it would be difficult to terminate the via etch in the single crystal silicon layer 122: for example, reliably etching through 5μm of GaN across the wafer and terminating in a 0.3μm silicon layer. Using embodiments of the present invention, single crystal silicon layers with a thickness of several microns can be provided, which is difficult using implant and strip processes because high implant energies are required to achieve large implant depths. The thick silicon layer then enables applications such as the described vias that enable a wide variety of device designs.

除了藉由在單晶矽層122上磊晶生長單晶矽層620來增加矽「層」的厚度之外,可以對單晶矽層122的原始特性進行其它調整,包括導電性、結晶度等的修改。例如,假使在另外磊晶生長III-V層或其它材料之前需要在10μm數量級的矽層,則可以依據本發明的實施例生長此類厚層。 In addition to increasing the thickness of the silicon "layer" by epitaxially growing single crystal silicon layer 620 on single crystal silicon layer 122, other adjustments may be made to the original properties of single crystal silicon layer 122, including modifications to conductivity, crystallinity, etc. For example, if a silicon layer on the order of 10 μm is required prior to additional epitaxial growth of a III-V layer or other material, such a thick layer may be grown in accordance with embodiments of the present invention.

因為佈植製程會影響單晶矽層122的性質,例如殘餘的硼/氫原子會影響矽的電性質,故本發明的實施例在磊晶生長單晶矽層620之前移除一部分的單晶矽層122。例如,可以將單晶矽層122薄化以形成厚度0.1μm或更薄的層,從而移除大部分或所有的殘餘硼/氫原子。 然後使用隨後生長的單晶矽層620來提供電及/或其它性質基本上與使用層轉移製程形成的層的相應性質無關的單晶材料。 Because the implantation process affects the properties of the single crystal silicon layer 122, for example, residual boron/hydrogen atoms may affect the electrical properties of silicon, embodiments of the present invention remove a portion of the single crystal silicon layer 122 before epitaxially growing the single crystal silicon layer 620. For example, the single crystal silicon layer 122 may be thinned to form a layer having a thickness of 0.1 μm or less, thereby removing most or all of the residual boron/hydrogen atoms. Then the subsequently grown single crystal silicon layer 620 is used to provide a single crystal material having electrical and/or other properties that are substantially unrelated to the corresponding properties of the layer formed using the layer transfer process.

除了增加耦接到工程基板結構的單晶矽材料的厚度之外,磊晶單晶矽層620的電性質(包括導電性)可以不同於單晶矽層122的電性質。在生長期間摻雜磊晶單晶矽層620可以藉由使用硼摻雜來產生p型矽,並藉由使用磷摻雜來產生n型矽。可以生長未摻雜的矽以提供在具有絕緣區域的元件中使用的高電阻率矽。尤其,可將絕緣層用於RF元件。 In addition to increasing the thickness of the single crystal silicon material coupled to the engineered substrate structure, the electrical properties (including conductivity) of the epitaxial single crystal silicon layer 620 can be different from the electrical properties of the single crystal silicon layer 122. The epitaxial single crystal silicon layer 620 can be doped during growth to produce p-type silicon by doping with boron and n-type silicon by doping with phosphorus. Undoped silicon can be grown to provide high resistivity silicon for use in components with insulating regions. In particular, the insulating layer can be used for RF components.

可以在生長期間調整磊晶單晶矽層620的晶格常數以改變單晶矽層122的晶格常數而產生應變的磊晶材料。除了矽之外,還可以磊晶生長其它元素來提供層,包括含有矽鍺的應變層或類似物。例如,可以在單晶矽層122上、磊晶單晶矽層620上、或層之間生長緩衝層,以增強隨後的磊晶生長。此等緩衝層可以包括應變III-V層、矽鍺應變層等。另外,緩衝層和其它磊晶層可以以莫耳分率、摻雜劑、極性等分級。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 The lattice constant of the epitaxial single crystal silicon layer 620 can be adjusted during growth to change the lattice constant of the single crystal silicon layer 122 to produce a strained epitaxial material. In addition to silicon, other elements can be epitaxially grown to provide layers, including strain layers containing silicon germanium or the like. For example, a buffer layer can be grown on the single crystal silicon layer 122, on the epitaxial single crystal silicon layer 620, or between layers to enhance subsequent epitaxial growth. Such buffer layers can include strained III-V layers, silicon germanium strain layers, etc. In addition, the buffer layers and other epitaxial layers can be graded by mole fraction, dopant, polarity, etc. Those skilled in the art will recognize many variations, modifications, and substitutions.

在一些實施例中,存在於單晶矽層122或磊晶單晶矽層620中的應變可以在後續磊晶層(包括III-V磊晶層)的生長期間被鬆弛。 In some embodiments, strain present in the single crystal silicon layer 122 or the epitaxial single crystal silicon layer 620 can be relaxed during the growth of subsequent epitaxial layers (including III-V epitaxial layers).

第8圖為圖示依據本發明之另一實施例製造工程基板的方法之簡化流程圖。該方法包括藉由提供多晶 陶瓷芯(810)、形成耦接到該多晶陶瓷芯的至少一部分的第一黏合層(812)來形成支撐結構。該第一黏合層可以包括正矽酸四乙酯(TEOS)層。該方法還包括形成耦接到該第一黏合層的導電層(814)。導電層可以是多晶矽層。該第一黏合層可被形成為TEOS的單層。該導電層可被形成為多晶矽的單層。 FIG. 8 is a simplified flow chart illustrating a method of manufacturing an engineered substrate according to another embodiment of the present invention. The method includes forming a support structure by providing a polycrystalline ceramic core (810), forming a first bonding layer (812) coupled to at least a portion of the polycrystalline ceramic core. The first bonding layer may include a tetraethyl orthosilicate (TEOS) layer. The method also includes forming a conductive layer (814) coupled to the first bonding layer. The conductive layer may be a polycrystalline silicon layer. The first bonding layer may be formed as a single layer of TEOS. The conductive layer may be formed as a single layer of polycrystalline silicon.

該方法還包括形成耦接到導電層的至少一部分的第二黏合層(816)、以及形成阻障殼(818)。該第二黏合層可被形成為TEOS的單層。該阻障殼可被形成為氮化矽的單層或一系列形成阻障殼的子層。 The method also includes forming a second adhesive layer (816) coupled to at least a portion of the conductive layer, and forming a barrier shell (818). The second adhesive layer can be formed as a single layer of TEOS. The barrier shell can be formed as a single layer of silicon nitride or a series of sub-layers forming the barrier shell.

一旦藉由製程810-818形成了支撐結構,該方法還包括將結合層(例如氧化矽層)結合於支撐結構(820)並將實質單晶矽層或實質單晶層結合於氧化矽層(822)。結合層的結合可以包括如本文所述沉積結合材料,隨後進行平坦化製程。 Once the support structure is formed by processes 810-818, the method further includes bonding a bonding layer (e.g., a silicon oxide layer) to the support structure (820) and bonding a substantially single crystalline silicon layer or a substantially single crystalline layer to the silicon oxide layer (822). Bonding of the bonding layer may include depositing a bonding material as described herein, followed by a planarization process.

可以使用層轉移製程來將實質單晶矽層122結合於結合層120。在一些實施例中,矽晶圓(例如矽(111)晶圓)被佈植而形成分裂面。在晶圓結合之後,矽基板可與分裂面下方的單晶矽層部分一起被移出,從而產生第1圖圖示的剝離單晶矽層122。可以改變實質單晶矽層122的厚度來滿足各種應用的規格。此外,可以改變實質單晶層122的晶體方向來滿足應用的規格。另外,可以改變實質單晶層122中的摻雜水平和分佈來滿足特定 應用的規格。在一些實施例中,可以將實質單晶矽層122光滑化,如上所述。 A layer transfer process may be used to bond the substantially single crystal silicon layer 122 to the bonding layer 120. In some embodiments, a silicon wafer (e.g., a silicon (111) wafer) is implanted to form a cleavage plane. After the wafers are bonded, the silicon substrate may be removed along with the portion of the single crystal silicon layer below the cleavage plane, thereby producing the peeled single crystal silicon layer 122 illustrated in FIG. 1. The thickness of the substantially single crystal silicon layer 122 may be varied to meet the specifications of various applications. In addition, the crystal orientation of the substantially single crystal layer 122 may be varied to meet the specifications of the application. In addition, the doping level and distribution in the substantially single crystal layer 122 may be varied to meet the specifications of a particular application. In some embodiments, the substantially single crystal silicon layer 122 may be smoothed as described above.

第8圖圖示的方法還可以包括藉由在該實質單晶矽層上磊晶生長而形成磊晶矽層(824)、以及藉由在該磊晶矽層上磊晶生長而形成磊晶III-V層(826)。在一些實施例中,該磊晶III-V層可以包含氮化鎵(GaN)。 The method illustrated in FIG. 8 may also include forming an epitaxial silicon layer (824) by epitaxial growth on the substantially single crystal silicon layer, and forming an epitaxial III-V layer (826) by epitaxial growth on the epitaxial silicon layer. In some embodiments, the epitaxial III-V layer may include gallium nitride (GaN).

應當理解的是,第8圖圖示的具體步驟提供了依據本發明之另一實施例製造工程基板的特定方法。還可以依據替代實施例來執行其他的步驟順序。例如,本發明的替代實施例可以以不同的順序執行上述步驟。此外,第8圖圖示的各個步驟可以包括可以以單個步驟適合的各種順序執行的多個子步驟。另外,可以視特定應用來添加或移除附加步驟。所屬技術領域中具有通常知識者將認可許多的變化、修改及替代。 It should be understood that the specific steps illustrated in FIG. 8 provide a specific method for manufacturing an engineered substrate according to another embodiment of the present invention. Other step sequences may also be performed according to alternative embodiments. For example, alternative embodiments of the present invention may perform the above steps in different sequences. In addition, each step illustrated in FIG. 8 may include multiple sub-steps that may be performed in various sequences suitable for a single step. In addition, additional steps may be added or removed depending on the specific application. A person of ordinary skill in the art will recognize many variations, modifications, and substitutions.

還應當理解的是,本文描述的實例和實施例僅用於說明的目的,而且鑒於該等實例和實施例,各種修改或變化將是所屬技術領域中具有通常知識之人士可聯想到的,而且將被包括在本申請的精神和範圍及所附申請專利範圍的範疇內。 It should also be understood that the examples and embodiments described herein are for illustrative purposes only, and that in view of such examples and embodiments, various modifications or changes will be conceivable to those with ordinary knowledge in the art and will be included within the spirit and scope of this application and the scope of the attached patent application.

100:工程基板 100: Engineering substrate

110:芯 110: Core

112:第一黏合層 112: First adhesive layer

114:導電層 114: Conductive layer

116:第二黏合層 116: Second adhesive layer

118:阻障層 118: Barrier layer

120:結合層 120: Binding layer

122:實質單晶矽層 122: Substantial single crystal silicon layer

130:磊晶材料 130: Epitaxial materials

Claims (24)

一種工程基板,包含:一支撐結構,包含:一多晶陶瓷芯;一第一黏合層,包封該多晶陶瓷芯;一阻障層,包封該第一黏合層;一第二黏合層,耦接到該阻障層;及一導電層,耦接到該第二黏合層;一結合層,耦接到該支撐結構;一實質單晶矽層,耦接到該結合層;以及一磊晶半導體層,耦接到該實質單晶矽層。 An engineering substrate comprises: a supporting structure, comprising: a polycrystalline ceramic core; a first adhesive layer encapsulating the polycrystalline ceramic core; a barrier layer encapsulating the first adhesive layer; a second adhesive layer coupled to the barrier layer; and a conductive layer coupled to the second adhesive layer; a bonding layer coupled to the supporting structure; a substantially single crystal silicon layer coupled to the bonding layer; and an epitaxial semiconductor layer coupled to the substantially single crystal silicon layer. 如請求項1所述之工程基板,其中該多晶陶瓷芯包含氮化鋁。 An engineered substrate as described in claim 1, wherein the polycrystalline ceramic core comprises aluminum nitride. 如請求項1所述之工程基板,其中該結合層包含氧化矽。 An engineered substrate as described in claim 1, wherein the bonding layer comprises silicon oxide. 如請求項1所述之工程基板,其中該磊晶半導體層包含一磊晶III-V層。 An engineered substrate as described in claim 1, wherein the epitaxial semiconductor layer includes an epitaxial III-V layer. 如請求項4所述之工程基板,其中該磊晶III-V層包含一磊晶氮化鎵層。 An engineered substrate as described in claim 4, wherein the epitaxial III-V layer comprises an epitaxial gallium nitride layer. 如請求項5所述之工程基板,其中該磊晶氮化鎵層具有約5μm或更大的一厚度。 An engineered substrate as described in claim 5, wherein the epitaxial gallium nitride layer has a thickness of about 5 μm or greater. 如請求項1所述之工程基板,其中該磊晶 半導體層包含一磊晶單晶矽層。 An engineering substrate as described in claim 1, wherein the epitaxial semiconductor layer includes an epitaxial single crystal silicon layer. 如請求項7所述之工程基板,進一步包含一磊晶III-V層,該磊晶III-V層耦接到該磊晶單晶矽層。 The engineered substrate as described in claim 7 further comprises an epitaxial III-V layer, the epitaxial III-V layer being coupled to the epitaxial single crystal silicon layer. 如請求項8所述之工程基板,進一步包含複數個通孔,該複數個通孔從該磊晶III-V層通入該磊晶單晶矽層。 The engineering substrate as described in claim 8 further comprises a plurality of through holes extending from the epitaxial III-V layer into the epitaxial single crystal silicon layer. 如請求項1所述之工程基板,其中該實質單晶矽層包含一剝離矽層。 An engineered substrate as described in claim 1, wherein the substantially single crystal silicon layer comprises a peeled silicon layer. 如請求項1所述之工程基板,其中該實質單晶矽層包含一剝離矽層及生長在該剝離矽層上的一磊晶矽層,並且該實質單晶矽層具有約0.5μm的一厚度。 An engineering substrate as described in claim 1, wherein the substantially single-crystal silicon layer comprises a peel-off silicon layer and an epitaxial silicon layer grown on the peel-off silicon layer, and the substantially single-crystal silicon layer has a thickness of about 0.5 μm. 如請求項1所述之工程基板,其中:該第一黏合層包含包封該多晶陶瓷芯的一第一正矽酸四乙酯(TEOS)層;該阻障層包含一氮化矽層;該第二黏合層包含一第二TEOS層;及該導電層包含一多晶矽層。 An engineered substrate as described in claim 1, wherein: the first adhesive layer comprises a first tetraethyl orthosilicate (TEOS) layer encapsulating the polycrystalline ceramic core; the barrier layer comprises a silicon nitride layer; the second adhesive layer comprises a second TEOS layer; and the conductive layer comprises a polycrystalline silicon layer. 一種工程基板,包含:一支撐結構,包含:一多晶陶瓷芯; 一第一黏合層,包封該多晶陶瓷芯;一阻障層,包封該第一黏合層;一第二黏合層,耦接到該阻障層;及一導電層,耦接到該第二黏合層;一結合層,耦接到該支撐結構;一實質單晶層,耦接到該結合層;以及一磊晶半導體層,耦接到該實質單晶層。 An engineering substrate comprises: a supporting structure, comprising: a polycrystalline ceramic core; a first adhesive layer encapsulating the polycrystalline ceramic core; a barrier layer encapsulating the first adhesive layer; a second adhesive layer coupled to the barrier layer; and a conductive layer coupled to the second adhesive layer; a bonding layer coupled to the supporting structure; a substantial single crystal layer coupled to the bonding layer; and an epitaxial semiconductor layer coupled to the substantial single crystal layer. 如請求項13所述之工程基板,其中該多晶陶瓷芯包含氮化鋁。 An engineered substrate as described in claim 13, wherein the polycrystalline ceramic core comprises aluminum nitride. 如請求項13所述之工程基板,其中該結合層包含氧化矽。 An engineered substrate as described in claim 13, wherein the bonding layer comprises silicon oxide. 如請求項13所述之工程基板,其中該磊晶半導體層包含一磊晶III-V層。 An engineered substrate as described in claim 13, wherein the epitaxial semiconductor layer includes an epitaxial III-V layer. 如請求項16所述之工程基板,其中該磊晶III-V層包含一磊晶氮化鎵層。 An engineered substrate as described in claim 16, wherein the epitaxial III-V layer comprises an epitaxial gallium nitride layer. 如請求項17所述之工程基板,其中該磊晶氮化鎵層具有約5μm或更大的一厚度。 An engineered substrate as described in claim 17, wherein the epitaxial gallium nitride layer has a thickness of about 5 μm or greater. 如請求項13所述之工程基板,其中該磊晶半導體層包含一磊晶單晶矽層。 An engineering substrate as described in claim 13, wherein the epitaxial semiconductor layer includes an epitaxial single crystal silicon layer. 如請求項19所述之工程基板,進一步包含一磊晶III-V層,該磊晶III-V層耦接到該磊晶單晶矽層。 The engineered substrate as described in claim 19 further comprises an epitaxial III-V layer, the epitaxial III-V layer being coupled to the epitaxial single crystal silicon layer. 如請求項20所述之工程基板,進一步包含複數個通孔,該複數個通孔從該磊晶III-V層通入該磊晶單晶矽層。 The engineering substrate as described in claim 20 further comprises a plurality of through holes extending from the epitaxial III-V layer into the epitaxial single crystal silicon layer. 如請求項13所述之工程基板,其中該實質單晶層包含一碳化矽層。 An engineered substrate as described in claim 13, wherein the substantially single crystal layer comprises a silicon carbide layer. 如請求項13所述之工程基板,其中該實質單晶層包含一藍寶石層。 An engineered substrate as described in claim 13, wherein the substantially single crystal layer comprises a sapphire layer. 如請求項13所述之工程基板,其中:該第一黏合層包含包封該多晶陶瓷芯的一第一正矽酸四乙酯(TEOS)層;該阻障層包含一氮化矽層;該第二黏合層包含一第二TEOS層;及該導電層包含一多晶矽層。 An engineered substrate as described in claim 13, wherein: the first adhesive layer comprises a first tetraethyl orthosilicate (TEOS) layer encapsulating the polycrystalline ceramic core; the barrier layer comprises a silicon nitride layer; the second adhesive layer comprises a second TEOS layer; and the conductive layer comprises a polycrystalline silicon layer.
TW112101490A 2016-06-14 2017-06-13 Engineered substrate structure for power and rf applications TWI839076B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662350077P 2016-06-14 2016-06-14
US201662350084P 2016-06-14 2016-06-14
US62/350,077 2016-06-14
US62/350,084 2016-06-14

Publications (2)

Publication Number Publication Date
TW202322418A TW202322418A (en) 2023-06-01
TWI839076B true TWI839076B (en) 2024-04-11

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201436279A (en) 2013-01-02 2014-09-16 Micron Technology Inc Engineered substrate assemblies with epitaxial templates and related systems, methods, and devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201436279A (en) 2013-01-02 2014-09-16 Micron Technology Inc Engineered substrate assemblies with epitaxial templates and related systems, methods, and devices

Similar Documents

Publication Publication Date Title
JP7416556B2 (en) Engineered board structure for power and RF applications
TWI767788B (en) Engineered substrate structure
US11387101B2 (en) Methods of manufacturing engineered substrate structures for power and RF applications
TWI781132B (en) Gallium nitride epitaxial structures for power devices
TWI801447B (en) Power and rf devices implemented using an engineered substrate structure
TWI839076B (en) Engineered substrate structure for power and rf applications
US12009205B2 (en) Engineered substrate structures for power and RF applications