TWI838019B - V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法 - Google Patents

V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法 Download PDF

Info

Publication number
TWI838019B
TWI838019B TW111148610A TW111148610A TWI838019B TW I838019 B TWI838019 B TW I838019B TW 111148610 A TW111148610 A TW 111148610A TW 111148610 A TW111148610 A TW 111148610A TW I838019 B TWI838019 B TW I838019B
Authority
TW
Taiwan
Prior art keywords
sih
sir
tms
group
film
Prior art date
Application number
TW111148610A
Other languages
English (en)
Other versions
TW202338146A (zh
Inventor
李峰
吉恩 馬克 吉拉德
張鵬
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW202338146A publication Critical patent/TW202338146A/zh
Application granted granted Critical
Publication of TWI838019B publication Critical patent/TWI838019B/zh

Links

Abstract

揭露了含V族元素的先質及其合成方法和在膜沈積上使用其之方法。該等先質係 (SiR 3) 3-mA(Si aH 2a+1) m、 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 其中 a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; A = As、P、Sb、Bi;並且 R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基。該等合成方法包括一種或多種鹵代(聚)矽烷與A的三(三烴基矽基)衍生物之間的一步、兩步或三步反應,或者兩種或三種鹵代(聚)矽烷的混合物與A的三(三烴基矽基)衍生物之間的一鍋混合反應。沈積方法包括CVD、PECVD、ALD、PEALD、可流動CVD、HW-CVD、外延等。

Description

V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法
本發明關於含V族元素的先質及其合成方法和在半導體膜沈積中使用其之方法,特別地,關於具有以下通式的含V族元素的先質: (SiR 3) 3-mA(Si aH 2a+1) m,或 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 其中 a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; A = As、P、Sb、Bi;並且 R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基;關於合成方法,該等方法包括一種或多種鹵代(聚)矽烷與A的三(三烴基矽基)衍生物之間的一步、兩步或三步反應,或者兩種或三種鹵代(聚)矽烷的混合物和A的三(三烴基矽基)衍生物的一鍋混合反應;並且關於沈積含Si和V族元素的膜之方法,包括CVD、PECVD、ALD、PEALD、可流動CVD、HW-CVD、外延等。
包含V族元素的薄膜用於各種應用,包括固態電晶體、非易失性相變記憶體(PCM)、太陽能電池、III-V族化合物和光存儲材料等中的p摻雜Si或SiGe半導體通道和接觸層。III-V族化合物半導體可用於許多不同的應用領域,包括電晶體、光電子裝置等應用領域,例如雙極電晶體、場效應電晶體、雷射器、紅外探測器、LED、寬頻隙半導體、量子阱或量子點結構、太陽能電池和單片微波積體電路。
幾種III-V族半導體表現出使其在固態電子裝置中使用具有吸引力的特徵(例如,高熱穩定性、高電子遷移率和低帶隙)。然而,III-V族半導體比廣泛使用的IV族半導體更難合成,並且缺乏合成III-V族化合物的合適路徑阻礙了它們作為IV族化合物的替代品被接受。
一些含V族元素的化合物(或V化合物)已經使用矽基和聚矽基配位基等製成,即P(SiH 3) 3,P(Si 2H 5) 3和As(SiH 3)。已經揭露了此類化合物在薄膜沈積製程中之用途,即P(SiH 3) 3作為磷摻雜劑用於外延應用(參考文獻),藉由形成互連的III–V–(IV)3「結構單元」,產生具有平均類金剛石對稱性的高度穩定的晶體結構。
相關的先前技術包括以下內容。
Tice等人(Dalton Trans. [道耳頓會刊], 2010, 39(19), 4551–4558)揭露了藉由P(SnMe 3) 3+ 3 SiH 3Br → (SiH 3) 3P + 3 Me 3SnBr合成P(SiH 3) 3
Amberger等人(Angew. Chem. Int. Ed. [德國應用化學], 1962, 1, 52)揭露了藉由3KPH 2+ 3 SiH 3Br → P(SiH 3) 3+ 2 PH 3+ 3 KBr以約55%的產率合成(SiH 3) 3P。
Amberger等人(Angew. Chem. [德國應用化學], 1962, 74, 293)揭露了在溫和的條件下,以約50%的產率從3 KAsH 2+ 3 SiH 3Br → As(SiH 3) 3+ 2 AsH 3+ 3 KBr之間的反應中合成和分離(SiH 3) 3As。
Amberger等人(Zeitschrift fuer Naturforschung 1963, 18b 157)也揭露了三矽基䏲的製備和特性,其藉由在醚中在低溫下使Li 3Sb + SiH 3Br反應,隨後光隔離以產生77%的Sb(SiH 3) 3
Drake等人(J. Chem. Soc. [英國化學會誌], 1969, 662 – 665)揭露了使適量的乙硼烷與Si 2-PH 2反應合成P(Si 2) 3:3SiH 3SiH 2PH 2→(藉由B 2H 6促進)P(Si 2H 5) 3+ 2PH 3
Drake等人(Inorg. Chem. [無機化學], 1967, 6(11). 1984–1986;Chem. Ind. [化學與工業], 1962, 1470)揭露了單矽基膦如SiH 3SiH 2-PH 2的合成和純化,其藉由在臭氧發生器無聲放電中誘導甲矽烷和膦混合物的分解,隨後進行阱到阱蒸餾。
Drake等人(J. Chem. Soc. A. [英國化學會誌A], 1968, 2709)揭露了由GeH 3PH 2歧化形成(GeH 3) 3P。
Drake等人(J. Chem. Soc. A [英國化學會誌A], 1971, 13, 2246)揭露了P(SiH 3) 3與LiAlH 4之間的反應將產生產物之一,例如LiAlH[P(SiH 3) 2] 3+ Si 2H 5Br → Si 2H 5-P(SiH 3) 2
Drake等人(Inorg. Nucl. Chem. Letters [無機與核化學快報], 1968, 第4卷, 第361-363頁)揭露了一溴鍺烷與三矽基膦反應以產生「交換」,導致形成三鍺基膦。一溴矽烷與KMH 2(M = P、As、Sb)反應得到三矽基而不是一矽基衍生物,並且一碘矽烷與二矽基胺反應得到三矽基物質。
Cradock等人(J. Chem. Soc. A. [英國化學會誌A], 1967, 1229)揭露了從GeH 3Br-(SiH 3) 3P形成(GeH 3) 3P的交換反應。
Wingeleth等人(Phosphorus and Sulfur and the related Elements [磷和硫與相關元素], 1988, 39, 123-9)揭露了藉由由BX 3、B 2H 6和B 5H 9促進的單矽基膦或單鍺基膦(包括SiH 3PH 2、Si 2H 5PH 2、SiH 3PH 2/Si 2H 5PH 2和GeH 3PH 2)的再分配反應形成P(SiH 3) 3、P(Si 2H 5) 3、P(SiH 3) 2(Si 2H 5)、P(GeH 3) 3
Beagley等人(Chem. Commun. [化學通訊], 1967, 12, 601-602)揭露了P(SiH 3) 3和As(SiH 3) 3的氣相金字塔結構。
Yang等人(Chem. Mater. [材料化學] 2014, 26, 14, 4092–4101)揭露了藉由P(SiH 3) 3與Al原子束之間的低溫反應,形成了的Al-P(SiH 3) 3中間體含有Al-PSi 3核,其最近證實可以在Si(100)晶格上沈積和匹配,並且從而提供了在IV族半導體上生長III-V材料的實用路徑。
Watkins等人(J. Am. Chem. Soc. [美國化學會誌] 2011, 133, 40, 16212–16218)揭露了生長在Si(100)上的四方應變的Al-PSi 3核的製備、表徵和理論模擬。
Chizmeshya等人(ECS Transactions[電化學學會學報], 2012, 50(9), 623-634)揭露了在 < 600°C下使用分子束外延(MBE)技術形成具有Al-PSi 3核的中間體,並且然後結合到菱形IV族材料中的類似應用。以類似的方式,可以使用As(SiH 3) 3先質以及P/As、As/N、P/N的雜化物,藉由使用As(SiH 3) 3、P(SiH 3) 3和N(SiH 3) 3的混合物與Al反應形成相應的中間體來沈積Al-AsSi 3。藉由引入P(SiH 3) 3和P(GeH 3) 3的先質混合物,該工作可以擴展到Al-PSi 3xGe 3(1-x)的沈積。
Sims等人(Chem. Mater. [材料化學] 2015, 27, 8, 3030–3039)揭露了III族材料的雜化物,如Al 1-xB xPSi 3(x = 0.04-0.06),其藉由使用P(SiH 3) 3和Al(BH 4) 3先質的低P CVD加工,並在基於Si的固體上生長形成。
Kouvetakis等人(Chem. Mater. [材料化學] 2012, 24, 16, 3219–3230)揭露了使用M(SiH 3) 3(M = P,As)和Al以使用氣源MBE在Si基材上合成(III–V)–(IV) 合金。在適當的條件下,將N(SiH 3) 3添加到反應混合物中,產生新型雜化材料Al(As 1–xN x)Si 3和Al(P 1–xN x) ySi 5–2y
Romero等人的WO 2019066825/US 20200168462揭露了分解V族(包括N、P、As、Sb和Bi)和/或VI族(包括S、Se和Te)材料,使用相應的氫化物和/或矽基化的物質,包括矽基化的膦、胂、䏲和鉍等。
Todd等人的US7029995揭露了用於形成外延膜之方法,其中磷、砷和銻以先質的形式供應,如膦、三矽基膦、胂、三矽基胂、䏲和矽基䏲。
Weeks等人的US9099423揭露了摻雜的半導體膜和其中摻雜劑包含磷的加工。
Todd的US6716751揭露了使用包括(H 3Si) 3-xMRx、(H 3Si) 3N和(H 3Si) 4N 2的含Si先質藉由CVD和離子注入製程形成的矽合金和摻雜矽膜,其中R係H或D,x = 0、1或2,並且M選自由以下組成之群組:B、P、As和Sb。
Dickson的US4910153揭露了具有式(MX 3) nM'X 4-n的沈積先質,其中M和M'係不同的4A族原子,M和M'中的至少一個係矽,X係氫、鹵素或其混合物,並且n = 1至4,包括端值。具有式(SiX 3) mLX 3-m的摻雜劑,其中L係選自P、As、Sb和Bi的組的5A族原子,X係氫、鹵素或其混合物,並且m係1與3之間的整數,包括端值。
例如在WO 2002065508中描述了具有式A(SiH 3) 3-x(H或D) x的化合物的應用,特別是當與Si源組合使用時,其中Si源係聚矽烷,像二矽烷或三矽烷。這種化學選擇使得能夠在比用於這種製程的經典SiH 4/PH 3/AsH 3化學成分更低的溫度下沈積膜,並且因此使得能夠沈積具有比摻雜劑在矽中的溶解度值更高的摻雜劑濃度的膜。
揭露了一種合成含V族元素的化合物之方法,該方法包括: 使A(SiR 3) 3與一種、兩種或三種類型的鹵代(聚)矽烷連續地或以混合物接觸,其中鹵代(聚)矽烷選自由以下組成之群組:X-Si aH 2a+1、X-Si bH 2b+1和X-Si cH 2c+1;以及 將A(SiR 3) 3藉由以下脫鹵矽烷化以形成含V族元素的化合物 (SiR 3) 3-mA(Si aH 2a+1) m、或 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 逐步的一般反應: a). 一步反應: A(SiR 3) 3+ m X-Si aH 2a+1→ (SiR 3) 3-mA(Si aH 2a+1) m+ m X-SiR 3, b). 兩步反應: A(SiR 3) 3+ n X-Si aH 2a+1→ (SiR 3) 3-nA(Si aH 2a+1) n+ n X-SiR 3(SiR 3) 3-nA(Si aH 2a+1) n+ p X-(Si bH 2b+1) → (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p+ p X-SiR 3,或 c). 三步反應: A(SiR 3) 3+ X-Si aH 2a+1→ (SiR 3) 2A(Si aH 2a+1) + X-SiR 3(SiR 3) 2A(Si aH 2a+1) + X-Si bH 2b+1→ (SiR 3)A(Si aH 2a+1)(Si bH 2b+1) + X-SiR 3(SiR 3)A(Si aH 2a+1)(Si bH 2b+1) + X-Si bH 2b+1→ A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) + X-SiR 3; 可替代地,與兩種或三種鹵代(聚)矽烷的混合物的一鍋反應: A(SiR 3) 3+ x X-Si aH 2a+1+ y X-Si bH 2b+1+ z X-Si cH 2c+1→ A(Si aH 2a+1) x(Si bH 2b+1) y(Si cH 2c+1) z(SiR 3) (3-x-y-z)+ (x + y + z) X-SiR 3, 其中 X = Cl、Br或I; a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; x = 0至3,y = 0至3,z = 0至3,x + y + z = 1至3; A = 選自As、P、Sb、Bi的V族元素;並且 R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基。所揭露之方法可以包括以下方面中的一項或多項: •   添加溶劑; •   溶劑選自烷烴或芳香族溶劑、鹵代烷基矽烷或其混合物; •   溶劑與A(SiR 3) 3的比率為0-99 wt%; •   烷烴或芳香族溶劑選自戊烷、己烷、庚烷、苯、甲苯、二甲苯、三甲基氯矽烷或其混合物; •   一種或多種鹵代(聚)矽烷與A(SiR 3) 3的比率的範圍為從1 :99至99 :1; •   一種或多種鹵代(聚)矽烷與A(SiR 3) 3的比率的範圍為從1 :20至20 :1; •   一種或多種鹵代(聚)矽烷與A(SiR 3) 3的比率的範圍為從1 :5至5 :1; •   X為Cl; •   鹵代(聚)矽烷係氯代(聚)矽烷; •   氯代(聚)矽烷為Cl-Si aH 2a+1、Cl-Si bH 2b+1和/或Cl-Si aH 2a+1,其中a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; •   氯代(聚)矽烷為Cl-SiH 3、Cl-Si 2H 5或Cl-Si 3H 7; •   R係甲基(Me); •   進一步包括 分離溶劑和反應產物以分離出含V族元素的化合物;以及 純化含V族元素的化合物; •   含V族元素的化合物的純度 > 90%; •   含V族元素的化合物的純度 > 95%; •   含V族元素的化合物的純度 > 98%; •   該方法係分批製程; •   該反應保持在範圍從-20°C至150°C的溫度下; •   該反應保持在範圍從室溫至100°C的溫度下; •   含V族元素的化合物含有三矽基; •   三矽基係-SiH(SiH 3) 2(異-三矽基); •   三矽基係-SiH 2-SiH 2-SiH 3(正-三矽基); •   含V族元素的化合物選自P(SiH 3) 3,P(SiR 3)(SiH 3) 2,P(SiR 3) 2(SiH 3),P(SiR 3)(Si 2H 5) 2,P(SiR 3) 2(Si 2H 5),P(Si 2H 5) 3,P(SiR 3)(Si 3H 7) 2,P(SiR 3) 2(Si 3H 7),P(Si 3H 7) 3,As(SiH 3) 3,As(SiR 3)(SiH 3) 2,As(SiR 3) 2(SiH 3),As(SiR 3)(Si 2H 5) 2,As(SiR 3) 2(Si 2H 5),As(Si 2H 5) 3,As(SiR 3)(Si 3H 7) 2,As(SiR 3) 2(Si 3H 7),As(Si 3H 7) 3,Sb(SiH 3) 3,Sb(SiR 3)(SiH 3) 2,Sb(SiR 3) 2(SiH 3),Sb(SiR 3)(Si 2H 5) 2,Sb(SiR 3) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(SIR 3)(Si 3H 7) 2,Sb(SiR 3) 2(Si 3H 7),Sb(Si 3H 7) 3 P(SiR 3)(SiH 3)(Si 2H 5),P(SiR 3)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 2H 5),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(SiR 3)(SiH 3)(Si 2H 5),As(SiR 3)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(SiR 3)(SiH 3)(Si 2H 5),Sb(SiR 3)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),或Sb(Si 2H 5)(Si 3H 7) 2; •   R選自Me,Et,nPr,iPr,tBu,nBu,iBu或sBu; •   當R = Me時,含V族元素的化合物選自P(SiH 3) 3,P(TMS)(SiH 3) 2,P(TMS) 2(SiH 3),P(TMS)(Si 2H 5) 2,P(TMS) 2(Si 2H 5),P(Si 2H 5) 3,P(TMS)(Si 3H 7) 2,P(TMS) 2(Si 3H 7),P(Si 3H 7) 3,As(SiH 3) 3,As(TMS)(SiH 3) 2,As(TMS) 2(SiH 3),As(TMS)(Si 2H 5) 2,As(TMS) 2(Si 2H 5),As(Si 2H 5) 3,As(TMS)(Si 3H 7) 2,As(TMS) 2(Si 3H 7),As(Si 3H 7) 3,Sb(SiH 3) 3,Sb(TMS)(SiH 3) 2,Sb(TMS) 2(SiH 3),Sb(TMS)(Si 2H 5) 2,Sb(TMS) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(TMS)(Si 3H 7) 2,Sb(TMS) 2(Si 3H 7),Sb(Si 3H 7) 3 P(TMS)(SiH 3)(Si 2H 5),P(TMS)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 2H 5),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(TMS)(SiH 3)(Si 2H 5),As(TMS)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(TMS)(SiH 3)(Si 2H 5),Sb(TMS)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),或Sb(Si 2H 5)(Si 3H 7) 2; •   含V族元素的化合物選自由以下組成之群組:P(SiH 3) 3,P(TMS)(SiH 3) 2,P(TMS) 2(SiH 3),P(TMS)(Si 2H 5) 2,P(TMS) 2(Si 2H 5),P(Si 2H 5) 3,P(TMS)(Si 3H 7) 2,P(TMS) 2(Si 3H 7),P(Si 3H 7) 3,P(TMS)(SiH 3)(Si 2H 5),P(TMS)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 2H 5),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7)和P(Si 2H 5)(Si 3H 7) 2; •   當n = 2至3時,含V族元素的化合物選自由以下組成之群組:A(Si 2H 5)(SiR 3) 2,A(Si 3H 7)(SiR 3) 2,A(Si 2H 5) 2(SiR 3),A(Si 3H 7) 2(SiR 3),A(Si 2H 5) 3和A(Si 3H 7) 3,其中A係選自P、As、Sb或Bi的V族元素;R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基;前提係如果A = P,則排除P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS);以及 •   當m = 3時,含V族元素的化合物為A(Si aH 2a+1) 3,其中a = 1至6;A係選自P、As、Sb或Bi的V族元素;R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基;前提係如果A = As,則a > 1;如果A = P,則排除P(Si 2H 5) 3;並且如果A = Sb,則排除Sb(SiH 3) 3
還揭露了一種含V族元素的化合物,該含V族元素的化合物具有下式: (SiR 3) 3-mA(Si aH 2a+1) m、 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 其中 a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; A = 選自As、P、Sb、Bi的V族元素;並且 R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基; 前提係如果A = As,則排除As(SiH 3) 3;如果A = P,則排除P(SiH 3) 3,P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS);並且如果A = Sb,則排除Sb(SiH 3) 3。所揭露的化合物可以包括以下方面中的一項或多項: •   含V族元素的化合物的純度 > 93%; •   含V族元素的化合物的純度 > 95%;以及 •   含V族元素的化合物的純度 > 98%。
還揭露了一種用於在基材上形成含Si和V族元素的膜之方法,該方法包括: 將基材暴露於含有含Si和V族元素的先質的成膜組成物的蒸氣中;以及 藉由氣相沈積方法使含Si和V族元素的先質的至少一部分沈積到基材上以在該基材上形成含Si和V族元素的膜, 其中含Si和V族元素的先質具有以下通式 (SiR 3) 3-mA(Si aH 2a+1) m、 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 其中 A係選自P、As、Sb或Bi的V族元素; a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基; 前提係如果A = As,則排除As(SiH 3) 3;如果A = P,則排除P(SiH 3) 3,P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS);並且如果A = Sb,則排除Sb(SiH 3) 3。所揭露之方法可以包括以下方面中的一項或多項: •   含V族元素的先質選自P(SiH 3) 3,P(SiR 3)(SiH 3) 2,P(SiR 3) 2(SiH 3),P(SiR 3)(Si 2H 5) 2,P(SiR 3) 2(Si 2H 5),P(Si 2H 5) 3,P(SiR 3)(Si 3H 7) 2,P(SiR 3) 2(Si 3H 7),P(Si 3H 7) 3,As(SiH 3) 3,As(SiR 3)(SiH 3) 2,As(SiR 3) 2(SiH 3),As(SiR 3)(Si 2H 5) 2,As(SiR 3) 2(Si 2H 5),As(Si 2H 5) 3,As(SiR 3)(Si 3H 7) 2,As(SiR 3) 2(Si 3H 7),As(Si 3H 7) 3,Sb(SiH 3) 3,Sb(SiR 3)(SiH 3) 2,Sb(SiR 3) 2(SiH 3),Sb(SiR 3)(Si 2H 5) 2,Sb(SiR 3) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(SIR 3)(Si 3H 7) 2,Sb(SiR 3) 2(Si 3H 7),Sb(Si 3H 7) 3 P(SiR 3)(SiH 3)(Si 2H 5),P(SiR 3)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 2H 5),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(SiR 3)(SiH 3)(Si 2H 5),As(SiR 3)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(SiR 3)(SiH 3)(Si 2H 5),Sb(SiR 3)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),或Sb(Si 2H 5)(Si 3H 7) 2; •   R選自Me,Et,nPr,iPr,tBu,nBu,iBu或sBu; •   含Si和V族元素的先質選自P(TMS)(SiH 3) 2,P(TMS) 2(SiH 3),P(TMS)(Si 2H 5) 2,P(TMS) 2(Si 2H 5),P(TMS)(Si 3H 7) 2,P(TMS) 2(Si 3H 7),P(Si 3H 7) 3,As(TMS)(SiH 3) 2,As(TMS) 2(SiH 3),As(TMS)(Si 2H 5) 2,As(TMS) 2(Si 2H 5),As(Si 2H 5) 3,As(TMS)(Si 3H 7) 2,As(TMS) 2(Si 3H 7),As(Si 3H 7) 3,Sb(TMS)(SiH 3) 2,Sb(TMS) 2(SiH 3),Sb(TMS)(Si 2H 5) 2,Sb(TMS) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(TMS)(Si 3H 7) 2,Sb(TMS) 2(Si 3H 7),Sb(Si 3H 7) 3 P(TMS)(SiH 3)(Si 2H 5),P(TMS)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(TMS)(SiH 3)(Si 2H 5),As(TMS)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(TMS)(SiH 3)(Si 2H 5),Sb(TMS)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),或Sb(Si 2H 5)(Si 3H 7) 2; •   含Si和V族元素的先質選自由以下組成之群組:P(Si 3H 7) 3,P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7)和P(Si 2H 5)(Si 3H 7) 2; •   氣相沈積方法包括CVD製程、ALD製程、外延製程或其組合; •   成膜組成物藉由將基材加熱到範圍從200°C至1000°C的溫度,電漿活化含Si和V族元素的先質、或其組合來活化; •   進一步包括將基材暴露於共反應物的步驟; •   共反應物係電漿活化的; •   共反應物不是電漿活化的; •   共反應物係選自O 2、O 3 H 2O、H 2O 2、NO、N 2O、NO 2、O自由基、醇、矽烷醇、胺基醇、羧酸、多聚甲醛或其組合的含氧氣體; •   共反應物為O 3; •   共反應物係選自NH 3,N 2,H 2,N 2/H 2,H 2和NH 3,N 2和NH 3,NH 3和N 2H 4,NO,N 2O,胺,三矽基胺、矽氮烷或其組合的含氮氣體; •   共反應物係H 2; •   共反應物係N 2; •   共反應物係至少一種選自矽烷和聚矽烷、烷基矽烷、鹵代矽烷(MCS,DCS,TCS,SiCl 4)、多鹵代聚矽烷、鍺烷、氯鍺烷、乙鍺烷、多鍺烷、鹵鍺烷、膦、硼烷或含鹵化物的氣體的第二先質; •   共反應物係選自Ar,He,N 2,H 2或其組合的稀釋氣體; •   含Si和V族元素的膜係P摻雜的含矽膜; •   進一步包括藉由熱退火、爐內退火、快速熱退火、UV或電子束固化和/或電漿氣體暴露對含Si和V族元素的層進行退火的步驟; •   基材係粉末;以及 •   粉末包含NMC(鋰鎳錳鈷氧化物)、LCO(鋰鈷氧化物)、LFP(磷酸鐵鋰)、以及其他電池陰極材料中的一種或多種。
還揭露了一種用於沈積膜的成膜組成物,該成膜組成物包含含Si和V族元素的先質,該先質具有下式: (SiR 3) 3-mA(Si aH 2a+1) m、 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 其中 A係選自P、As、Sb或Bi的V族元素; a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基; 前提係如果A = As,則排除As(SiH 3) 3;如果A = P,則排除P(SiH 3) 3,P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS);並且如果A = Sb,則排除Sb(SiH 3) 3。所揭露的成膜組成物包括以下方面中的一項或多項: •   含V族元素的先質選自P(SiH 3) 3,P(SiR 3)(SiH 3) 2,P(SiR 3) 2(SiH 3),P(SiR 3)(Si 2H 5) 2,P(SiR 3) 2(Si 2H 5),P(Si 2H 5) 3,P(SiR 3)(Si 3H 7) 2,P(SiR 3) 2(Si 3H 7),P(Si 3H 7) 3,As(SiH 3) 3,As(SiR 3)(SiH 3) 2,As(SiR 3) 2(SiH 3),As(SiR 3)(Si 2H 5) 2,As(SiR 3) 2(Si 2H 5),As(Si 2H 5) 3,As(SiR 3)(Si 3H 7) 2,As(SiR 3) 2(Si 3H 7),As(Si 3H 7) 3,Sb(SiH 3) 3,Sb(SiR 3)(SiH 3) 2,Sb(SiR 3) 2(SiH 3),Sb(SiR 3)(Si 2H 5) 2,Sb(SiR 3) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(SIR 3)(Si 3H 7) 2,Sb(SiR 3) 2(Si 3H 7),Sb(Si 3H 7) 3 P(SiR 3)(SiH 3)(Si 2H 5),P(SiR 3)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 2H 5),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(SiR 3)(SiH 3)(Si 2H 5),As(SiR 3)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(SiR 3)(SiH 3)(Si 2H 5),Sb(SiR 3)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),或Sb(Si 2H 5)(Si 3H 7) 2; •   R選自Me,Et,nPr,iPr,tBu,nBu,iBu或sBu; •   當R = Me時,含Si和V族元素的先質選自P(TMS)(SiH 3) 2,P(TMS) 2(SiH 3),P(TMS)(Si 2H 5) 2,P(TMS) 2(Si 2H 5),P(TMS)(Si 3H 7) 2,P(TMS) 2(Si 3H 7),P(Si 3H 7) 3,As(TMS)(SiH 3) 2,As(TMS) 2(SiH 3),As(TMS)(Si 2H 5) 2,As(TMS) 2(Si 2H 5),As(Si 2H 5) 3,As(TMS)(Si 3H 7) 2,As(TMS) 2(Si 3H 7),As(Si 3H 7) 3,Sb(TMS)(SiH 3) 2,Sb(TMS) 2(SiH 3),Sb(TMS)(Si 2H 5) 2,Sb(TMS) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(TMS)(Si 3H 7) 2,Sb(TMS) 2(Si 3H 7),Sb(Si 3H 7) 3 P(TMS)(SiH 3)(Si 2H 5),P(TMS)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(TMS)(SiH 3)(Si 2H 5),As(TMS)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(TMS)(SiH 3)(Si 2H 5),Sb(TMS)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),或Sb(Si 2H 5)(Si 3H 7) 2; •   含Si和V族元素的先質的純度 > 93%; •   含Si和V族元素的先質的純度 > 95%;以及 •   含Si和V族元素的先質的純度 > 98%。
還揭露了一種用於旋塗膜的濕法成膜組成物,其包含所揭露的具有至少5個Si原子的式 (I)、(II)、或 (III) 的含Si和V族元素的先質。所揭露的濕法成膜組成物可以包括以下方面中的一項或多項: 選擇具有最低揮發性的式 (I)、(II)、或 (III) 的含Si和V族元素的先質以在退火步驟期間保留在旋膜中並原位分解; 進一步包含共反應物,該共反應物係具有5個或多於5個矽原子的聚矽烷或聚矽烷的混合物; 聚矽烷為環戊矽烷; 聚矽烷為環己矽烷; 進一步包含溶劑; 旋膜係無定形或多晶Si膜; •   旋膜係無定形和多晶Si膜; •   旋膜係無定形Si膜;以及 •   旋膜係多晶Si膜;
還揭露了一種在基材上形成摻雜V族元素的外延Si膜之方法,該方法包括: 將基材保持在為沈積溫度或接近沈積溫度的預定溫度; 將基材暴露於成膜組成物的蒸氣與共反應物聚矽烷的蒸氣的混合物,該成膜組成物包含含Si和V族元素的先質;以及 藉由CVD製程使該含Si和V族元素的先質的至少一部分沈積到該基材上以在該基材上形成該摻雜V族元素的外延Si膜, 其中含Si和V族元素的先質具有以下通式 (SiR 3) 3-mA(Si aH 2a+1) m、 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 其中 A係選自P、As、Sb或Bi的V族元素; a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基; 前提係如果A = As,則排除As(SiH 3) 3;如果A = P,則排除P(SiH 3) 3,P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS);並且如果A = Sb,則排除Sb(SiH 3) 3。所揭露之方法可以包括以下方面中的一項或多項: •   混合物包含選自Ar,He,N 2,H 2或其組合的稀釋氣體; •   共反應物聚矽烷係鍺烷; •   預定溫度範圍為從200°C至1000°C; •   沈積溫度範圍為從200°C至1000°C;以及 •   當A為P時,摻雜V族元素的外延Si膜為P摻雜外延Si膜。 符號和命名法
以下詳細說明和申請專利範圍利用了本領域中通常眾所周知的許多縮寫、符號和術語。儘管定義典型地以每個首字母縮略詞的第一例提供,如,不銹鋼(SS)。某些縮寫、符號和術語貫穿以下說明書及申請專利範圍使用並且包括以下項。
以下詳細說明和申請專利範圍利用了本領域中通常眾所周知的許多縮寫、符號和術語。
如本文所使用,不定冠詞「一個/一種(a或an)」意指一個/一種或多個/多種。
如本文所使用,在正文或申請專利範圍中的「約(about)」或「大約(around/approximately)」意指所述值的 ± 10%。
如本文所使用,在正文或申請專利範圍中的「室溫」意指從大約20°C至大約25°C。
如本文所使用,在正文或請求項中的「大氣壓力」意指大約1 atm。
術語「基材」係指在其上進行製程的一種或多種材料。基材可以是指具有在其上進行製程的一種或多種材料的晶圓。基材可以是在半導體、光伏、平板或LCD-TFT裝置製造中使用的任何合適的晶圓。基材還可具有從先前的製造步驟已經沈積在其上的一個或多個不同材料層。例如,晶圓可以包括矽層(例如,結晶的、無定形的、多孔的等)、含矽層(例如,SiO 2、SiN、SiON、SiCOH等)、含金屬層(例如,銅、鈷、釕、鎢、鉑、鈀、鎳、釕、金等)或其組合。此外,基材可以是平面的或圖案化的。基材可以是有機圖案化的光刻膠膜。基材可以包括用作MEMS、3D NAND、MIM、DRAM或FeRam裝置應用中的介電材料(例如,基於ZrO 2的材料、基於HfO 2的材料、基於TiO 2的材料、基於稀土氧化物的材料、基於三元氧化物的材料等)的氧化物層或用作電極的基於氮化物的膜(例如,TaN、TiN、NbN)。熟悉該項技術者將認識到,本文所使用的術語「膜」或「層」係指鋪設或鋪展在表面上的一定厚度的某種材料並且該表面可為溝槽或線。在整個說明書和申請專利範圍中,晶圓及其上的任何相關層被稱為基材。
術語「晶圓」或「圖案化的晶圓」係指在基材上具有膜的疊層並且至少最頂部的膜具有已經在沈積含銦膜之前的步驟中產生的形貌特徵的晶圓。
術語「縱橫比」係指溝槽(或孔)的高度與溝槽的寬度(或孔的直徑)的比率。
在本文中需注意,術語「膜」和「層」可以互換使用。應理解的是,膜可以對應於層或者與層相關,並且該層可以是指該膜。此外,熟悉該項技術者將認識到,本文所使用的術語「膜」或「層」係指鋪設或鋪展在表面上的一定厚度的某種材料並且該表面可在從與整個晶圓一樣大至與溝槽或線一樣小的範圍內。
在本文中需注意,術語「孔(aperture)」、「通孔(via)」、「孔洞(hole)」和「溝槽(trench)」可以互換地用於指半導體結構中形成的開口。
如本文所使用,縮寫「NAND」係指「與非(Negative AND或Not AND)」門;縮寫「2D」係指平面基材上的2維閘極結構;縮寫「3D」係指3維或垂直閘極結構,其中閘極結構在垂直方向上堆疊。
在本文中需注意,術語「沈積溫度」和「基材溫度」可以互換使用。應理解的是,基材溫度可以對應於沈積溫度或者與沈積溫度相關,並且沈積溫度可以是指基材溫度。
在本文中需注意,當先質在室溫和環境壓力下呈氣態時,術語「先質」和「沈積化合物」和「沈積氣體」可以互換使用。應理解的是,先質可以對應於沈積化合物或沈積氣體,或者與沈積化合物或沈積氣體相關,並且沈積化合物或沈積氣體可以是指先質。
本文中使用元素週期表的元素的標準縮寫。應理解,可藉由該等縮寫提及元素(例如,Si係指矽,N係指氮,O係指氧,C係指碳,H係指氫,Hal係指鹵素(為F、Cl、Br、I))。
提供了由化學文摘服務社(Chemical Abstract Service)指定的唯一的CAS登記號(即,「CAS」)以識別所揭露的特定分子。
如本文所使用,術語「烴」係指僅含有碳和氫原子的飽和或不飽和的官能團。
請注意,含矽膜,如SiN和SiO,遍及本說明書和申請專利範圍列出,而不提及其恰當的化學計量學。含矽膜可以包括純矽(Si)層,如晶體Si、多晶矽(p-Si或多晶Si)、或無定形矽;氮化矽(Si kN l)層;或氧化矽(Si nO m)層;或其混合物,其中k、I、m、以及n範圍從0.1至6(包括端點)。較佳的是,氮化矽為Si kN l,其中k和I各自範圍從0.5至1.5。更較佳的是,氮化矽為Si 3N 4。在本文中,以下描述中的SiN可以用於表示含Si kN l的層。較佳的是,氧化矽為Si nO m,其中n範圍從0.5至1.5並且m範圍從1.5至3.5。更較佳的是,氧化矽為SiO 2。在本文中,以下描述中的SiO可以用於表示含Si nO m的層。含矽膜還可以是基於氧化矽的介電材料,如基於有機物或基於氧化矽的低 k介電材料,如應用材料公司(Applied Materials, Inc.)的Black Diamond II或III材料(具有式SiOCH)。含矽膜還可以包括Si aO bN c,其中a、b、c範圍從0.1至6。含矽膜還可以包括來自第III、IV、V和VI族的摻雜劑,如B、C、P、As和/或Ge。
請注意,所沈積的膜或層(如氧化矽或氮化矽)可以在整個說明書及申請專利範圍中在不提及其適當化學計量(即SiO、SiO 2、Si 3N 4)的情況下列舉。該等層可以包括純(Si)層、碳化物(Si oC p)層、氮化物(Si kN l)層、氧化物(Si nO m)層或其混合物,其中k、l、m、n、o和p範圍從1至6(包括端點)。例如,氧化矽為Si nO m,其中n範圍從0.5至1.5並且m範圍從1.5至3.5。更較佳的是,氧化矽層係SiO或SiO 2。氧化矽層可以是基於氧化矽的介電材料,如基於有機或基於氧化矽的低k介電材料,如應用材料公司(Applied Materials, Inc.)的黑鑽石(Black Diamond)II或III材料。可替代地,任何參考的含矽層可以是純矽。任何含矽層也可包括摻雜劑,如B、C、P、As和/或Ge。
如本文所使用,縮寫「Me」係指甲基;縮寫「Et」係指乙基;縮寫「Pr」係指任何丙基(即,正丙基或異丙基);縮寫「iPr」係指異丙基;縮寫「Bu」係指任何丁基(正丁基、異丁基、三級丁基、二級丁基);縮寫「tBu」係指三級丁基;縮寫「sBu」係指二級丁基;縮寫「iBu」係指異丁基;縮寫「Ph」係指苯基;縮寫「Am」係指任何戊基(異戊基、二級戊基、三級戊基);縮寫「Cy」係指環烴基(環丁基、環戊基、環己基等);縮寫「Ar」係指芳香族烴基(苯基、二甲苯基、均三甲苯基(mesityl)等);TMS係指三甲基矽基-SiMe 3基團。
在本文中範圍可以表述為從約一個具體值和/或到約另一個具體值。當表述此種範圍時,應理解的是另一個實施方式係從一個具體值和/或到另一個具體值、連同在所述範圍內的所有組合。本文中所述之任何及所有範圍包括其端點(即,x = 1至4或x在從1至4範圍內包括x = 1、x = 4及x = 其間的任何數值),不論是否使用術語「包括端點」。
在本文中對「一個實施方式」或「實施方式」的提及意指關於該實施方式描述的特定特徵、結構或特性可以包括在本發明之至少一個實施方式中。說明書中不同地方出現的短語「在一個實施方式中」不一定全部係指同一個實施方式,單獨的或可替代的實施方式也不一定與其他實施方式互斥。上述情況也適用於術語「實施」。
如本申請所使用,詞語「示例性的」在本文中用於意指充當實例、例子或例證。本文描述為「示例性的」的任何方面或設計並不一定被解釋為優於或有利於其他方面或設計。相反,使用詞語示例性的旨在以具體的方式描述概念。
另外,術語「或」旨在意指包括性的「或」而不是排他性的「或」。也就是說,除非另有說明或從上下文中清楚,否則「X採用A或B」旨在意指任何自然的包括性排列。也就是說,如果X採用A;X採用B;或者X採用A和B兩者,則在任何前述情況下均滿足「X採用A或B」。此外,如本申請和所附請求項中所使用的冠詞「一個/一種(a/an)」通常應解釋為意指「一個/一種或多個/多種」,除非另有說明或從上下文中清楚地指示單數形式。
揭露了含V族元素的成膜組成物,其包含含V族元素的先質,該等先質含有無機矽基和聚矽基,合成該等成膜組成物之方法和使用該等成膜組成物沈積含V族元素膜之方法。
所揭露的含V族元素的先質具有以下通式: (SiR 3) 3-mA(Si aH 2a+1) m、          (I) (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p(II) A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1)      (III) 其中A係選自P、As、Sb或Bi的V族元素; a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3;並且 R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基; 前提係如果A = As,則排除As(SiH 3) 3;如果A = P,則排除P(SiH 3) 3,P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS);並且如果A = Sb,則排除Sb(SiH 3) 3
所揭露的含V族元素的先質含有三矽基,其可以是-SiH(SiH 3) 2(異三矽基)或-SiH 2-SiH 2-SiH 3(正三矽基)。
示例性的所揭露的先質包括P(SiH 3) 3,P(SiR 3)(SiH 3) 2,P(SiR 3) 2(SiH 3),P(SiR 3)(Si 2H 5) 2,P(SiR 3) 2(Si 2H 5),P(Si 2H 5) 3,P(SiR 3)(Si 3H 7) 2,P(SiR 3) 2(Si 3H 7),P(Si 3H 7) 3,As(SiH 3) 3,As(SiR 3)(SiH 3) 2,As(SiR 3) 2(SiH 3),As(SiR 3)(Si 2H 5) 2,As(SiR 3) 2(Si 2H 5),As(Si 2H 5) 3,As(SiR 3)(Si 3H 7) 2,As(SiR 3) 2(Si 3H 7),As(Si 3H 7) 3,Sb(SiH 3) 3,Sb(SiR 3)(SiH 3) 2,Sb(SiR 3) 2(SiH 3),Sb(SiR 3)(Si 2H 5) 2,Sb(SiR 3) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(SiR 3)(Si 3H 7) 2,Sb(SiR 3) 2(Si 3H 7),Sb(Si 3H 7) 3 P(SiR 3)(SiH 3)(Si 2H 5),P(SiR 3)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 2H 5),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(SiR 3)(SiH 3)(Si 2H 5),As(SiR 3)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(SiR 3)(SiH 3)(Si 2H 5),Sb(SiR 3)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),或Sb(Si 2H 5)(Si 3H 7) 2,其中R選自Me,Et,nPr,iPr,tBu,nBu,iBu或sBu。
較佳的是,當R為甲基、-CH 3時,所揭露的含V族元素的先質為A(Si aH 2a+1) m(Si(CH 3) 3) 3-m或A(Si nH 2n+1) m(TMS) 3-m,其中a = 1至6;m = 1至3;A係選自P、As、Sb或Bi的V族元素;前提係如果A = As,則a > 1;A = P,則排除P(SiH 3) 2(TMS);並且A = Sb,則排除Sb(SiH 3) 3。當R = Me時,示例性的所揭露的先質包括P(SiH 3) 3,P(TMS)(SiH 3) 2,P(TMS) 2(SiH 3),P(TMS)(Si 2H 5) 2,P(TMS) 2(Si 2H 5),P(Si 2H 5) 3,P(TMS)(Si 3H 7) 2,P(TMS) 2(Si 3H 7),P(Si 3H 7) 3,As(SiH 3) 3,As(TMS)(SiH 3) 2,As(TMS) 2(SiH 3),As(TMS)(Si 2H 5) 2,As(TMS) 2(Si 2H 5),As(Si 2H 5) 3,As(TMS)(Si 3H 7) 2,As(TMS) 2(Si 3H 7),As(Si 3H 7) 3,Sb(SiH 3) 3,Sb(TMS)(SiH 3) 2,Sb(TMS) 2(SiH 3),Sb(TMS)(Si 2H 5) 2,Sb(TMS) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(TMS)(Si 3H 7) 2,Sb(TMS) 2(Si 3H 7),Sb(Si 3H 7) 3 P(TMS)(SiH 3)(Si 2H 5),P(TMS)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 2H 5),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(TMS)(SiH 3)(Si 2H 5),As(TMS)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(TMS)(SiH 3)(Si 2H 5),Sb(TMS)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),Sb(Si 2H 5)(Si 3H 7) 2
較佳的是,當n = 2至3時,所揭露的含V族元素的先質選自由以下組成之群組:A(Si 2H 5)(SiR 3) 2,A(Si 3H 7)(SiR 3) 2,A(Si 2H 5) 2(SiR 3),A(Si 3H 7) 2(SiR 3),A(Si 2H 5) 3和A(Si 3H 7) 3,其中A係選自P,As,Sb或Bi的V族元素;R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基;前提係如果A = P,則排除P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS)。
較佳的是,當m = 3時,所揭露的含V族元素的先質為A(Si aH 2a+1) 3,其中a = 1至6;A係選自P、As、Sb或Bi的V族元素;R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基;前提係如果A = As,則n > 1;如果A = P,則排除P(Si 2H 5) 3;並且如果A = Sb,則排除Sb(SiH 3) 3
所揭露的含V族元素的先質可以是P(SiH 3) 3,P(TMS)(SiH 3) 2,P(TMS) 2(SiH 3),P(TMS)(Si 2H 5) 2,P(TMS) 2(Si 2H 5),P(Si 2H 5) 3,P(TMS)(Si 3H 7) 2,P(TMS) 2(Si 3H 7),P(Si 3H 7) 3,P(TMS)(SiH 3)(Si 2H 5),P(TMS)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 2H 5),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),或P(Si 2H 5)(Si 3H 7) 2
所揭露的用於合成式 (I) 至 (III) 所示的所揭露的含V族元素的先質的合成方法包括鹵代矽基或鹵代聚矽基化合物(X-Si nH 2n+1)與A(A = As、P、Sb或Bi)的三(三烴基矽基)衍生物,A(SiR 3) 3之間的脫鹵矽烷化(DXS)路徑,根據以下一般反應: A(SiR 3) 3+ m X-Si aH 2a+1→ A(Si aH 2a+1) m(SiR 3) 3-m+ m X-SiR 3(IV) 其中a = 1至6; m = 1至3,較佳的是m = 3; A = As、P、Sb、Bi; X = Cl、Br、I;並且 R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基。
所揭露的合成方法包括以下步驟:使A(SiR 3) 3與鹵代(聚)矽烷(X-Si aH 2a+1)視需要在添加溶劑的情況下接觸,其中鹵代(聚)矽烷與A(SiR 3) 3的比率範圍為從1比100當量至100比1當量、較佳的是從1比20當量至20比1當量,較佳的是,鹵代(聚)矽烷係氯代(聚)矽烷。溶劑對兩種反應物A(SiR 3) 3和鹵代(聚)矽烷(X-Si aH 2a+1)係惰性的,選自烷烴或芳香族溶劑,如戊烷、己烷、庚烷、苯、甲苯、二甲苯等,或鹵代烷基矽烷,或其混合物,並且相對於反應物或起始材料如A(SiR 3) 3為0-99 wt%。可以優化鹵代(聚)矽烷與A(SiR 3) 3的最佳比率,以達到最高產率的目標先質。對於a = 1或2的反應 (VI),可以使用密封歧管藉由直接液體添加或純蒸氣冷凝來添加純淨的或在溶劑中的一氯矽烷(MCS,ClSiH 3)或一氯二矽烷(MCDS,ClSiH 2SiH 3)。然後將反應物的混合物攪拌一段時間,典型地為1至168小時,以形成反應混合物。然後可以藉由溶劑的汽提和/或分餾或本領域已知的其它合適手段從反應混合物中分離產物。然後可以例如藉由分批或連續蒸餾來純化分離的產物,以達到所希望的產物純度。
這裡,鹵代(聚)矽烷與A(SiR 3) 3的比率範圍為從1 :99至99 :1,較佳的是從1 :20至20 :1,更較佳的是從1 :10至10 :1,甚至更較佳的是從1 :5至5 :1。反應維持在範圍從-20°C至150°C、較佳的是從室溫至100°C的溫度下。合成時間跨度為從1至168小時,較佳的是從12至96小時,更較佳的是從24至48小時,這取決於反應條件,如反應溫度。
可替代地,所揭露的合成方法可以逐步進行,並且各種大小的矽基可以像兩步或三步反應那樣順序被取代。
所揭露的用鹵代矽基或鹵代聚矽基化合物和A的三(三烴基矽基)衍生物的兩步反應具有以下一般反應: A(SiR 3) 3+ n X-Si aH 2a+1→ (SiR 3) 3-nA(Si aH 2a+1) n+ n X-SiR 3(V) (SiR 3) 3-nA(Si aH 2a+1) n+ p X-(Si bH 2b+1) → A(Si aH 2a+1) 3-n-p(Si bH 2b+1) p+ p X-SiR 3,    (VI) 其中a = 1至6,b = 1至6; n = 1至2,p = 1至2,n + p = 2至3; A = As、P、Sb、Bi; X = Cl、Br、I;並且 R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基。
所揭露的用鹵代矽基或鹵代聚矽基與A的三(三烴基矽基)衍生物的三步反應具有以下一般反應: A(SiR 3) 3+ X-Si aH 2a+1→ (SiR 3) 2A(Si aH 2a+1) + X-SiR 3(VII) (SiR 3) 2A(Si aH 2a+1) + X-Si bH 2b+1→ (SiR 3)A(Si aH 2a+1)(Si bH 2b+1) + X-SiR 3(VIII) (SiR 3)A(Si aH 2a+1)(Si bH 2b+1) + X-Si bH 2b+1→ A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) + X-SiR 3(IX) 其中a = 1至6,b = 1至6,c = 1至6; A = As、P、Sb、Bi; X = Cl、Br、I;並且 R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基。
可替代地,所揭露的合成方法可以以混合物或以一鍋法進行,並且可以在所有起始材料混合在一起的混合物中取代各種大小的矽基。
所揭露的用鹵代矽基或鹵代聚矽基與A的三(三烴基矽基)衍生物的混合反應具有以下一般反應: A(SiR 3) 3+ x X-Si aH 2a+1+ y X-Si bH 2b+1+ z X-Si cH 2c+1→ A(Si aH 2a+1) x(Si bH 2b+1) y(Si cH 2c+1) z(SiR 3) (3-x-y-z)+ (x + y + z) X-SiR 3(X) 其中a = 1至6,b = 1至6,c = 1至6; x = 0至3,y =0至3,z = 0至3,x + y + z = 1至3; A = As、P、Sb、Bi; X = Cl、Br、I;並且 R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基。
在一個實施方式中,所揭露的用於合成式 (IV) 至 (X) 所示的所揭露的含V族元素的先質的合成方法係氯矽基化合物Cl-Si aH 2a+1、Cl-Si bH 2b+1和/或Cl-Si aH 2a+1與A(A = As、P、Sb或Bi)的三(三烴基矽基)衍生物,A(SiR 3) 3(R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基)之間的脫氯矽基化(DCS)路徑。
所揭露的合成反應可以以分批模式進行。在這種情況下,A(SiR 3) 3可以在鹵代(聚)矽烷(例如,氯代(聚)矽烷)上添加,或反之亦然。當僅希望部分取代A上的-SiR 3基團時,較佳的是在A(SiR 3) 3上添加鹵代(聚)矽烷。
所揭露的合成反應可以以連續模式進行,其中各反應物的流被連續進料並反應。可以使用連續混合系統來説明接觸反應物。該反應可能不會產生任何固體副產物,然而,在合成之後可以增加過濾步驟以除去潛在的固體副產物,以防萬一。可以連續除去反應的一種或多種揮發性副產物以驅動反應向完成或向多步轉化進行。這係所揭露的合成方法的獨特優點,其形成的固體副產物很少或沒有。應當理解,用溴代(聚)矽烷代替氯代(聚)矽烷反應物不會顯著偏離。由於可用性的原因,氯化矽烷更方便。
所揭露的合成方法具有以下獨特的優點。 •   容易獲得的起始材料:現有的合成方法使用反應物,如KPH 2和P(SnMe 3) 3,它們不容易商購,或者需要新鮮製備。相比之下,所揭露的合成方法使用P(TMS) 3、As(TMS) 3或Sb(TMS) 3作為起始材料,其可以高純度大量商購。 •   氯矽烷也比其Br對應物更容易獲得。SiH 3Cl(MCS)可作為商業產品獲得。例如,Si 2H 5Cl(MCDS)和Si 3H 7Cl(MCTS)可以根據Cradock等人(J. Chem. Soc. [英國化學會誌] Dalton Trans. [道耳頓會刊], 1975, 1624 – 1628)合成。 •   如果僅引入一種類型的聚矽基,則所揭露的合成方法可以是一步合成。現有的合成方法大多為多步反應,其為單或二-矽基膦、胂等,如SiH 3PH 2 Si 2H 5PH 2和LiAlH[P(SiH 3) 2] 3,需要在一個或多個第一步中製備,隨後分離。相比之下,所揭露的合成方法係一步且一反應器的製程,並且在合成過程中不一定需要分離副產物。 •   所揭露的合成方法具有溫和的反應條件。由於起始材料的不穩定性,現有的合成方法大多要求反應在低溫下進行,並適當控制反應物的添加速率和/或混合物的解凍速率。相比之下,所揭露的合成方法在環境溫度至稍微升高的溫度下進行,如範圍從室溫至100°C的溫度。 •   所揭露的合成方法具有較少的副反應和高產率。所揭露的DHS路徑由於較少的副反應而提供了相對高的產率,這有利於隨後的分離和純化過程。 •   所揭露的合成方法幾乎沒有或沒有鹽的形成,已知鹽的形成有利於類似分子的分解,如其具有三矽基胺(TSA)主鏈的基於N的類似物。
藉由所揭露的合成方法合成的所揭露的含V族元素的成膜先質可用於藉由CVD、PECVD、ALD、PEALD、可流動CVD、HW-CVD、外延等氣相沈積在矽中具有V族元素摻雜劑的含Si膜。
P和As化合物,尤其是其無機衍生物,如As(Si xH y) 3、P(Si xH y) 3(其中每個矽基部分處的x和y可以相同或不同,並且y = 2x + 1)可以方便地用作矽中的摻雜劑。在一些應用中,強烈需要摻雜超過摻雜劑在矽中的溶解度極限,例如以降低半導體裝置中的接觸電阻。因為聚矽烷和三矽烷能夠在低於大約450°C的溫度下以比矽烷更快的速率沈積矽(例如,無定形矽或晶體矽)。所揭露的具有聚矽基配位基而不是矽基配位基的含V族元素的先質也將導致在較低溫度下沈積並促進摻雜劑的包含。
在典型地由不銹鋼、碳鋼或鋁製成的高純度容器中提供所揭露的含V族元素的先質,高純度容器已經預先乾燥至 < 100 ppb H 2O殘留,並且可以視需要鈍化以限制其中的先質隨時間分解。鈍化過程通常包括將高純度容器暴露於矽基化劑,在這種情況下,矽基化劑可以是目標先質本身、或矽烷或聚矽烷。
所揭露的含V族元素的先質的純度較佳的是大於90% w/w(即,93.0% w/w至100.0% w/w),更較佳的是大於95% w/w(即,98.0% w/w至100.0% w/w),並且更較佳的是大於98% w/w(即,99.0% w/w至大約99.999% w/w或99.0% w/w至100.0% w/w),其中金屬雜質在ppb範圍內,並且含氧雜質在ppm至亞ppm範圍內,與用於類似應用的其它分子一致。雜質的總量較佳的是低於5% w/w(即,0.0% w/w至5.0% w/w),較佳的是低於2% w/w(即,0.0% w/w至2.0% w/w),並且更較佳的是低於1% w/w(即,0.0% w/w至1.0% w/w)。可以藉由重結晶、昇華、蒸餾和/或使氣體、液體藉由合適的吸附劑(如分子篩)來純化所揭露的含V族元素的先質。
所揭露的含V族元素的先質可以以純淨形式或以與合適的溶劑如乙苯、二甲苯、均三甲苯、萘烷、癸烷、十二烷的混合物形式或以聚矽烷或鹵代烷基矽烷形式提供。所揭露的先質可以以不同的濃度存在於溶劑中。
當在範圍從0°C至大約150°C的容器溫度下先質的蒸氣壓典型地 > 50托,較佳的是 > 300托時,可以在不存在載氣的情況下將所揭露的含V族元素的先質的蒸氣以純淨形式輸送到加工腔室中。
對於所揭露的具有低蒸氣壓的含V族元素的先質,所揭露的含V族元素的先質的蒸氣與載氣一起在鼓泡器、蒸氣抽吸器或直接液體注入系統中被供給到加工腔室。載氣可以包括但不限於Ar,He,N 2,H 2或其組合。用載氣鼓泡還可以除去先質中存在的任何溶解氧。然後將載氣和先質作為蒸氣引入加工腔室中。加工腔室通常保持在低於大氣壓、較佳的是在從0.01至500托的範圍內、更較佳的是在從1至100托的範圍內的壓力下。
如果需要,則可以將含有所揭露的含V族元素的先質的容器加熱或冷卻至允許先質具有足夠和適當的蒸氣壓的溫度。可將容器維持在例如大約0°C至大約200°C的範圍內的溫度下。熟悉該項技術者認識到可以已知方式調節容器溫度以控制經汽化先質的量。
加工腔室可以是其中發生沈積方法的裝置內的任何封閉腔室,如但不限於平行板型反應器、冷壁型反應器、熱壁型反應器、單晶圓反應器、多晶圓反應器、在適於使先質反應並形成沈積膜的條件下的其它類型的沈積系統。熟悉該項技術者將認識到,任何該等加工腔室均可以用於ALD或CVD沈積製程。
加工腔室含有一個或多個其上將沈積膜的基材。基材一般定義為在其上進行製程的材料。基材可以是在半導體、光伏、平板、LCD-TFT裝置製造中使用的任何適合的基材。合適的基材的實例包括晶圓,如矽、二氧化矽、玻璃、GaAs晶圓。晶圓可以具有來自先前的製造步驟的沈積在其上的一個或多個不同材料層。例如,晶圓可以包括電介質層或3D NAND堆疊。此外,晶圓可包括矽層(結晶的、無定形的、多孔的等)、氧化矽層、氮化矽層、氮氧化矽層、碳摻雜的氧化矽(SiCOH)層、金屬、金屬氧化物、金屬氮化物層(Ti、Ru、Ta等)、及其組合。另外,晶圓可以包括銅層、貴金屬層(例如,鉑、鈀、銠、金)。晶圓可以包括阻擋層,如錳、氧化錳等。還可以使用塑膠層。層可以是平面的或圖案化的。當在基材上形成圖案化層時,所揭露的氣相沈積製程可以將層直接沈積在晶圓上,或者直接沈積在晶圓頂部的一個或多個層上。圖案化層可以是兩個特定層(如在3D NAND中使用的SiO和SiN)的交替層。
基材最終應用不限於本發明,但是此技術可以特別有益於以下類型的基材:矽晶圓、玻璃晶圓和玻璃面板、珠、粉末和奈米粉末、整體式多孔介質、印刷電路板、塑膠片材等。示例性粉末基材包括用於可再充電電池技術中的粉末。非限制數量的粉末材料包括NMC(鋰鎳錳鈷氧化物)、LCO(鋰鈷氧化物)、LFP(磷酸鐵鋰)、和其他電池陰極材料。
加工腔室內的溫度和壓力保持在適於氣相沈積(如ALD和CVD)的條件下。換言之,在將汽化的所揭露的含V族元素引入腔室內之後,腔室內的條件使得先質的至少一部分沈積到基材上以形成層。例如,如根據沈積參數所要求的,反應器中的壓力或沈積壓力可保持在約10 -3托與約500托之間、較佳的是在約10 -2托與500托之間、更較佳的是在約1托與100托之間。同樣地,反應器中的溫度或沈積溫度可以保持在室溫與約1000°C之間、較佳的是在200°C與800°C之間。熟悉該項技術者將認識到,「使先質的至少一部分沈積」意指先質的一些或全部與基材反應、黏附至基材。
實現最佳膜生長的溫度可以藉由控制基材支架的溫度來控制。用於加熱基材的裝置係本領域中已知的。基材被加熱至足夠溫度以便以足夠的生長速率並且以所希望的物理狀態和組成獲得所希望的膜。基材可以被加熱至的非限制性示例性溫度範圍包括從大約200°C至大約800°C。當使用電漿沈積製程時,沈積溫度較佳的是小於500°C。可替代地,當進行熱處理時,沈積溫度的範圍可以從200°C至大約800°C。
可替代地,可以將基材加熱到足夠的溫度,以獲得足夠生長速率的並且具有所希望的物理狀態和組成的所希望的沈積膜。一個或多個基材的溫度可以保持在範圍從大約200°C至1000°C、較佳的是在200°C與800°C之間、並且更較佳的是在250°C與600°C之間的溫度。
更具體地,除了所揭露的含V族元素的先質之外,還可以將其它先質或共反應物引入加工腔室中,如但不限於H 2、矽烷、聚矽烷(Si 2至Si 6,對於Si 5和Si 6係直鏈、支鏈或環狀的)、烷基矽烷如單甲基矽烷、鹵代矽烷(Cl-SiH 3、Cl 2SiH 2、I 2-SiH 2、Cl 3SiH、SiCl 4等)和多鹵代聚矽烷(Si 2Cl 6、Si 2HCl 5、Cl-Si 2H 5等)、鍺烷、氯鍺烷、乙鍺烷、多鍺烷、鹵鍺烷、膦、硼烷如B 2H 6、乙硼烷、含鹵化物的氣體(HCl、Cl 2、HBr等);含氮氣體(NH 3、N 2、N 2/H 2、和NH 3、N 2和NH 3、NH 3和N 2H 4、NO、N 2O、胺、三矽基胺、矽氮烷等或其組合);含氧氣體(O 2,O 3,H 2O,H 2O 2,NO,N 2O,NO 2,O自由基、醇、矽烷醇、胺基醇、羧酸、多聚甲醛等,及其組合)。
此外,可將稀釋氣體添加到該製程中,且該稀釋氣體選自Ar、He、N 2、H 2或其組合。
此外,可以藉由電漿處理共反應物,以便將先質或反應物分解成其自由基形式,當用電漿處理時,可以使用H 2、N 2和O 2中的至少一種或惰性氣體(He、Ar、Kr、Xe),這取決於目標膜的組成。電漿源可以是N 2電漿、N 2/He電漿、N 2/Ar電漿、NH 3電漿、NH 3/He電漿、NH 2/AR電漿、He電漿、Ar電漿、H 2電漿、H 2/He電漿、H 2/有機胺電漿、及其混合物。例如,可以以範圍從約10 W至約1000 W、較佳的是從約50 W至約500 W的功率產生電漿。可以在反應器自身內產生或存在電漿。可替代地,電漿通常可以位於遠離反應器的位置,例如在遠端定位的電漿系統中。熟悉該項技術者將認識到適用於此種電漿處理之方法和設備。
例如,可以將共反應物引入到直接電漿反應器(該反應器在反應腔室中產生電漿)中,以在加工腔室中產生經電漿加工的反應物。示例性直接電漿反應器包括由垂恩技術公司(Trion Technologies)生產的Titan™ PECVD系統。在電漿加工之前,可以將共反應物引入並保持在加工腔室中。可替代地,電漿加工可以與先質或反應物的引入同時發生。原位電漿典型地是在噴淋頭與基材固持器之間產生的13.56 MHz RF電感耦合電漿。根據是否發生正離子碰撞,基材和噴淋頭可以是被供電電極。原位電漿發生器中典型地施加的功率係從大約30 W至大約1000 W。較佳的是,在所揭露之方法中使用從大約30 W至大約600 W的功率。更較佳的是,功率範圍從大約100 W至大約500 W。使用原位電漿的共反應物的解離典型地小於對於相同功率輸入使用遠端電漿源實現的,並且因此在反應物解離中不如遠端電漿系統高效,這可能有利於將膜沈積在容易被電漿損壞的基材上。
可替代地,經電漿加工的共反應物可以在加工腔室外產生,例如,在進入加工腔室之前處理共反應物的遠端電漿。
氣相沈積製程對於某些表面可以是選擇性的或非選擇性的。
氣相沈積製程可以是熱驅動的,或者藉由電漿活化、光活化、微波活化或其它合適的手段以活化分子和生長製程來增強。
所揭露的含V族元素的成膜組成物可用於使用熟悉該項技術者已知的任何沈積方法沈積膜。合適的氣相沈積方法的實例包括CVD和ALD。示例性CVD方法包括熱CVD、電漿增強的CVD(PECVD)、脈衝CVD(PCVD)、低壓CVD(LPCVD)、低於大氣壓的CVD(SACVD)、大氣壓CVD(APCVD)、熱絲CVD(HWCVD,還被稱為cat-CVD,其中熱絲充當用於沈積製程的能源),自由基結合的CVD、以及其組合。示例性ALD方法包括熱ALD、電漿增強ALD(PEALD)、空間ALD、熱絲ALD(HWALD)、自由基結合ALD及其組合。沈積方法較佳的是為熱壁或冷壁熱CVD,其能夠沈積包含Si和所要求保護的化合物的摻雜劑元素以及視需要的Ge和/或其它共摻雜劑的外延膜或無定形膜。
在ALD製程中,腔室內的ALD條件允許吸附或化學吸附在基材表面上的所揭露的含V族元素的成膜組成物反應並在基材上形成膜。在一些實施方式中,本申請人相信電漿處理共反應物可以為共反應物提供與所揭露的含V族元素的成膜組成物(PEALD)反應所需的能量。共反應物可以在引入腔室之前、之後用電漿進行處理。
可以將含V族元素的先質和共反應物順序地引入反應器(ALD)。在引入每種含V族元素的先質、任何附加先質與共反應物之間,可以用惰性氣體吹掃加工腔室。另一個實例係連續引入共反應物並藉由脈衝引入含V族元素的先質,同時用電漿順序活化共反應物,前提係含V族元素的先質和未活化的共反應物在腔室溫度和壓力條件(CW PEALD)下基本不反應。
所揭露的含V族元素的先質的每個脈衝可以持續範圍從約0.01秒至約120秒,可替代地從約1秒至約80秒,可替代地從約5秒至約30秒的時間段。也可以將共反應物脈衝至反應器中,在此類實施方式中,每種共反應物的脈衝可以持續範圍從約0.01秒至約120秒、可替代地從約1秒至約30秒、可替代地從約2秒至約20秒的時間段。在另一替代方案中,可以同時地從噴淋頭的不同部分噴射汽化的含V族元素的先質和共反應物,而不進行混合,保持若干晶圓的基座在該噴淋頭下旋轉(空間ALD)。
根據具體的製程參數,沈積可能進行不同的時間長度。通常,可使沈積持續長達產生具有必需特性的膜所必需的長度。根據具體的沈積製程,典型的膜厚度可以從幾埃至幾百微米、並且典型地從2至100 nm變化。沈積製程也可以進行獲得所希望的膜所必需的很多次。
所揭露的含V族元素的先質和共反應物可以同時(CVD)、順序(ALD)或其不同組合引入反應器中。在引入含V族元素的先質與引入共反應物之間,可以用惰性氣體(例如,N 2,Ar,Kr,Xe)吹掃反應器。可替代地,可以將共反應物和含V族元素的先質混合在一起以形成共反應物/化合物混合物,並且然後以混合物形式引入反應器(CVD、熱CVD或外延)。另一個實例係連續引入共反應物並藉由脈衝(脈衝CVD)引入所揭露的含V族元素的先質。
希望的膜厚度可以在從分子單層至10 μm的範圍內,較佳的是在1 nm與500 nm之間。
取決於共反應物,沈積製程可以含有除了在所要求保護的先質中存在的那些元素之外的其它元素,如Ge、Ga、C、B、Sn、Al、N、O、S、Se、Te、In、Zn、Cd、Hg。
使用所揭露的沈積方法的沈積膜可以是p摻雜的含Si和V族元素的膜。
使用所揭露的沈積方法的沈積膜可以是V族元素摻雜的矽層,如P摻雜的矽層。
所揭露的含V族元素的成膜組成物可用於含Si膜的液相膜沈積,包括但不限於旋塗、浸塗或噴塗。在這種情況下,將含有所揭露的化合物的配製物塗覆在基材上,隨後將其退火以產生薄膜。
所揭露的含V族元素的成膜組成物特別可用作旨在製備無定形和多晶Si膜的配製物的摻雜成分。這樣的配製物典型地包含大聚矽烷或具有 > 或 = 5個矽原子的聚矽烷的混合物(環戊矽烷、環己矽烷等)和溶劑。在用配製物塗覆基材之後,處理該等膜以產生矽膜。對於此類旋塗應用,所選擇的先質應當具有最低的揮發性,以在退火步驟期間保留在旋膜中並原位分解。具有至少5個Si原子的族的先質典型地適合於此類應用。
處理典型地包括加熱(200°C至1000°C)或/和光照/紫外線暴露。在此類配製物中,所揭露的含V族元素的化合物可以以0.01%至50%(按重量計)的比率添加,以產生摻雜的矽膜。 含有所揭露的含V族元素的先質的配製物也可用於藉由任何前述濕法塗覆方法,藉由在表面塗覆後使用氧化固化來製造摻雜的氧化矽膜。典型的氧化固化使用H 2O(蒸氣)、O 2、O 3、H 2O 2及其電漿(以及視需要的惰性氣體)中的至少一種,溫度範圍為室溫至1000°C。較佳的是,固化包括兩步製程:在範圍從室溫至250°C的溫度下的軟烘焙,以及在範圍從250°C至1000°C的溫度下的硬烘焙。硬烘焙步驟可以在有或沒有氧化氣體的情況下進行。對於該等濕法塗覆應用,有利的是使用完全無機且低揮發性的先質,較佳的是選自A(Si xH 2x+1) 3,其中x為2或更大,且A = As或P。 實例
提供以下非限制性實例以進一步說明本發明之實施方式。然而,該等實例不旨在包括所有實例,並且不旨在限制本文所述發明的範圍。 實例 1. (TMS) 2P(Si 3H 7)的合成
在20 mL小瓶中,在磁力攪拌下將3 g Cl-Si 3H 7MCTS添加到11 g P(TMS) 310 wt% 在己烷中的溶液中。將反應混合物在惰性氣氛下於室溫攪拌5天,在此期間所有P(TMS) 3轉化為大部分P(TMS) 2(Si 3H 7),產率為68%。 實例 2. P(Si 3H 7) 3的合成與表徵
在惰性氣氛下,在500 mL燒瓶中,將25 g P(TMS) 3溶解在200 g無水己烷中,隨後在磁力攪拌下緩慢添加75 g一氯三矽烷MCTS。將反應混合物在68°C下回流24小時,在此期間所有P(TMS) 3轉化為P(Si 3H 7) 3,產率為93%。 1係P(TMS) 3+ 7 MCTS在己烷中的反應混合物在68°C下24小時之GC層析圖。 實例 3. (TMS)P(SiH 3) 2的合成
將在己烷中的5 g P(TMS) 310 wt%裝入60 mL不銹鋼容器中。將2.6 g一氯矽烷MCS低溫捕集到容器中。將反應混合物解凍並在密封容器中於75°C以150 rpm振搖24小時,在此期間所有P(TMS) 3轉化為大部分P(TMS)(SiH 3) 2,產率為59%。 實例 4. P(SiH 3) 3的合成
將5.6 g P(TMS) 3裝入60 mL不銹鋼容器中。將6.9 g一氯矽烷MCS低溫捕集到容器中。將反應混合物解凍並在密封容器中於90°C以150 rpm振搖48小時,在此期間所有P(TMS) 3轉化為大部分P(SiH 3) 3,產率為85%。
將235 g的P(TMS) 3裝入到密封的600 mL帕爾(Parr)反應器中。將183 g一氯矽烷MCS低溫捕集到容器中。將反應混合物解凍並在90°C下以400 rpm劇烈攪拌44小時,在此期間所有P(TMS) 3轉化為大部分P(SiH 3) 3,產率為92%。 2係P(TMS) 3+ 3 MCS的反應混合物在90°C下44小時之GC層析圖。 實例 5. As(Si 3H 7) 3合成
將2 g As(TMS) 3和7.5 g MCTS的混合物在60 mL不銹鋼容器中在90°C下加熱並以150 rpm搖振48小時,僅產生As(Si 3H 7) 3,產率為75%。 3係As(TMS) 3+ 6MCTS的反應混合物(在實例5中)在60°C下24小時之GC層析圖。 實例 6. As(SiH 3)(TMS) 2的合成
將4.5 g As(TMS) 3裝入60 mL不銹鋼容器中。將8.5 g一氯矽烷MCS低溫捕集到容器中。將反應混合物解凍並在密封容器中在90°C下以150 rpm振搖24小時,在此期間獲得大部分的As(SiH 3)(TMS) 2,產率為52%。 實例 7. Sb(Si 3H 7)(TMS) 2的合成
Sb(Si 3H 7)(TMS) 2可以藉由使2 g Sb(TMS) 3和7 g MCTS在室溫下在強烈的磁力攪拌下反應一天而以72%的產率合成。高溫加熱(例如50°C或90°C)會導致分解。 實例 8. Sb(SiH 3)(TMS) 2的合成
將2.8 g Sb(TMS) 3裝入60 mL不銹鋼容器中。將9 g一氯矽烷MCS低溫捕集到容器中。將反應混合物解凍並在密封容器中在60°C下以150 rpm振搖24小時,在此期間獲得大部分Sb(SiH 3)(TMS) 2,產率為23%。 4 Sb(TMS) 3+ 10 MCS的反應混合物在60°C下24小時之GC層析圖。 實例 9. P(Si 2H 5) 3的合成
將己烷中的5 g P(TMS) 310 wt%裝入60 mL不銹鋼容器中。將2.2 g一氯二矽烷MCDS添加到容器中。將反應混合物在密封容器中在60°C下以150 rpm振搖24小時,在此期間形成P(Si 2H 5) 3,產率為22%。 實例 10. P(SiH 3) 3的分離
在手套箱內將380 g合成混合物添加到500 mL圓底燒瓶中,該合成混合物在TMS-Cl溶液中含有為26%的P(SiH 3) 3的產物分佈。然後進行標準分餾。在55°C-70°C下除去揮發物後,在環境壓力下收集主餾分,其氣相溫度在115°C-125°C範圍內,獲得75 g純度為98%的P(SiH 3) 3,總產率占76%。對於工業應用,期望進一步蒸餾或具有更高分離效率的蒸餾達到較佳的是 > 99%。 預示性實例 1:P(SiH 3) 2(Si 3H 7)的合成
將10 g在TMS-Cl中的30 wt%的P(TMS)(SiH 3) 2(例如,藉由實例3合成的)裝入60 mL不銹鋼容器中。向容器中添加2.0 g MCTS。將反應混合物在密封容器中在75°C下以150 rpm振搖24小時,在此期間形成作為主要產物的P(SiH 3) 2(Si 3H 7)。 預示性實例 2:P(SiH 3)(Si 2H 5) 2的合成
將118 g P(TMS) 3裝入密封的600 mL帕爾反應器中。將31 g一氯矽烷MCS低溫捕集到容器中。將反應混合物解凍並在75°C下以400 rpm劇烈攪拌24小時,在此期間所有P(TMS) 3轉化為大部分P(SiH 3)(TMS) 2
將10 g在TMS-Cl中約25 wt%的P(SiH 3)(TMS) 2裝入60 mL不銹鋼容器中。向容器中添加2.4g MCDS。將反應混合物在密封容器中在60°C下以150 rpm振搖40小時,在此期間形成作為主要產物的P(SiH 3)(Si 2H 5) 2預示性實例 3. 使用先質P(Si 3H 7) 3的P摻雜Si層的CVD
嘗試在Si(100)基材上沈積P摻雜Si層。將P(Si 3H 7) 3蒸氣以10 sccm的流速和大約在約1-20托的壓力引入沈積反應器(加熱至約500 oC),持續10-20分鐘,在此期間獲得厚度為500-1500 Å的多晶P摻雜矽膜。可以獲得所得P摻雜矽膜的SEM圖像。可以使用X射線能量色散分析(EDAX)檢測器來獲取元素分析。可以對沈積在Si(100)表面上的所得P摻雜矽膜進行AFM、XRD和橢偏測量。其它各種表徵技術,如原子吸收(AA)、MS-GC、NMR、FT-IR、中子活化分析(NAA)、X射線能量色散分析(EDAX)、盧瑟福反向散射分析(RBS)以及X射線分析可用於表徵沈積膜。 預示性實例 4:使用先質P(SiH 3) 2(Si 3H 7)在Si(100)晶圓上高品質P摻雜Si層的熱CVD
藉由稀釋的HF酸預蝕刻,並適當地調節(沖洗和乾燥)的Si(100)基材,裝載到沈積腔室中,隨後在50-120 slm的流量下在800°C-1000°C下進行H 2烘烤。基材和腔室然後在400-600°C下20-50托的背壓下進行平衡。然後將純H 2氣體鼓泡藉由液體先質P(SiH 3) 2(Si 3H 7)以將P(SiH 3) 2(Si 3H 7)/H 2混合物的蒸氣以50-150 sccm的流速輸送到反應腔室中1-5分鐘。在Si(100)晶圓上沈積厚度大約為30-150 Å的高度結晶的p摻雜外延Si膜。氫殘留可以藉由RBS確認為不存在。 預示性實例 5:使用先質P(SiH 3)(Si 3H 7) 2在Si(100)晶圓上以高通量P摻雜Si膜的熱CVD
藉由稀釋的HF酸預蝕刻,並適當地調節(沖洗和乾燥)的Si(100)基材,裝載到沈積腔室中,隨後在50-120 slm的流量下在800°C-1000°C下進行H 2烘烤。基材和腔室然後在大約550°C下50托的背壓下進行平衡。然後將純H 2氣體鼓泡藉由在大約75°C平衡的液體先質P(SiH 3)(Si 3H 7) 2,並在室溫下藉由三矽烷進入約100°C的混合室,隨後以大約100 sccm的流速將P(SiH 3)(Si 3H 7) 2/Si 3H 8/H 2混合物的蒸氣引入反應腔室3分鐘。在Si(100)晶圓上沈積厚度大約為200 Å的高度結晶的p摻雜外延Si膜。
儘管本文描述的主題可以在說明性實現方式的上下文中描述,以處理具有使用者交互元件的計算應用的一個或多個計算應用特徵/操作,但是主題不限於該等特定實施方式。而是,本文描述的技術可以應用於任何合適類型的使用者交互元件執行管理方法、系統、平臺和/或裝置。
應當理解,由熟悉該項技術者可在如所附請求項中所表述的本發明之原則和範圍內做出本文已經描述且闡明以解釋本發明之本質的細節、材料、步驟和零件佈置上的許多附加的改變。因此,本發明不意圖限於上面給出的實例和/或附圖中的特定實施方式。
儘管已示出且描述了本發明之實施方式,但熟悉該項技術者可在不脫離本發明之精神或傳授內容的情況下對其進行修改。本文描述的實施方式只係示例性的並且是非限制性的。組成物和方法的許多變化和修改係可能的且在本發明之範圍內。因此,保護範圍不限於本文描述的實施方式,而僅受隨後的請求項所限定,其範圍應包括請求項的主題的所有等效物。
參考以下本發明之詳細說明並結合以下附圖考慮時,可以更充分地理解本發明之前述和其他各個方面、特徵和優點以及本發明本身。僅出於例示目的呈現附圖,而非旨在限制本發明,其中: [ 1]係P(TMS) 3+ 7 MCTS(一氯三矽烷,即Si 3H 7Cl)在己烷中的反應混合物在68°C下24小時之GC層析圖(實例2); [ 2]係P(TMS) 3+ 3 MCS(一氯矽烷,即SiH 3Cl)的反應混合物在90°C下44小時之GC層析圖(實例4); [ 3]係As(TMS) 3+ 6 MCTS的反應混合物在60°C下反應24小時之GC層析圖(實例5);以及 [ 4]係Sb(TMS) 3+ 10 MCS的反應混合物在60°C下24小時之GC層析圖(實例8)。

Claims (18)

  1. 一種用於在基材上形成含Si和V族元素的膜之方法,該方法包括: 將該基材暴露於含有含Si和V族元素的先質的成膜組成物的蒸氣中;以及 藉由氣相沈積方法使該含Si和V族元素的先質的至少一部分沈積到該基材上以在該基材上形成該含Si和V族元素的膜, 其中該含Si和V族元素的先質具有以下通式 (SiR 3) 3-mA(Si aH 2a+1) m、 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 其中 A係選自P、As、Sb或Bi的V族元素; a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基; 前提係如果A = As,則排除As(SiH 3) 3;如果A = P,則排除P(SiH 3) 3,P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS);並且如果A = Sb,則排除Sb(SiH 3) 3
  2. 如請求項1所述之方法,其中,該含V族元素的先質選自P(SiH 3) 3,P(SiR 3)(SiH 3) 2,P(SiR 3) 2(SiH 3),P(SiR 3)(Si 2H 5) 2,P(SiR 3) 2(Si 2H 5),P(Si 2H 5) 3,P(SiR 3)(Si 3H 7) 2,P(SiR 3) 2(Si 3H 7),P(Si 3H 7) 3,As(SiH 3) 3,As(SiR 3)(SiH 3) 2,As(SiR 3) 2(SiH 3),As(SiR 3)(Si 2H 5) 2,As(SiR 3) 2(Si 2H 5),As(Si 2H 5) 3,As(SiR 3)(Si 3H 7) 2,As(SiR 3) 2(Si 3H 7),As(Si 3H 7) 3,Sb(SiH 3) 3,Sb(SiR 3)(SiH 3) 2,Sb(SiR 3) 2(SiH 3),Sb(SiR 3)(Si 2H 5) 2,Sb(SiR 3) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(SIR 3)(Si 3H 7) 2,Sb(SiR 3) 2(Si 3H 7),Sb(Si 3H 7) 3 P(SiR 3)(SiH 3)(Si 2H 5),P(SiR 3)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 2H 5),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(SiR 3)(SiH 3)(Si 2H 5),As(SiR 3)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(SiR 3)(SiH 3)(Si 2H 5),Sb(SiR 3)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),或Sb(Si 2H 5)(Si 3H 7) 2,其中R選自Me,Et,nPr,iPr,tBu,nBu,iBu或sBu。
  3. 如請求項2所述之方法,其中,當R為Me時,該含Si和V族元素的先質選自P(TMS)(SiH 3) 2,P(TMS) 2(SiH 3),P(TMS)(Si 2H 5) 2,P(TMS) 2(Si 2H 5),P(TMS)(Si 3H 7) 2,P(TMS) 2(Si 3H 7),P(Si 3H 7) 3,As(TMS)(SiH 3) 2,As(TMS) 2(SiH 3),As(TMS)(Si 2H 5) 2,As(TMS) 2(Si 2H 5),As(Si 2H 5) 3,As(TMS)(Si 3H 7) 2,As(TMS) 2(Si 3H 7),As(Si 3H 7) 3,Sb(TMS)(SiH 3) 2,Sb(TMS) 2(SiH 3),Sb(TMS)(Si 2H 5) 2,Sb(TMS) 2(Si 2H 5),Sb(Si 2H 5) 3,Sb(TMS)(Si 3H 7) 2,Sb(TMS) 2(Si 3H 7),Sb(Si 3H 7) 3 P(TMS)(SiH 3)(Si 2H 5),P(TMS)(SiH 3)(Si 3H 7),P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7),P(Si 2H 5)(Si 3H 7) 2,As(TMS)(SiH 3)(Si 2H 5),As(TMS)(SiH 3)(Si 3H 7),As(SiH 3) 2(Si 2H 5),As(SiH 3) 2(Si 3H 7),As(SiH 3)(Si 2H 5) 2,As(SiH 3)(Si 2H 5)(Si 3H 7),As(SiH 3)(Si 3H 7) 2,As(Si 2H 5) 2(Si 3H 7),As(Si 2H 5)(Si 3H 7) 2,Sb(TMS)(SiH 3)(Si 2H 5),Sb(TMS)(SiH 3)(Si 3H 7),Sb(SiH 3) 2(Si 2H 5),Sb(SiH 3) 2(Si 3H 7),Sb(SiH 3)(Si 2H 5) 2,Sb(SiH 3)(Si 2H 5)(Si 3H 7),Sb(SiH 3)(Si 3H 7) 2,Sb(Si 2H 5) 2(Si 3H 7),或Sb(Si 2H 5)(Si 3H 7) 2
  4. 如請求項1所述之方法,其中,該含Si和V族元素的先質選自由以下組成之群組:P(Si 3H 7) 3,P(SiH 3) 2(Si 3H 7),P(SiH 3)(Si 2H 5) 2,P(SiH 3)(Si 2H 5)(Si 3H 7),P(SiH 3)(Si 3H 7) 2,P(Si 2H 5) 2(Si 3H 7)和P(Si 2H 5)(Si 3H 7) 2
  5. 如請求項1所述之方法,其中,該成膜組成物藉由將該基材加熱到範圍從200°C-1000°C的溫度,電漿活化該含Si和V族元素的先質或其組合來活化。
  6. 如請求項1所述之方法,其進一步包括將該基材暴露於共反應物的步驟,其中該共反應物係電漿活化的或非電漿活化的。
  7. 如請求項1至6中任一項所述之方法,其進一步包括藉由熱退火、爐內退火、快速熱退火、UV或電子束固化和/或電漿氣體暴露對該含Si和V族元素的層進行退火的步驟。
  8. 如請求項1至6中任一項所述之方法,其中,該含Si和V族元素的膜係P摻雜的含矽膜。
  9. 一種用於沈積膜的成膜組成物,其包含具有下式的含Si和V族元素的先質: (SiR 3) 3-mA(Si aH 2a+1) m、 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 其中 A係選自P、As、Sb或Bi的V族元素; a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基; 前提係如果A = As,則排除As(SiH 3) 3;如果A = P,則排除P(SiH 3) 3,P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS);並且如果A = Sb,則排除Sb(SiH 3) 3
  10. 如請求項9所述之組成物,其中,該含Si和V族元素的先質的純度 > 98%。
  11. 一種用於旋塗膜的濕法成膜組成物,該濕法成膜組成物包含如請求項9所述之含Si和V族元素的先質,該等先質具有至少5個Si原子。
  12. 如請求項11所述之濕法成膜組成物,其中,選擇具有最低揮發性的如請求項9所述之含Si和V族元素的先質,以在退火步驟期間保留在旋膜中並原位分解。
  13. 如請求項11所述之濕法成膜組成物,進一步包含共反應物,該共反應物係具有5個或多於5個矽原子的聚矽烷或聚矽烷的混合物。
  14. 如請求項11所述之濕法成膜組成物,其中,該聚矽烷為環戊矽烷或環己矽烷。
  15. 如請求項11所述之濕法成膜組成物,其中,該旋膜係無定形或多晶Si膜。
  16. 一種用於在基材上形成摻雜V族元素的外延Si膜之方法,該方法包括: 將該基材保持在為沈積溫度或接近沈積溫度的預定溫度; 將該基材暴露於成膜組成物的蒸氣與共反應物聚矽烷的蒸氣的混合物,該成膜組成物含有含Si和V族元素的先質;以及 藉由CVD製程使該含Si和V族元素的先質的至少一部分沈積到該基材上以在該基材上形成該摻雜V族元素的外延Si膜, 其中該含Si和V族元素的先質具有以下通式: (SiR 3) 3-mA(Si aH 2a+1) m、 (SiR 3) 3-n-pA(Si aH 2a+1) n(Si bH 2b+1) p或 A(Si aH 2a+1)(Si bH 2b+1)(Si cH 2c+1) 其中 A係選自P、As、Sb或Bi的V族元素; a = 1至6;b = 1至6;c = 1至6;a ≠ b ≠ c; m = 1至3; n = 1至2,p = 1至2,n + p = 2至3; R選自C 1至C 10直鏈、支鏈或環狀的烷基、烯基、炔基; 前提係如果A = As,則排除As(SiH 3) 3;如果A = P,則排除P(SiH 3) 3,P(SiH 3) 2(Si 2H 5),P(SiH 3)(Si 2H 5) 2,P(Si 2H 5) 3和P(SiH 3) 2(TMS);並且如果A = Sb,則排除Sb(SiH 3) 3
  17. 如請求項16所述之方法,其中,該共反應物聚矽烷係鍺烷。
  18. 如請求項16至17中任一項所述之方法,其中,該預定溫度和該沈積溫度的範圍為從200°C至1000°C。
TW111148610A 2021-12-23 2022-12-16 V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法 TWI838019B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163293348P 2021-12-23 2021-12-23
US63/293,348 2021-12-23

Publications (2)

Publication Number Publication Date
TW202338146A TW202338146A (zh) 2023-10-01
TWI838019B true TWI838019B (zh) 2024-04-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910153A (en) 1986-02-18 1990-03-20 Solarex Corporation Deposition feedstock and dopant materials useful in the fabrication of hydrogenated amorphous silicon alloys for photovoltaic devices and other semiconductor devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910153A (en) 1986-02-18 1990-03-20 Solarex Corporation Deposition feedstock and dopant materials useful in the fabrication of hydrogenated amorphous silicon alloys for photovoltaic devices and other semiconductor devices

Similar Documents

Publication Publication Date Title
US11699584B2 (en) Si-containing film forming precursors and methods of using the same
JP6242026B2 (ja) Ald/cvdシリコン含有膜用のオルガノシラン前駆体
KR20240008929A (ko) 안정한 비스(알킬-아렌) 전이 금속 착물 및 이를 사용한 필름 증착 방법
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
TWI838019B (zh) V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法
TWI838011B (zh) V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法
TW202338146A (zh) V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法
TW202338145A (zh) V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films