TWI813294B - Semiconductor structure and methods for manufacturing the same - Google Patents

Semiconductor structure and methods for manufacturing the same Download PDF

Info

Publication number
TWI813294B
TWI813294B TW111118635A TW111118635A TWI813294B TW I813294 B TWI813294 B TW I813294B TW 111118635 A TW111118635 A TW 111118635A TW 111118635 A TW111118635 A TW 111118635A TW I813294 B TWI813294 B TW I813294B
Authority
TW
Taiwan
Prior art keywords
layer
gate structures
spacers
substrate
semiconductor structure
Prior art date
Application number
TW111118635A
Other languages
Chinese (zh)
Other versions
TW202347686A (en
Inventor
廖柏翔
傅勝威
李宗曄
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW111118635A priority Critical patent/TWI813294B/en
Application granted granted Critical
Publication of TWI813294B publication Critical patent/TWI813294B/en
Publication of TW202347686A publication Critical patent/TW202347686A/en

Links

Images

Landscapes

  • Bipolar Transistors (AREA)
  • Thin Film Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A semiconductor structure includes a substrate, several gate structures formed in the substrate, dielectric portions formed on the respective gate structures, spacers adjacent to and extending along the sidewalls of the dielectric portions, source regions formed between the substrate and the spacers, and contact plugs formed between adjacent gate structures and contact the respective source regions. The source regions are adjacent to the gate structures. The sidewalls of the spacers are aligned with the sidewalls of the underlying source regions.

Description

半導體結構及其製造方法Semiconductor structures and manufacturing methods

本發明是關於半導體結構及其製造方法,特別是關於具有自對準接觸插塞(self-aligned contact plugs)的半導體結構及其製造方法。The present invention relates to semiconductor structures and manufacturing methods thereof, and in particular to semiconductor structures having self-aligned contact plugs and manufacturing methods thereof.

半導體產業持續地改善不同的電子組件之整合密度,藉由持續降低最小元件尺寸,讓更多組件能夠在給定的面積中整合。例如,被廣泛地應用在電力開關(power switch)元件之溝槽式閘極金屬氧化物半導體場效電晶體,便是利用垂直結構的設計,以提升功能密度。其利用晶片之背面作為汲極,而於晶片之正面製作多個電晶體的源極以及閘極。The semiconductor industry continues to improve the integration density of different electronic components by continuing to reduce the minimum component size, allowing more components to be integrated in a given area. For example, trench gate metal oxide semiconductor field effect transistors, which are widely used in power switch components, use vertical structure design to increase functional density. It uses the back side of the chip as the drain, and makes the sources and gates of multiple transistors on the front side of the chip.

然而,隨著半導體裝置的功能密度不斷提升,處理及製造半導體裝置的複雜度亦跟著增加。例如,因受限於傳統微影曝光機台的對準能力,導致溝槽式閘極金氧半導體場效電晶體的接觸結構之特徵尺寸無法縮小,而無法有效地降低裝置之導通電阻(on-resistance;Ron)。再者,由於機台能力或製程限制,所形成的部件可能會有疊對(overlay)不準確的情況發生,而產生許多問題,使半導體裝置的電性表現不穩定。 However, as the functional density of semiconductor devices continues to increase, the complexity of processing and manufacturing the semiconductor devices also increases. For example, due to limitations in the alignment capabilities of traditional lithography exposure machines, the characteristic size of the contact structure of trench-type gate metal oxide semiconductor field effect transistors cannot be reduced, and the on-resistance (on-resistance) of the device cannot be effectively reduced. -resistance; Ron). Furthermore, due to machine capacity or process limitations, the overlay of the formed components may be inaccurate, causing many problems and making the electrical performance of the semiconductor device unstable.

本揭露的一些實施例提供一種半導體結構,包括一基底、位於基底中的閘極結構、分別位於相應的閘極結構上的介電部、與此些介電部的側壁相鄰且沿著介電部的側壁延伸的間隔物(spacers)、位於基底與間隔物之間的源極區(source regions)、以及位於相鄰的閘極結構之間並與相應的源極區接觸的接觸插塞(contact plugs)。此些源極區相鄰於閘極結構。此些間隔物的側壁分別與下方相應的源極區的側壁齊平。 Some embodiments of the present disclosure provide a semiconductor structure, including a substrate, gate structures located in the substrate, dielectric portions respectively located on corresponding gate structures, adjacent to sidewalls of the dielectric portions and along the dielectric portions. Spacers extending from the sidewalls of the electrical portion, source regions located between the substrate and the spacers, and contact plugs located between adjacent gate structures and in contact with the corresponding source regions (contact plugs). The source regions are adjacent to the gate structures. The side walls of the spacers are respectively flush with the side walls of the corresponding source regions below.

本揭露的一些實施例提供一種半導體結構的製造方法,包括提供一基底;形成複數個閘極結構,在該基底中;形成複數個遮罩條,此些遮罩條在基底的第一方向上相隔開,且此些閘極結構與此些遮罩條於一垂直投影方向上不重疊;形成一間隔物層,於此些遮罩條之兩側,各遮罩條與間隔物層形成一圖案化遮罩層;形成複數個介電部覆蓋此些閘極結構與此圖案化遮罩層;移除此些遮罩條,形成複數個開口;以及形成複數個接觸插塞,填入此些開口。Some embodiments of the present disclosure provide a method for manufacturing a semiconductor structure, including providing a substrate; forming a plurality of gate structures in the substrate; forming a plurality of mask strips in a first direction of the substrate are spaced apart, and the gate structures and the mask strips do not overlap in a vertical projection direction; a spacer layer is formed, and on both sides of the mask strips, each mask strip and the spacer layer form a spacer layer. Patterning the mask layer; forming a plurality of dielectric portions to cover the gate structures and the patterned mask layer; removing the mask strips to form a plurality of openings; and forming a plurality of contact plugs to fill in the Some openings.

以下揭露提供了許多的實施例或範例,用於實施所提供的半導體結構之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在不同的範例中重複參考數字及/或字母。如此重複是為了簡明和清楚,而非用以表示所討論的不同實施例之間的關係。The following disclosure provides numerous embodiments or examples for implementing different elements of the provided semiconductor structures. Specific examples of each component and its configuration are described below to simplify the description of the embodiments of the present invention. Of course, these are only examples and are not intended to limit the embodiments of the present invention. For example, if the description mentions that a first element is formed on a second element, it may include an embodiment in which the first and second elements are in direct contact, or may include an additional element formed between the first and second elements. , so that they are not in direct contact. In addition, embodiments of the present invention may repeat reference numbers and/or letters in different examples. This repetition is for the sake of brevity and clarity and is not intended to indicate the relationship between the various embodiments discussed.

再者,在以下敘述中可使用空間上相關措辭,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」和其他類似的用語,以簡化一元件或部件與其他元件或其他部件之間如圖所示之關係的陳述。此空間相關措辭除了包含圖式所描繪之方向,還包含裝置在使用或操作中的不同方位。裝置可以朝其他方向定位(旋轉90度或在其他方向),且在此使用的空間相關描述可依此相應地解讀。Furthermore, spatially related expressions may be used in the following descriptions, such as "under", "under", "below", "above", "above" and other similar terms A term used to simplify the statement of the relationship between one element or component and other elements or other components as shown in the figure. Such spatially relative terms include, in addition to the directions depicted in the drawings, various orientations of the device during use or operation. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

以下描述實施例的一些變化。在不同圖式和說明的實施例中,相似的元件符號被用來標明相似的元件。可以理解的是,在方法的前、中、後可以提供額外的步驟,且一些敘述的步驟可為了該方法的其他實施例被取代或刪除。Some variations of the embodiments are described below. Similar reference numbers are used to identify similar elements in the various drawings and illustrated embodiments. It will be appreciated that additional steps may be provided before, during, and after the method, and some of the recited steps may be replaced or deleted for other embodiments of the method.

本揭露內容的實施例係提供了半導體結構及其製造方法,可製得具有自對準之接觸插塞和自對準之閘極結構,並且使可接受的疊對偏移的製程窗口(acceptable overlay-misaligned window)得以擴大,使半導體結構的電性表現更為穩定,進而改善半導體結構的電子特性和可靠度。實施例的內容可應用於金屬氧化物半導體(metal-oxide-semiconductor;MOS)裝置,例如金屬氧化物半導體場效電晶體(MOSFET)。在以下的一些實施例中,是以溝槽式閘極(trench gate)金屬氧化物半導體場效電晶體作為半導體結構中的相關部件的示例說明。Embodiments of the present disclosure provide semiconductor structures and fabrication methods that can fabricate self-aligned contact plugs and self-aligned gate structures with acceptable overlay offset process windows. overlay-misaligned window) can be expanded, making the electrical performance of the semiconductor structure more stable, thereby improving the electronic characteristics and reliability of the semiconductor structure. The contents of the embodiments may be applied to metal-oxide-semiconductor (MOS) devices, such as metal-oxide-semiconductor field effect transistors (MOSFETs). In some of the following embodiments, trench gate metal oxide semiconductor field effect transistors are used as examples of relevant components in the semiconductor structure.

第1A~1K 圖是根據本揭露的一些實施例的半導體結構在各個中間製造階段的剖面示意圖。1A-1K are cross-sectional schematic diagrams of semiconductor structures at various intermediate manufacturing stages according to some embodiments of the present disclosure.

參照第1A圖,根據一些實施例,提供一基底10。在一些實施例中,基板10可為一塊狀半導體基板,像是一半導體晶圓。例如,基底10為一矽晶圓。基底10可包括矽或其他元素半導體材料,像是鍺。在一些實施例中,基底10可包括一藍寶石基板、一矽基板、或一碳化矽基板。在一些實施例中,基底10可包括半導體材料、絕緣體材料、導體材料、或前述組合所組成之一層或多層結構。例如,基底10可由選自於Si、Ge、SiGe、GaP、GaAs、SiC、SiGeC、InAs、和InP所組成的群組中的至少一種半導體材料形成。在另一實施例中,基底10也可包括一絕緣層上矽(silicon on insulator; SOI)。可利用氧植入隔離(SIMOX)製程、晶圓接合製程、其他可應用的方式、或前述之組合形成SOI基板。在另一實施例中,基底10也可由多層材料組成,例如Si/SiGe、Si/SiC。在另一實施例中,基底10可包括絕緣體材料,例如:有機絕緣體、無機絕緣體、或前述組合形成之一層或多層結構。在另一實施例中,基底10也可包括導體材料,例如多晶矽、金屬、合金、或前述組合形成之一層或多層結構。Referring to Figure 1A, according to some embodiments, a substrate 10 is provided. In some embodiments, the substrate 10 can be a piece of semiconductor substrate, such as a semiconductor wafer. For example, the substrate 10 is a silicon wafer. Substrate 10 may include silicon or other elemental semiconductor materials, such as germanium. In some embodiments, the substrate 10 may include a sapphire substrate, a silicon substrate, or a silicon carbide substrate. In some embodiments, the substrate 10 may include a layer or multi-layer structure composed of a semiconductor material, an insulator material, a conductor material, or a combination thereof. For example, the substrate 10 may be formed of at least one semiconductor material selected from the group consisting of Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs, and InP. In another embodiment, the substrate 10 may also include silicon on insulator (SOI). The SOI substrate can be formed using an oxygen implant isolation (SIMOX) process, a wafer bonding process, other applicable methods, or a combination of the foregoing. In another embodiment, the substrate 10 may also be composed of multi-layer materials, such as Si/SiGe, Si/SiC. In another embodiment, the substrate 10 may include an insulator material, such as an organic insulator, an inorganic insulator, or a combination thereof to form a layer or multi-layer structure. In another embodiment, the substrate 10 may also include a conductive material, such as polycrystalline silicon, metal, alloy, or a combination thereof to form a layer or multi-layer structure.

根據一些實施例,在基底10上方依序形成第一佈植層11和第二佈植層12。第二佈植層12的摻雜濃度係高於第一佈植層11的摻雜濃度。在一些實施例中,根據後續製程(如後所述),會將第一佈植層11圖案化成閘極結構之間的主體區(body regions),以及將第二佈植層12圖案化成主體區上的源極區(source regions),因此第一佈植層11又可稱為主體佈植層(body implant layer),第二佈植層12又可稱為源極佈植層(source implant layer)。According to some embodiments, the first implantation layer 11 and the second implantation layer 12 are sequentially formed above the substrate 10 . The doping concentration of the second implantation layer 12 is higher than the doping concentration of the first implantation layer 11 . In some embodiments, according to subsequent processes (as described later), the first implant layer 11 is patterned into body regions between gate structures, and the second implant layer 12 is patterned into body regions. The source regions on the region, so the first implant layer 11 can also be called the body implant layer, and the second implant layer 12 can also be called the source implant layer. layer).

在一些示例中,例如是對一基板進行摻雜,以將摻雜物注入到基板的頂部,並且利用熱激活摻雜原子,驅使摻雜物擴散。摻雜離子的導電類型與基板的摻雜類型相反。在對於N-通道組件的一些實施例來說,摻雜離子可以是硼離子。在對於P-通道組件的一些實施例來說,摻雜離子可以是磷或砷離子。在一些示例中,可以進行具有第一摻雜濃度的摻雜物擴散,然後在此擴散區域中進行具有第二摻雜濃度的摻雜物擴散,第二摻雜濃度大於第一摻雜濃度,以分別形成如第1圖所示的第一佈植層(例如主體佈植層)11和第二佈植層(例如源極佈植層)12。In some examples, a substrate is doped to inject the dopant onto the top of the substrate, and the dopant atoms are thermally activated to drive the dopant to diffuse. The conductivity type of the dopant ions is opposite to that of the substrate. In some embodiments for N-channel components, the dopant ions may be boron ions. In some embodiments for P-channel components, the dopant ions may be phosphorus or arsenic ions. In some examples, dopant diffusion with a first doping concentration may be performed, and then dopant diffusion with a second doping concentration in this diffusion region, the second doping concentration being greater than the first doping concentration, To form a first implantation layer (eg, body implantation layer) 11 and a second implantation layer (eg, source implantation layer) 12 as shown in FIG. 1 respectively.

接著,根據一些實施例,在基底10的上方形成一圖案化遮罩層(patterned mask layer)15(第1C圖),以在基底10中形成多個閘極溝槽(gate trenches)16(第1D圖)。Next, according to some embodiments, a patterned mask layer 15 is formed over the substrate 10 (FIG. 1C) to form a plurality of gate trenches 16 in the substrate 10 (FIG. 1C). 1D diagram).

如第1B圖所示,在一些實施例中,在基底10的上方例如第二佈植層12上形成一硬質遮罩(hard mask)130。硬質遮罩130例如是包括兩種不同絕緣材料的交替層。硬質遮罩130包括位於第二佈植層12上的第一遮罩層131、位於第一遮罩層131上的第二遮罩層132以及位於第二遮罩層132上的第三遮罩層133。在一示例中,第一遮罩層131和第三遮罩層133例如(但不限於)包含氧化物,舉例而言可以為氧化矽、氧化鋁氧化鉿、氧化鋯、氧化鈦或其他適合之介電材料,第二遮罩層132例如(但不限於)包含氮化物,舉例而言可以為氮化矽、氮化鋁、氮氧化矽或其他適合之介電材料,此示例的硬質遮罩130亦可稱為一ONO層。As shown in FIG. 1B , in some embodiments, a hard mask 130 is formed above the substrate 10 , such as the second implant layer 12 . The hard mask 130 is, for example, composed of alternating layers of two different insulating materials. The hard mask 130 includes a first mask layer 131 located on the second implant layer 12 , a second mask layer 132 located on the first mask layer 131 , and a third mask located on the second mask layer 132 Layer 133. In one example, the first mask layer 131 and the third mask layer 133 include, but are not limited to, an oxide, which may be silicon oxide, aluminum oxide, hafnium oxide, zirconium oxide, titanium oxide, or other suitable materials. Dielectric material. The second mask layer 132 includes, for example, but is not limited to, nitride. For example, it can be silicon nitride, aluminum nitride, silicon oxynitride or other suitable dielectric materials. The hard mask in this example 130 can also be called an ONO layer.

然後,參照第1B圖,在一些實施例中,通過例如一光學微影製程,以對硬質遮罩130進行圖案化,而形成一圖案化硬質遮罩。在一示例中,係蝕刻包含氧化物的第三遮罩層133和包含氮化物的第二遮罩層132,以形成圖案化硬質遮罩。Then, referring to FIG. 1B , in some embodiments, the hard mask 130 is patterned through, for example, an optical lithography process to form a patterned hard mask. In one example, the third mask layer 133 including oxide and the second mask layer 132 including nitride are etched to form a patterned hard mask.

如第1B圖所示,所形成的圖案化硬質遮罩包括複數個遮罩條(mask  strips)13,此些遮罩條13在第一方向D1上相隔開來。此示例中,遮罩條13的各材料層在第二方向D2上堆疊,並且在第三方向D3上延伸。各個遮罩條13包括位於第一遮罩層131上的第二圖案化遮罩層132’以及位於第二圖案化遮罩層132’上的第三圖案化遮罩層133’。根據一些實施例,此些遮罩條13的位置係決定了後續形成的接觸插塞(第1K圖,接觸插塞195)的位置。As shown in Figure 1B, the patterned hard mask formed includes a plurality of mask strips 13, and these mask strips 13 are spaced apart in the first direction D1. In this example, the material layers of the mask strip 13 are stacked in the second direction D2 and extend in the third direction D3. Each mask strip 13 includes a second patterned mask layer 132' located on the first mask layer 131 and a third patterned mask layer 133' located on the second patterned mask layer 132'. According to some embodiments, the position of these mask strips 13 determines the position of subsequently formed contact plugs (Fig. 1K, contact plugs 195).

接著,參照第1C圖,在一些實施例中,在此些遮罩條13的側壁上形成間隔物層(spacer layer)14。在一示例中,形成間隔物層14的方法例如是先在此些遮罩條13的側壁13s和頂表面13a上順應性地(conformably)沉積一間隔材料(未示出);之後蝕刻間隔材料,以去除間隔材料位於遮罩條13的頂表面13a的上方的部分,而暴露出第三圖案化遮罩層133’,間隔材料的留下部分係為間隔物層14。蝕刻間隔材料時,更一併去除間隔物層14以外的第一遮罩層131的部分(即,未被間隔物層14覆蓋的第一遮罩層131的部分),以暴露出下方的基底材料。在此示例中,蝕刻間隔材料後,係暴露出第二佈植層(例如源極佈植層)12的頂表面12a。Next, referring to Figure 1C, in some embodiments, a spacer layer 14 is formed on the side walls of the mask strips 13. In one example, the method of forming the spacer layer 14 is to first conformably deposit a spacer material (not shown) on the sidewalls 13s and the top surface 13a of the mask strips 13; and then etch the spacer material. , to remove the portion of the spacer material located above the top surface 13 a of the mask strip 13 to expose the third patterned mask layer 133 ′, and the remaining portion of the spacer material is the spacer layer 14 . When etching the spacer material, the portion of the first mask layer 131 other than the spacer layer 14 (that is, the portion of the first mask layer 131 not covered by the spacer layer 14) is also removed to expose the underlying substrate. Material. In this example, after etching the spacer material, the top surface 12a of the second implant layer (eg, the source implant layer) 12 is exposed.

在一些實施例中,間隔物層14、第一圖案化遮罩層131’、第二圖案化遮罩層132’和第三圖案化遮罩層133’係共同形成一圖案化遮罩層(patterned mask layer)15。圖案化遮罩層15包含暴露出第二佈植層(例如源極佈植層)12的頂表面12a的多個開口152。In some embodiments, the spacer layer 14, the first patterned mask layer 131', the second patterned mask layer 132' and the third patterned mask layer 133' together form a patterned mask layer ( patterned mask layer)15. The patterned mask layer 15 includes a plurality of openings 152 exposing the top surface 12a of the second implant layer (eg, the source implant layer) 12 .

參照第1D圖,在一些實施例中,通過圖案化遮罩層15的開口152對下方的材料層包括第二佈植層(例如源極佈植層)12、第一佈植層(例如主體佈植層)11和基底10進行蝕刻,以形成多個閘極溝槽(gate trenches)16。此些閘極溝槽16例如是在第一方向D1上相隔開來,並且沿第二方向D2向下延伸。第一方向D1不同於第二方向D2。在此示例中,第一方向D1垂直於第二方向D2。具體而言,閘極溝槽16接續圖案化遮罩層15的開口152,並且依序貫穿第二佈植層12和第一佈植層11,以及去除部分的基底10。再者,在此示例中,圖案化遮罩層15的開口152在第一方向D1上的寬度大致上與閘極溝槽16在第一方向D1上的寬度相同。Referring to Figure 1D, in some embodiments, through the opening 152 of the patterned mask layer 15, the underlying material layer includes a second implantation layer (eg, source implantation layer) 12, a first implantation layer (eg, body implantation layer) The implant layer 11 and the substrate 10 are etched to form a plurality of gate trenches 16 . The gate trenches 16 are, for example, spaced apart in the first direction D1 and extend downward along the second direction D2. The first direction D1 is different from the second direction D2. In this example, the first direction D1 is perpendicular to the second direction D2. Specifically, the gate trench 16 continues the opening 152 of the patterned mask layer 15 , and sequentially penetrates the second implantation layer 12 and the first implantation layer 11 , as well as the removed portion of the substrate 10 . Furthermore, in this example, the width of the opening 152 of the patterned mask layer 15 in the first direction D1 is substantially the same as the width of the gate trench 16 in the first direction D1.

然後,根據一些實施例,在閘極溝槽16中形成閘極結構(如第1F圖所示的閘極結構166)。各個閘極結構可包括一閘極介電層(gate dielectric layer)以及配置於閘極介電層上的閘極電極(gate electrode)。Then, according to some embodiments, a gate structure (such as gate structure 166 shown in FIG. 1F ) is formed in gate trench 16 . Each gate structure may include a gate dielectric layer and a gate electrode disposed on the gate dielectric layer.

參照第1E圖,根據一些實施例,係於閘極溝槽16中形成一閘極介電層162。閘極介電層162可以通過例如利用化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、熱氧化(thermal oxidation)製程、物理氣相沉積(PVD)製程、其他可應用的製程、或前述製程之組合而形成。在一些實施例中,閘極介電層162可由氧化矽、氧化鉿、氧化鋯、氧化鋁、二氧化鋁鉿合金、二氧化矽鉿、氮氧化矽鉿、氧化鉭鉿、氧化鈦鉿、氧化鋯鉿、其它合適的高介電常數(high-k)介電材料、或前述材料之組合而形成。Referring to FIG. 1E , according to some embodiments, a gate dielectric layer 162 is formed in the gate trench 16 . The gate dielectric layer 162 can be formed by, for example, using a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a thermal oxidation (thermal oxidation) process, a physical vapor deposition (PVD) process, or other applicable processes. Or formed by a combination of the aforementioned processes. In some embodiments, the gate dielectric layer 162 may be composed of silicon oxide, hafnium oxide, zirconium oxide, aluminum oxide, aluminum hafnium dioxide alloy, silicon hafnium dioxide, silicon hafnium oxynitride, tantalum hafnium oxide, titanium hafnium oxide, It is formed of zirconium and hafnium, other suitable high-k dielectric materials, or a combination of the foregoing materials.

在此一示例中,例如通過熱氧化製程以氧化基底10、第一佈植層11’和第二佈植層12’的材料,而在閘極溝槽16中所暴露出的基底10’的表面、第一佈植層11’的側壁以及第二佈植層12’ 的側壁處形成閘極介電層162。此示例的閘極介電層162又可稱為閘極氧化層(gate oxide layer)。In this example, the materials of the substrate 10 , the first implant layer 11 ′ and the second implant layer 12 ′ are oxidized, for example, through a thermal oxidation process, so that the substrate 10 ′ exposed in the gate trench 16 A gate dielectric layer 162 is formed on the surface, the sidewalls of the first implantation layer 11' and the sidewalls of the second implantation layer 12'. The gate dielectric layer 162 of this example may also be called a gate oxide layer.

參照第1F圖,根據一些實施例,係於閘極溝槽16中形成閘極電極164於閘極介電層162上。在一些實施例中,可沉積一導電材料(未示出)於基底10’的上方並且填滿此些閘極溝槽16。導電材料可以是原位摻雜(in‑situ doping)或未摻雜的多晶矽。之後,例如以回蝕刻(etch back)方式形成閘極電極164的頂表面164a,於一實施例中閘極電極164的頂表面164a不超過第二佈植層(例如源極佈植層)12’的頂表面12a或兩者大致上齊平(例如第1F圖所示),然本發明並不以此為限。各閘極溝槽16中的閘極介電層162和閘極電極164係共同形成一閘極結構166。在此示例中,此些閘極結構166例如是在第一方向D1上相隔開來,並且沿第二方向D2向下延伸,第一方向D1例如是垂直於第二方向D2。 Referring to FIG. 1F , according to some embodiments, a gate electrode 164 is formed on the gate dielectric layer 162 in the gate trench 16 . In some embodiments, a conductive material (not shown) may be deposited over the substrate 10' and fill the gate trenches 16. The conductive material may be in-situ doping or undoped polycrystalline silicon. Afterwards, the top surface 164a of the gate electrode 164 is formed, for example, by etching back. In one embodiment, the top surface 164a of the gate electrode 164 does not exceed the second implantation layer (such as the source implantation layer) 12 The top surface 12a of ' or both are substantially flush (for example, as shown in Figure 1F), but the present invention is not limited to this. The gate dielectric layer 162 and the gate electrode 164 in each gate trench 16 together form a gate structure 166 . In this example, the gate structures 166 are spaced apart in the first direction D1 and extend downward along the second direction D2. The first direction D1 is, for example, perpendicular to the second direction D2.

再者,根據一些實施例,閘極電極164的頂表面164a較佳係高於第二佈植層12’的底表面12b。由於第二佈植層12’在後續製程中會形成源極區,則源極區將更好控制閘極電極164,以提升半導體結構的電性表現(例如提升崩潰電壓)。 Furthermore, according to some embodiments, the top surface 164a of the gate electrode 164 is preferably higher than the bottom surface 12b of the second implant layer 12'. Since the second implant layer 12' will form a source region in the subsequent process, the source region will better control the gate electrode 164 to improve the electrical performance of the semiconductor structure (for example, improve the breakdown voltage).

之後,參照第1G圖,根據一些實施例,在基底10’的上方形成一介電材料層170,且介電材料層170覆蓋閘極結構166和圖案化遮罩層15。介電材料層170可提供主動區與後續形成的導電部件例如接觸插塞和金屬線之間的隔絕(isolation)。在一些實施例中,介電材料層170的厚度足以覆蓋圖案化遮罩層15,並且可填滿圖案化遮罩層15之間在閘極結構166上方的空隙。可以通過任何合適的方法,例如化學氣相沉積(CVD)、電漿輔助化學氣相沉積法(PECVD)、流動式化學氣相沉積法(FCVD)、前述方法之組合、或其他合適的方法以沉積介電材料層170。介電材料層170可包括例如四乙氧基矽烷(tetraethoxy silane;TEOS)氧化物,或是磷矽玻璃(phospho-silicate glass;PSG)、硼矽酸玻璃(boro-silicate glass;BSG)、硼磷矽玻璃(boron-doped phospho-silicate glass;BPSG)、或未摻雜的矽玻璃(undoped Silicate Glass;USG)的氧化物、或其類似物質。介電材料層170也可以包括以任何可接受的方法形成的其他的絕緣材料。再者,在一些實施例中,介電材料層170的材料相同於間隔物層14的材料。在一些其他實施例中,介電材料層170的材料不同於間隔物層14的材料。 1G, according to some embodiments, a dielectric material layer 170 is formed over the substrate 10', and the dielectric material layer 170 covers the gate structure 166 and the patterned mask layer 15. The dielectric material layer 170 may provide isolation between the active region and subsequently formed conductive features such as contact plugs and metal lines. In some embodiments, the dielectric material layer 170 is thick enough to cover the patterned mask layer 15 and can fill the gaps between the patterned mask layers 15 above the gate structure 166 . It can be formed by any suitable method, such as chemical vapor deposition (CVD), plasma-assisted chemical vapor deposition (PECVD), flow chemical vapor deposition (FCVD), a combination of the foregoing methods, or other suitable methods. A layer of dielectric material 170 is deposited. The dielectric material layer 170 may include, for example, tetraethoxy silane (TEOS) oxide, or phospho-silicate glass (PSG), boro-silicate glass (BSG), boron Boron-doped phospho-silicate glass; BPSG), or oxide of undoped silicon glass (undoped Silicone Glass; USG), or similar substances. Dielectric material layer 170 may also include other insulating materials formed by any acceptable method. Furthermore, in some embodiments, the material of the dielectric material layer 170 is the same as the material of the spacer layer 14 . In some other embodiments, the material of dielectric material layer 170 is different from the material of spacer layer 14 .

接著,參照第1H圖,根據一些實施例,去除部分的介電材料層170和部分的圖案化遮罩層15,而在閘極結構166的上方形成介電部(dielectric portions)17以及在介電部17的側壁17s上形成間隔物(spacers)143。 Next, referring to FIG. 1H , according to some embodiments, part of the dielectric material layer 170 and part of the patterned mask layer 15 are removed, and dielectric portions 17 are formed above the gate structure 166 and in the dielectric layer 170 . Spacers 143 are formed on the side walls 17s of the electrical part 17.

根據一些實施例,係通過微影圖案化製程以及蝕刻製程,以去除部分的介電材料層170和部分的圖案化遮罩層15。在一些實施例中,微影圖案化製程包含光阻塗佈(例如,自旋塗佈)、軟烤、遮罩對準、曝光、曝光後烤、光阻顯影、清洗及乾燥(例如,硬烤)、其他合適的製程或前述之組合,以在介電材料層170的上方形成一圖案化光阻層PR。然後,根據圖案化光阻層PR,蝕刻介電材料層170以及未被圖案化光阻層PR覆蓋的圖案化遮罩層15的部分。在一些實施例中,此蝕刻製程可以是乾式蝕刻製程、濕式蝕刻製程、電漿蝕刻製程、反應性離子蝕刻(RIE)製程、其他合適的製程、或前述製程之組合。此蝕刻製程停止在第二圖案化遮罩層(例如氮化物層)132’的頂表面132a上,如第1H圖所示。因此,在此示例中,第二圖案化遮罩層132’可做為用於形成介電部17和間隔物143的蝕刻製程的一蝕刻停止層。 According to some embodiments, a portion of the dielectric material layer 170 and a portion of the patterned mask layer 15 are removed through a photolithographic patterning process and an etching process. In some embodiments, the lithography patterning process includes photoresist coating (e.g., spin coating), soft bake, mask alignment, exposure, post-exposure bake, photoresist development, cleaning, and drying (e.g., hard coating). baking), other suitable processes, or a combination of the foregoing, to form a patterned photoresist layer PR above the dielectric material layer 170. Then, according to the patterned photoresist layer PR, the dielectric material layer 170 and the portion of the patterned mask layer 15 not covered by the patterned photoresist layer PR are etched. In some embodiments, the etching process may be a dry etching process, a wet etching process, a plasma etching process, a reactive ion etching (RIE) process, other suitable processes, or a combination of the foregoing processes. The etching process stops on the top surface 132a of the second patterned mask layer (e.g., nitride layer) 132', as shown in Figure 1H. Therefore, in this example, the second patterned mask layer 132' can serve as an etch stop layer for the etching process used to form the dielectric portion 17 and the spacer 143.

再參照第1H圖,根據一些實施例,在通過蝕刻製程以去除部分的介電材料層170和部分的圖案化遮罩層15之後,介電材料層170的留下部分係在閘極結構166的上方形成介電部17,而間隔物層14的留下部分則在介電部17的側壁17s上形成間隔物143。再者,在完成蝕刻製程之後,係在相鄰的介電部17之間形成開口(openings)18。Referring again to FIG. 1H , according to some embodiments, after removing part of the dielectric material layer 170 and part of the patterned mask layer 15 through an etching process, the remaining part of the dielectric material layer 170 is tied to the gate structure 166 The dielectric portion 17 is formed above, and the remaining portion of the spacer layer 14 forms spacers 143 on the sidewalls 17s of the dielectric portion 17 . Furthermore, after the etching process is completed, openings 18 are formed between adjacent dielectric portions 17 .

根據本揭露的一些實施例,此些開口18係暴露出相應的第二圖案化遮罩層132’的頂表面132a。具體而言,此些開口18係暴露出第二圖案化遮罩層132’的頂表面132a以及暴露出介電部17的側壁17s的上方部分。圖式中係以兩個開口為例,包括第一開口181和第二開口182,以說明根據一些實施例所形成的開口的不同情況。再者,圖式中係以兩組間隔物為例,包括第一組間隔物(first set of spacers)141和第二組間隔物(second set of spacers)142,以說明根據一些實施例在開口18下方所形成的間隔物143。According to some embodiments of the present disclosure, these openings 18 expose the top surface 132a of the corresponding second patterned mask layer 132'. Specifically, these openings 18 expose the top surface 132a of the second patterned mask layer 132' and the upper portion of the sidewall 17s of the dielectric portion 17. In the figure, two openings are taken as an example, including a first opening 181 and a second opening 182, to illustrate different situations of openings formed according to some embodiments. Furthermore, the figures take two sets of spacers as an example, including a first set of spacers 141 and a second set of spacers 142, to illustrate the opening of the opening according to some embodiments. The spacer 143 formed below 18.

在實際應用時,受限於機台的對準能力或製程限制,在遮罩對準、曝光和顯影製程中可能會有上下部件位置偏移的情況發生。如第1H圖所示的第一開口181係用以表示一理想的開口,其中第一開口181沿著第二方向D2的一對稱中心線係與下方的第二圖案化遮罩層132’沿著第二方向D2的一對稱中心線大致重合。如第1H圖所示的第二開口182則用以表示一偏移的開口,其中第二開口182沿著第二方向D2的一對稱中心線係偏離下方的第二圖案化遮罩層132’沿著第二方向D2的一對稱中心線。In actual applications, due to the machine's alignment capabilities or process limitations, the position of the upper and lower components may shift during the mask alignment, exposure, and development processes. The first opening 181 shown in FIG. 1H is used to represent an ideal opening, wherein the symmetrical center line of the first opening 181 along the second direction D2 is along the second patterned mask layer 132' below. A pair of symmetrical center lines in the second direction D2 roughly coincide. The second opening 182 shown in FIG. 1H is used to represent an offset opening, wherein the symmetric centerline of the second opening 182 along the second direction D2 is offset from the second patterned mask layer 132' below. A center line of symmetry along the second direction D2.

根據本揭露的一些實施例,只要所形成的開口18可以使下方相應的第二圖案化遮罩層132’的頂表面132a完全暴露出來,以利進行後續製程,即為可接受的開口18的實施態樣。因此,不論是形成如第1H圖所示的理想的第一開口181或是偏移的第二開口182,都可以通過該些開口而繼續進行實施例的後續製程,包括去除第二圖案化遮罩層132’(第1I圖)、完成接觸孔的形成(第1J圖)以及形成自對準接觸插塞(第1K圖,接觸插塞195)。According to some embodiments of the present disclosure, as long as the formed opening 18 can completely expose the top surface 132a of the corresponding second patterned mask layer 132' below to facilitate subsequent processes, the opening 18 is acceptable. Implementation style. Therefore, whether the ideal first opening 181 or the offset second opening 182 is formed as shown in FIG. 1H , the subsequent processes of the embodiment can be continued through these openings, including removing the second pattern mask. Cap layer 132' (FIG. 1I), contact hole formation is completed (FIG. 1J), and self-aligned contact plugs are formed (FIG. 1K, contact plug 195).

根據一些實施例,在完成上述蝕刻製程之後,係通過可接受的灰化製程(ashing process)製程,以將圖案化光阻層PR去除。According to some embodiments, after completing the above etching process, the patterned photoresist layer PR is removed through an acceptable ashing process.

參照第1I圖,在形成開口18之後,根據一些實施例,去除間隔物143之間的遮罩條13的留下部分,以在間隔物143之間形成孔洞(holes)。具體而言,在此示例中,通過第一開口181和第二開口182去除下方的第二圖案化遮罩層132’ ,以分別形成孔洞183A和孔洞184A。孔洞183A和孔洞184A例如是暴露出第一圖案化遮罩層131’的頂表面。可通過一乾式蝕刻製程、一濕式蝕刻製程、一電漿蝕刻製程、一反應性離子蝕刻(RIE)製程、其他合適的製程、或前述製程之組合,以去除第二圖案化遮罩層132’。在一些實施例中,係以一濕式蝕刻製程以去除第二圖案化遮罩層132’,且所使用的蝕刻劑可選擇性地蝕刻第二圖案化遮罩層132’的材料,但大致上不蝕刻介電部17和間隔物143的材料。Referring to FIG. 1I , after the openings 18 are formed, according to some embodiments, the remaining portions of the mask strips 13 between the spacers 143 are removed to form holes between the spacers 143 . Specifically, in this example, the underlying second patterned mask layer 132' is removed through the first opening 181 and the second opening 182 to form holes 183A and 184A respectively. The holes 183A and 184A are, for example, exposing the top surface of the first patterned mask layer 131'. The second patterned mask layer 132 can be removed through a dry etching process, a wet etching process, a plasma etching process, a reactive ion etching (RIE) process, other suitable processes, or a combination of the foregoing processes. '. In some embodiments, a wet etching process is used to remove the second patterned mask layer 132', and the etchant used can selectively etch the material of the second patterned mask layer 132', but generally The material of dielectric portion 17 and spacer 143 is not etched.

根據一些實施例,如第1I圖所示,所形成的介電部17分別與下方相應的閘極結構166直接接觸。具體而言,閘極電極164的頂表面164a(閘極結構166的頂表面166a)係被介電部17完全的覆蓋。再者,在一些實施例中,所形成的間隔物143分別與相應的介電部17的側壁17s直接接觸。According to some embodiments, as shown in FIG. 1I , the formed dielectric portions 17 are respectively in direct contact with the corresponding gate structures 166 below. Specifically, the top surface 164a of the gate electrode 164 (the top surface 166a of the gate structure 166) is completely covered by the dielectric portion 17. Furthermore, in some embodiments, the formed spacers 143 are in direct contact with the sidewalls 17s of the corresponding dielectric portion 17 respectively.

再參照第1I圖,第一開口181是一理想開口,形成位置沒有偏移,通過第一開口181去除下方的第二圖案化遮罩層132’之後,位於孔洞183A兩側的介電部17的側壁17s上的第一組間隔物141是具有寬度大致相同的間隔物,亦即寬度W11與寬度W12大致相同(W11=W12)。並且,根據實施例之製造方法,即使可能因為機台對準能力的限制或是其他製程因素而形成位置略有偏移的第二開口182,但是通過第二開口182去除下方的第二圖案化遮罩層132’之後,位於孔洞184A兩側的介電部17的側壁17s上的第二組間隔物142仍具有寬度大致相同的間隔物,亦即寬度W21與寬度W22大致相同(W21=W22)。Referring again to FIG. 1I, the first opening 181 is an ideal opening, and the formation position is not offset. After removing the second patterned mask layer 132' below through the first opening 181, the dielectric portion 17 located on both sides of the hole 183A The first group of spacers 141 on the side walls 17s are spacers with approximately the same width, that is, the width W11 and the width W12 are approximately the same (W11=W12). Moreover, according to the manufacturing method of the embodiment, even if the second opening 182 may be slightly offset due to limitations of the machine alignment capability or other process factors, the second patterning underneath is removed through the second opening 182 After the mask layer 132', the second set of spacers 142 located on the sidewalls 17s of the dielectric portion 17 on both sides of the hole 184A still have spacers with approximately the same width, that is, the width W21 and the width W22 are approximately the same (W21=W22 ).

接著,參照第1J圖,根據一些實施例,利用所形成的間隔物143(例如第一組間隔物141和第二組間隔物142)和介電部17作為蝕刻遮罩(etching mask),對孔洞183A和孔洞184A下方的材料層進行蝕刻,以形成自對準的接觸孔(self-aligned contact holes)183和184。根據一些實施例,通過蝕刻而延伸孔洞183A和孔洞184A,貫穿第一圖案化遮罩層131’和第二佈植層12’並且去除部分的第一佈植層11’,以在孔洞183A和孔洞184A的下方分別接續地形成孔洞183B和孔洞184B。如第1J圖所示,上方的孔洞183A和孔洞184A大致上位於相鄰的介電部17之間,而下方的孔洞183B和孔洞184B大致上位於相鄰的兩個閘極結構166之間。在此示例中,孔洞183A和孔洞183B係構成接觸孔183,孔洞184A和孔洞184B係構成接觸孔184。Next, referring to FIG. 1J , according to some embodiments, the formed spacers 143 (eg, the first group of spacers 141 and the second group of spacers 142 ) and the dielectric portion 17 are used as an etching mask. The material layer below holes 183A and 184A is etched to form self-aligned contact holes 183 and 184 . According to some embodiments, holes 183A and 184A are extended by etching through the first patterned mask layer 131' and the second implanted layer 12' and portions of the first implanted layer 11' are removed to form holes 183A and 184A through etching. Holes 183B and holes 184B are formed successively below the holes 184A. As shown in FIG. 1J , the upper holes 183A and 184A are generally located between adjacent dielectric portions 17 , while the lower holes 183B and 184B are generally located between two adjacent gate structures 166 . In this example, holes 183A and 183B constitute contact holes 183 , and holes 184A and 184B constitute contact holes 184 .

根據一些實施例,所使用的蝕刻製程是對於間隔物143(例如第一組間隔物141和第二組間隔物142)和第二佈植層12’具有高選擇性,以及對於間隔物143和基底10’具有高選擇性,以選擇性地蝕刻第二佈植層12’和基底10’而不蝕刻間隔物143。在一些實施例中,前述蝕刻製程可為乾式蝕刻製程,例如可為反應性離子蝕刻(reactive ion etch,RIE) 製程、電漿蝕刻製程、其它合適的非等向性蝕刻製程、或前述製程之組合。According to some embodiments, the etching process used is highly selective for spacers 143 (eg, first set of spacers 141 and second set of spacers 142) and second implant layer 12', and for spacers 143 and The substrate 10' has high selectivity to selectively etch the second implant layer 12' and the substrate 10' without etching the spacers 143. In some embodiments, the etching process may be a dry etching process, such as a reactive ion etch (RIE) process, a plasma etching process, other suitable anisotropic etching processes, or any of the foregoing processes. combination.

再者,根據一些實施例,在形成接觸孔183和接觸孔184的此步驟中,亦形成源極區120。此些接觸孔(例如183和184)係暴露出源極區120的側壁120s。如第1J圖所示,延伸孔洞183A和孔洞184A以貫穿第一圖案化遮罩層131’和第二佈植層12’之後,第二佈植層12’的留下部分係形成此些源極區120。在一些實施例中,閘極電極164的頂表面164a高於源極區120的底表面120b,但不超過(例如是低於或齊平)源極區120的頂表面120a。Furthermore, according to some embodiments, in this step of forming the contact hole 183 and the contact hole 184, the source region 120 is also formed. These contact holes (eg, 183 and 184) expose the sidewalls 120s of the source region 120. As shown in Figure 1J, after extending the holes 183A and the holes 184A to penetrate the first patterned mask layer 131' and the second implantation layer 12', the remaining portions of the second implantation layer 12' form these sources. Polar area 120. In some embodiments, the top surface 164a of the gate electrode 164 is higher than the bottom surface 120b of the source region 120, but does not exceed (eg, is lower than or flush with) the top surface 120a of the source region 120.

根據一些實施例,源極區120係位於基底10’與相應的間隔物143(例如第一組間隔物141或第二組間隔物142)之間。在此示例中,由於源極區120是以間隔物143為蝕刻遮罩向下蝕刻而形成的,位於源極區120上方的間隔物143的側壁,例如第一組間隔物141的側壁141s或第二組間隔物142的側壁142s,是分別齊平(aligned with)於下方源極區120的側壁120s。According to some embodiments, the source region 120 is located between the substrate 10' and corresponding spacers 143 (e.g., the first set of spacers 141 or the second set of spacers 142). In this example, since the source region 120 is formed by etching downwards using the spacers 143 as an etching mask, the sidewalls of the spacers 143 located above the source region 120, such as the sidewalls 141s of the first group of spacers 141 or The sidewalls 142s of the second set of spacers 142 are respectively aligned with the sidewalls 120s of the source region 120 below.

如上述討論的,在一些實施例中,位於孔洞183A、184A兩側的間隔物具有大致相同的寬度,因此所製得的各個接觸孔183或184的兩側的源極區120沿著第一方向D1亦具有相同寬度。請同時參照第1I圖和第1J圖,在此示例中,接觸孔183兩側的源極區120的寬度例如是對應於間隔物143的寬度W11和寬度W12,寬度W11與寬度W12大致相同;接觸孔184兩側的源極區120的寬度例如是對應於間隔物143的寬度W21和寬度W22,寬度W21與寬度W22大致相同。As discussed above, in some embodiments, the spacers located on both sides of the holes 183A and 184A have substantially the same width, so that the source regions 120 on both sides of each contact hole 183 or 184 are formed along the first Direction D1 also has the same width. Please refer to Figures 1I and 1J at the same time. In this example, the width of the source region 120 on both sides of the contact hole 183 corresponds to the width W11 and the width W12 of the spacer 143, and the width W11 and the width W12 are approximately the same; The width of the source region 120 on both sides of the contact hole 184 is, for example, corresponding to the width W21 and the width W22 of the spacer 143 , and the width W21 and the width W22 are substantially the same.

接著,參照第1K圖,根據一些實施例,在接觸孔183和接觸孔184中分別形成接觸插塞195和接觸插塞196。在一些實施例中,係在如第1J圖所示的結構共形的沉積一接觸阻障層192,且此接觸阻障層192在接觸孔183、184中形成襯層。具體而言,接觸阻障層192係形成於介電部17的露出表面(例如頂表面17a和部分的側壁17s)、間隔物143的露出側壁(例如側壁141s和142s)、源極區120的露出側壁120s以及基底10’的露出表面。Next, referring to FIG. 1K , according to some embodiments, contact plugs 195 and 196 are formed in the contact holes 183 and 184 respectively. In some embodiments, a contact barrier layer 192 is conformally deposited in the structure as shown in FIG. 1J, and the contact barrier layer 192 forms a lining in the contact holes 183, 184. Specifically, the contact barrier layer 192 is formed on the exposed surface of the dielectric portion 17 (such as the top surface 17a and part of the sidewalls 17s), the exposed sidewalls of the spacer 143 (such as the sidewalls 141s and 142s), and the source region 120. The sidewalls 120s and the exposed surface of the base 10' are exposed.

在一些實施例中,接觸阻障層192的材料包括鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭 (TaN)、鈷(Co)、鈷鎢磷化物(CoWP)、釕(Ru)、其他合適的材料、或前述材料的組合。在一些實施例中,可藉由化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、物理氣相沉積(PVD)製程、其他合適的製程、或前述製程之組合而形成接觸阻障層192。In some embodiments, the material of the contact barrier layer 192 includes titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), cobalt (Co), cobalt tungsten phosphide (CoWP) , ruthenium (Ru), other suitable materials, or combinations of the foregoing materials. In some embodiments, the contact barrier may be formed by a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a physical vapor deposition (PVD) process, other suitable processes, or a combination of the foregoing processes. Layer 192.

在形成接觸阻障層192之後,係沉積一導電材料(未示出)於接觸阻障層192的上方,其中此導電材料填滿第一開口181、第二開口182、接觸孔183和接觸孔184。接著,回蝕刻此導電材料,以使導電材料至特定的深度(例如留下的導電材料的頂表面係低於第一開口181和第二開口182)。如第1K圖所示,在此示例中,回蝕刻導電材料後所形成的導電部193係與在接觸孔183中的接觸阻障層192的部分1921形成接觸插塞195。回蝕刻導電材料後所形成的導電部194係與在接觸孔184中的接觸阻障層192的部分1922形成接觸插塞196。 After the contact barrier layer 192 is formed, a conductive material (not shown) is deposited above the contact barrier layer 192 , where the conductive material fills the first opening 181 , the second opening 182 , the contact hole 183 and the contact hole. 184. Then, the conductive material is etched back to a specific depth (for example, the top surface of the remaining conductive material is lower than the first opening 181 and the second opening 182 ). As shown in FIG. 1K , in this example, the conductive portion 193 formed after etching back the conductive material forms a contact plug 195 with the portion 1921 of the contact barrier layer 192 in the contact hole 183 . The conductive portion 194 formed by etching back the conductive material forms a contact plug 196 with the portion 1922 of the contact barrier layer 192 in the contact hole 184 .

再者,根據一些實施例,此些間隔物的最頂表面(uppermost surface)係高於接觸插塞195、196的頂表面。例如在此示例中,第一組間隔物141的最頂表面141a係高於導電部193的頂表面193a(亦做為接觸插塞195的頂表面),第二組間隔物142的最頂表面142a係高於導電部194的頂表面194a(亦做為接觸插塞196的頂表面)。再者,根據一些實施例,此些第一組間隔物141、第二組間隔物142的頂表面(例如最頂表面141a、142a)不超過(例如低於)介電部17的頂表面17a。 Furthermore, according to some embodiments, the uppermost surfaces of the spacers are higher than the top surfaces of the contact plugs 195, 196. For example, in this example, the topmost surface 141a of the first group of spacers 141 is higher than the top surface 193a of the conductive portion 193 (also serving as the top surface of the contact plug 195), and the topmost surface of the second group of spacers 142 142a is higher than the top surface 194a of the conductive portion 194 (also serving as the top surface of the contact plug 196). Furthermore, according to some embodiments, the top surfaces (eg, the topmost surfaces 141a, 142a) of the first group of spacers 141 and the second group of spacers 142 do not exceed (eg, are lower than) the top surface 17a of the dielectric portion 17 .

在一些實施例中,形成導電部193和導電部194的導電材料可包括鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)、氮化鈦(titanium nitride,TiN)、氮化鉭(tantalum nitride,TaN)、矽化鎳(nickel silicide,NiSi)、矽化鈷(cobalt silicide,CoSi)、碳化鉭(tantulum carbide,TaC)、矽氮化鉭(tantulum silicide nitride,TaSiN)、碳氮化鉭(tantalum carbide nitride,TaCN)、鋁化鈦(titanium aluminide,TiAl),鋁氮化鈦(titanium aluminide nitride,TiAlN)、其他合適的金屬或前述之組合。在此示例中,導電部193和導電部194係包括鎢。再者,在一些實施例中,可藉由化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、物 理氣相沉積(PVD)製程、其他合適的製程、或前述製程之組合而形成此導電材料。 In some embodiments, the conductive material forming the conductive portion 193 and the conductive portion 194 may include aluminum (Al), copper (Cu), tungsten (W), titanium (Ti), tantalum (Ta), titanium nitride (Titanium nitride) ,TiN), tantalum nitride (TaN), nickel silicide (NiSi), cobalt silicide (CoSi), tantalum carbide (TaC), tantalum silicide nitride, TaSiN), tantalum carbide nitride (TaCN), titanium aluminide (TiAl), titanium aluminum nitride (titanium aluminide nitride, TiAlN), other suitable metals or combinations of the above. In this example, conductive portion 193 and conductive portion 194 include tungsten. Furthermore, in some embodiments, chemical vapor deposition (CVD) process, atomic layer deposition (ALD) process, physical The conductive material is formed by a vapor phase deposition (PVD) process, other suitable processes, or a combination of the foregoing processes.

在一些實施例中,如第1K圖所示,在形成接觸阻障層192之前,可進行離子佈植製程,以在第一佈植層11’中形成接觸摻雜區191。其中接觸摻雜區191的摻雜濃度高於第一佈植層11’的摻雜濃度。根據一些實施例,此些接觸摻雜區191位於接觸插塞195和接觸插塞196的下方並與其接觸,以降低導通電阻(on-resistance;Ron)。 In some embodiments, as shown in Figure 1K, before forming the contact barrier layer 192, an ion implantation process may be performed to form the contact doping region 191 in the first implantation layer 11'. The doping concentration of the contact doped region 191 is higher than the doping concentration of the first implant layer 11'. According to some embodiments, these contact doped regions 191 are located under and in contact with the contact plugs 195 and 196 to reduce on-resistance (Ron).

再者,根據一些實施例,在形成接觸插塞195、196之後,係在基底10’的上方沉積一個金屬層197。如第1K圖所示,此金屬層197沉積於接觸阻障層192和接觸插塞195、196上,以做後續內連線之用。在一些實施例中,金屬層197可以是鋁(Al)、鋁銅(AlCu)、或是其他合適的金屬材料。 Furthermore, according to some embodiments, after the contact plugs 195, 196 are formed, a metal layer 197 is deposited over the substrate 10'. As shown in Figure 1K, the metal layer 197 is deposited on the contact barrier layer 192 and the contact plugs 195 and 196 for subsequent interconnection. In some embodiments, the metal layer 197 may be aluminum (Al), aluminum copper (AlCu), or other suitable metal materials.

根據一些實施例,如第1A~1K圖所例示的半導體結構的製造方法,可製得具有自對準之閘極結構166以及自對準之接觸插塞(例如接觸插塞195、196)的半導體結構。根據一些實施例,如第1H-1K圖所示,在第一開口181(如前述,是一理想的開口)中的金屬層197的部分(亦可稱為金屬部分),其沿著第二方向D2的對稱中心線(未示出)係與下方的接觸插塞195沿著第二方向D2的對稱中心線(未示出)重合。而在第二開口182(如前述,是一偏移的開口)中的金屬部分沿著第二方向D2的對稱中心線L1係偏離於下方的接觸插塞196沿著第二方向D2的對稱中心線L2。然而,不論接觸插塞的上方容置有部分的金屬層197的開口是否位於理想的位置或偏移 的位置,根據實施例所製得的半導體結構中,各個接觸插塞的相對兩側壁與相鄰的閘極結構166在第一方向D1上的距離大致相等。根據一些實施例,各個接觸插塞的一側壁與相鄰的一閘極結構166之間沿著第一方向D1的距離係由源極區120沿著第一方向D1的寬度所定義,如第1K圖所示。在一些實施例中,在各個接觸插塞兩側的源極區120在第一方向D1上具有相同的寬度。換言之,實施例的各個接觸插塞係不偏移地位於相鄰的閘極結構166之間。 According to some embodiments, the method of fabricating a semiconductor structure as illustrated in FIGS. 1A to 1K can produce a semiconductor structure having a self-aligned gate structure 166 and self-aligned contact plugs (eg, contact plugs 195, 196). Semiconductor structures. According to some embodiments, as shown in Figures 1H-1K, the portion of the metal layer 197 (which can also be called a metal portion) in the first opening 181 (as mentioned above, is an ideal opening), is along the second The center line of symmetry (not shown) in direction D2 coincides with the center line of symmetry (not shown) of the lower contact plug 195 along the second direction D2. The center line of symmetry L1 of the metal portion in the second opening 182 (as mentioned above, an offset opening) along the second direction D2 is offset from the center of symmetry of the lower contact plug 196 along the second direction D2. Line L2. However, regardless of whether the opening of the metal layer 197 housing the portion above the contact plug is in an ideal position or is offset position, in the semiconductor structure produced according to the embodiment, the distance between the opposite side walls of each contact plug and the adjacent gate structure 166 in the first direction D1 is approximately equal. According to some embodiments, the distance along the first direction D1 between one side wall of each contact plug and an adjacent gate structure 166 is defined by the width of the source region 120 along the first direction D1, as shown in Shown in Figure 1K. In some embodiments, the source regions 120 on both sides of each contact plug have the same width in the first direction D1. In other words, each contact plug of the embodiment is located between adjacent gate structures 166 without offset.

因此,相較於傳統製程中所形成的部件因疊對(overlay)不準確而產生的許多問題,例如不同晶圓的半導體結構(例如晶粒)之間以及/或相同晶圓的中心位置和邊緣位置的半導體結構之間,可能會產生電性上的差異或影響其電性表現,例如造成臨界電壓不穩定、導通電阻不穩定或是無箝制感性負載(UIS)測試失效,甚至導電部件之間(例如接觸插塞與閘極結構)直接接觸而造成短路等問題。實施例所提出的製造方法可以使可接受的疊對偏移的製程窗口(acceptable overlay-misaligned window)擴大,並且形成無偏移設置的自對準的接觸插塞,以避免傳統製程中因疊對不準確而產生的上述問題,進而提升半導體結構的穩定度。因此,實施例的半導體結構可具有穩定的電性表現和良好的可靠度。 Therefore, compared with components formed in traditional processes, there are many problems caused by inaccurate overlay, such as between semiconductor structures (such as dies) of different wafers and/or the center position and position of the same wafer. There may be electrical differences between the semiconductor structures at the edges or affect their electrical performance, such as causing unstable critical voltage, unstable on-resistance, or unclamped inductive load (UIS) test failure, or even between conductive components. Direct contact between (such as contact plug and gate structure) may cause short circuit and other problems. The manufacturing method proposed in the embodiment can expand the acceptable overlay-misaligned process window (acceptable overlay-misaligned window) and form self-aligned contact plugs without offset to avoid overlay-misaligned windows in traditional processes. To solve the above problems caused by inaccuracy, the stability of the semiconductor structure is improved. Therefore, the semiconductor structure of the embodiment can have stable electrical performance and good reliability.

除了上述如第1A-1K圖提出之製造方法,還可以通過其他製造方法製得本案的半導體結構,以製得自對準的閘極溝槽和自對準的接觸插塞。第2A~2E圖是根據本揭露的一些實施例的半導體結構在各個中間製造階段的剖面示意圖。第2A-2E圖中與上述第1A-1K圖相同或相似的部件係使用相同或相似之參考號碼,且可 參照上述實施例中關於該些部件之內容。 In addition to the above-mentioned manufacturing method as shown in Figures 1A-1K, the semiconductor structure of the present invention can also be manufactured by other manufacturing methods to prepare self-aligned gate trenches and self-aligned contact plugs. 2A-2E are schematic cross-sectional views of semiconductor structures at various intermediate manufacturing stages according to some embodiments of the present disclosure. Components in Figures 2A-2E that are identical or similar to those in Figures 1A-1K above have the same or similar reference numbers and may Refer to the content of these components in the above embodiments.

參照第2A圖,首先提供如第1G圖的結構,包括在第一方向D1上彼此相距而且在基底10’中沿第二方向D2向下延伸的多個閘極結構166、用以定義閘極結構166的位置的圖案化遮罩層15(包括第一圖案化遮罩層131’、第二圖案化遮罩層132’、第三圖案化遮罩層133’和間隔物層14)以及介電材料層170。再者,第2A圖的結構還包括第一佈植層(例如一主體佈植層)11’和第二佈植層(例如一源極佈植層)12’於基底10’上。第2A圖中所示的部件的配置、材料和製法的細節,可參照上述第1G圖相關內容的說明,在此不重述。 Referring to Figure 2A, a structure as shown in Figure 1G is first provided, including a plurality of gate structures 166 spaced apart from each other in the first direction D1 and extending downward along the second direction D2 in the substrate 10' to define the gate. The patterned mask layer 15 (including the first patterned mask layer 131', the second patterned mask layer 132', the third patterned mask layer 133' and the spacer layer 14) at the location of the structure 166 and the intervening Layer 170 of electrical material. Furthermore, the structure in Figure 2A also includes a first implantation layer (such as a body implantation layer) 11' and a second implantation layer (such as a source implantation layer) 12' on the substrate 10'. For details of the configuration, materials and manufacturing methods of the components shown in Figure 2A, please refer to the description related to the above Figure 1G and will not be repeated here.

上述第1H-1K圖提出的製造方法是使用光學微影製程以及蝕刻製程去除部分的介電材料層170和部分的圖案化遮罩層15,以暴露出第二圖案化遮罩層132’。與第1H-1K圖的方法不同,第2A-2E圖提出的製造方法是對介電材料層170直接進行一平坦化製程,以暴露出第二圖案化遮罩層132’。 The manufacturing method proposed in the above-mentioned Figures 1H-1K is to use a photolithography process and an etching process to remove part of the dielectric material layer 170 and part of the patterned mask layer 15 to expose the second patterned mask layer 132'. Different from the method in Figures 1H-1K, the manufacturing method proposed in Figures 2A-2E is to directly perform a planarization process on the dielectric material layer 170 to expose the second patterned mask layer 132'.

參照第2B圖,根據一些實施例,對介電材料層170進行一平坦化製程,直到暴露出第二圖案化遮罩層132’為止。在此平坦化製程中,係去除部分的介電材料層170和部分的圖案化遮罩層15。在平坦化製程之後,介電材料層170的留下部分係在閘極結構166的上方形成介電部172,而間隔物層14的留下部分則在介電部172的側壁172s上形成間隔物145和146。根據一些實施例,所形成的間隔物145和146的頂表面可與介電部172的頂表面172a大致上齊平。 Referring to FIG. 2B, according to some embodiments, a planarization process is performed on the dielectric material layer 170 until the second patterned mask layer 132' is exposed. During this planarization process, part of the dielectric material layer 170 and part of the patterned mask layer 15 are removed. After the planarization process, the remaining portion of the dielectric material layer 170 forms the dielectric portion 172 above the gate structure 166 , and the remaining portion of the spacer layer 14 forms a space on the sidewalls 172s of the dielectric portion 172 Things 145 and 146. According to some embodiments, the top surfaces of spacers 145 and 146 may be formed to be substantially flush with top surface 172 a of dielectric portion 172 .

具體而言,如第2B圖所示,在此示例中,平坦化製程係去除部分的介電材料層170、部分的間隔物層14以及第三圖案化遮罩層133’,而暴露出第二圖案化遮罩層132’。因此,在此示例中,第二圖案化遮罩層132’可做為此平坦化製程的一停止層。再者,平坦化製程可能使得介電部172的頂表面172a以及間隔物145和146的頂表面145a和146a有輕微凹陷(dishing)的現象,但是並不影響後續形成自對準接觸插塞的製程。 Specifically, as shown in FIG. 2B , in this example, the planarization process removes part of the dielectric material layer 170 , part of the spacer layer 14 and the third patterned mask layer 133 ′, and exposes the third patterned mask layer 133 ′. Two patterned mask layers 132'. Therefore, in this example, the second patterned mask layer 132' can serve as a stop layer for the planarization process. Furthermore, the planarization process may cause the top surface 172a of the dielectric portion 172 and the top surfaces 145a and 146a of the spacers 145 and 146 to be slightly dished, but this does not affect the subsequent formation of self-aligned contact plugs. process.

在一些實施例中,上述平坦化製程可包含一化學機械研磨(chemical mechanical planarization;CMP)製程、一機械拋光製程、一蝕刻製程、其它合適的製程、或前述製程之組合。在此示例中,係以一化學機械研磨製程去除部分的介電材料層170和部分的圖案化遮罩層15。 In some embodiments, the planarization process may include a chemical mechanical planarization (CMP) process, a mechanical polishing process, an etching process, other suitable processes, or a combination of the foregoing processes. In this example, a chemical mechanical polishing process is used to remove part of the dielectric material layer 170 and part of the patterned mask layer 15 .

接著,參照第2C圖,根據一些實施例,去除第二圖案化遮罩層132’以形成孔洞183A和孔洞184A。孔洞183A和孔洞184A例如是暴露出第一圖案化遮罩層131’的頂表面。可通過一乾式蝕刻製程、一濕式蝕刻製程、一電漿蝕刻製程、一反應性離子蝕刻(RIE)製程、其他合適的製程、或前述製程之組合,以去除第二圖案化遮罩層132’。在一些實施例中,係以一濕式蝕刻製程以去除第二圖案化遮罩層132’,且所使用的蝕刻劑可選擇性地蝕刻第二圖案化遮罩層132’的材料,但大致上不蝕刻介電部172和間隔物145、146的材料。 Next, referring to Figure 2C, according to some embodiments, the second patterned mask layer 132' is removed to form holes 183A and 184A. The holes 183A and 184A are, for example, exposing the top surface of the first patterned mask layer 131'. The second patterned mask layer 132 can be removed through a dry etching process, a wet etching process, a plasma etching process, a reactive ion etching (RIE) process, other suitable processes, or a combination of the foregoing processes. '. In some embodiments, a wet etching process is used to remove the second patterned mask layer 132', and the etchant used can selectively etch the material of the second patterned mask layer 132', but generally The material of dielectric portion 172 and spacers 145, 146 is not etched.

第2C圖中所示的部件的配置、材料和製法的其他相關細節,可參照上述第1I圖相關內容的說明,在此不重述。再者, 相較於第1A-1K圖提出的製造方法,根據第2A-2E圖提出的製造方法並沒有在孔洞183A和孔洞184A的上方形成開口(例如第1H、1I、1J圖所示的第一開口181和第二開口182)。但是,根據第2A-2E圖提出的製造方法,與第1A-1K圖的製造方法相同,可以在各孔洞(例如183A和184A)的兩側形成寬度大致相同的間隔物。 For other relevant details of the configuration, materials and manufacturing methods of the components shown in Figure 2C, please refer to the description of the relevant content in Figure 1I above and will not be repeated here. Furthermore, Compared with the manufacturing method proposed in Figures 1A-1K, the manufacturing method proposed in Figures 2A-2E does not form openings above the holes 183A and 184A (such as the first openings shown in Figures 1H, 1I, and 1J). 181 and second opening 182). However, according to the manufacturing method proposed in Figures 2A-2E, which is the same as the manufacturing method in Figures 1A-1K, spacers with substantially the same width can be formed on both sides of each hole (eg, 183A and 184A).

具體而言,如第2C圖所示,位於孔洞183A兩側的介電部172的側壁172s上的間隔物145是具有大致相同寬度的間隔物,亦即寬度W11與寬度W12大致相同;位於孔洞184A兩側的介電部172的側壁172s上的間隔物146是具有大致相同寬度的間隔物,亦即寬度W21與寬度W22大致相同。 Specifically, as shown in FIG. 2C , the spacers 145 located on the side walls 172s of the dielectric portion 172 on both sides of the hole 183A are spacers with substantially the same width, that is, the width W11 and the width W12 are substantially the same; The spacers 146 on the side walls 172s of the dielectric portion 172 on both sides of 184A are spacers with substantially the same width, that is, the width W21 and the width W22 are substantially the same.

接著,參照第2D圖,根據一些實施例,利用所形成的間隔物145、間隔物146和介電部172作為一蝕刻遮罩,對孔洞183A和孔洞184A下方的材料層進行蝕刻,以形成自對準的接觸孔(self-aligned contact holes)183和184。根據一些實施例,通過蝕刻而延伸孔洞183A和孔洞184A,以貫穿第一圖案化遮罩層131’和第二佈植層12’,並且去除部分的第一佈植層11’。蝕刻後,係在孔洞183A和孔洞184A的下方分別接續的形成孔洞183B和孔洞184B。如第2D圖所示,上方的孔洞183A和孔洞184A大致上位於相鄰的介電部172之間,而下方的孔洞183B和孔洞184B大致上位於相鄰的兩個閘極結構166之間。在此示例中,孔洞183A和孔洞183B係構成接觸孔183,孔洞184A和孔洞184B係構成接觸孔184。所使用的蝕刻製程例如是對於間隔物145、146和第二佈植層12’具有高選擇性,以及對於間隔物145、146和基底10’具有高選擇性, 以選擇性地蝕刻第二佈植層12’和基底10’而不蝕刻間隔物145、146。 Next, referring to Figure 2D, according to some embodiments, the formed spacers 145, 146 and dielectric portion 172 are used as an etching mask to etch the material layer below the hole 183A and the hole 184A to form a self-contained layer. Self-aligned contact holes 183 and 184 . According to some embodiments, holes 183A and 184A are extended by etching to penetrate the first patterned mask layer 131' and the second implanted layer 12', and a portion of the first implanted layer 11' is removed. After etching, holes 183B and holes 184B are successively formed below the holes 183A and 184A, respectively. As shown in FIG. 2D , the upper holes 183A and 184A are generally located between adjacent dielectric portions 172 , while the lower holes 183B and 184B are generally located between two adjacent gate structures 166 . In this example, holes 183A and 183B constitute contact holes 183 , and holes 184A and 184B constitute contact holes 184 . The etching process used is, for example, highly selective for the spacers 145, 146 and the second implant layer 12', and highly selective for the spacers 145, 146 and the substrate 10', to selectively etch the second implant layer 12' and the substrate 10' without etching the spacers 145, 146.

第2D圖中所示的部件的配置、材料和製法的其他相關細節,可參照上述第1J圖相關內容的說明,在此不重述。 For other relevant details of the configuration, materials and manufacturing methods of the components shown in Figure 2D, please refer to the description of the relevant content in Figure 1J above and will not be repeated here.

再者,根據一些實施例,在形成接觸孔183和接觸孔184的步驟中,亦形成源極區120。此些接觸孔183、184係暴露出源極區120的側壁120s。如第2D圖所示,延伸孔洞183A和孔洞184A以貫穿第一圖案化遮罩層131’和第二佈植層12’之後,第二佈植層12’的留下部分係形成此些源極區120。根據一些實施例,源極區120係位於基底10’與相應的間隔物145、146之間。 Furthermore, according to some embodiments, during the step of forming the contact hole 183 and the contact hole 184, the source region 120 is also formed. These contact holes 183 and 184 expose the sidewalls 120s of the source region 120 . As shown in Figure 2D, after extending the holes 183A and the holes 184A to penetrate the first patterned mask layer 131' and the second implantation layer 12', the remaining portions of the second implantation layer 12' form these sources. Polar area 120. According to some embodiments, source region 120 is located between substrate 10' and corresponding spacers 145, 146.

在此示例中,由於源極區120是以間隔物145、146為蝕刻遮罩向下蝕刻而形成的,因此間隔物145的側壁145s和間隔物146的側壁146s是分別齊平(aligned with)於下方源極區120的側壁120s。再者,在一些實施例中,由於在孔洞183A、184A兩側的間隔物具有大致相同的寬度,因此所製得的各個接觸孔183或184的兩側的源極區120沿著第一方向D1亦具有相同寬度。 In this example, since the source region 120 is formed by etching downwards using the spacers 145 and 146 as etching masks, the sidewalls 145s of the spacer 145 and the sidewalls 146s of the spacer 146 are aligned with respectively. On the sidewall 120s of the lower source region 120. Furthermore, in some embodiments, since the spacers on both sides of the holes 183A and 184A have approximately the same width, the source regions 120 on both sides of each contact hole 183 or 184 are formed along the first direction. D1 also has the same width.

接著,參照第2E圖,根據一些實施例,在接觸孔183和接觸孔184中分別形成接觸插塞195和接觸插塞196。在此示例中,接觸孔183中的導電部193以及接觸阻障層192在接觸孔183中的部分1921係共同形成接觸插塞195。接觸孔184中的導電部194以及接觸阻障層192在接觸孔184中的部分1922係共同形成接觸插塞196。接觸阻障層192、導電部193、導電部194的材料和形成方法可參照上述第1K圖相關內容的說明,在此不重述。 Next, referring to FIG. 2E , according to some embodiments, contact plugs 195 and 196 are formed in contact holes 183 and 184 respectively. In this example, the conductive portion 193 in the contact hole 183 and the portion 1921 of the contact barrier layer 192 in the contact hole 183 together form the contact plug 195 . The conductive portion 194 in the contact hole 184 and the portion 1922 of the contact barrier layer 192 in the contact hole 184 together form a contact plug 196 . For the materials and formation methods of the contact barrier layer 192, the conductive portion 193, and the conductive portion 194, please refer to the description related to the above-mentioned Figure 1K and will not be repeated here.

在一些實施例中,在形成接觸阻障層192之前,可進行離子佈植製程,以在第一佈植層11’中形成重摻雜的接觸摻雜區191。此些接觸摻雜區191位於接觸插塞195和接觸插塞196的下方並與其接觸,可以降低導通電阻(Ron)。 In some embodiments, before forming the contact barrier layer 192, an ion implantation process may be performed to form a heavily doped contact doping region 191 in the first implantation layer 11'. These contact doped regions 191 are located under and in contact with the contact plugs 195 and 196, which can reduce the on-resistance (Ron).

再者,根據一些實施例,在形成接觸插塞195、196之後,係在基底10’的上方沉積一金屬層197。如第2E圖所示,此金屬層197沉積於接觸阻障層192和接觸插塞195、196上,以做後續內連線之用。在一些實施例中,金屬層197可以是鋁(Al)、鋁銅(AlCu)、或是其他合適的金屬材料。 Furthermore, according to some embodiments, after the contact plugs 195, 196 are formed, a metal layer 197 is deposited over the substrate 10'. As shown in FIG. 2E, the metal layer 197 is deposited on the contact barrier layer 192 and the contact plugs 195 and 196 for subsequent interconnection. In some embodiments, the metal layer 197 may be aluminum (Al), aluminum copper (AlCu), or other suitable metal materials.

綜合上述,根據本揭露一些實施例,如第1A-1K圖、第2A~2E圖所例示的半導體結構的製造方法,可製得具有自對準之接觸插塞(例如接觸插塞195、196)的半導體結構,且所形成的自對準之接觸插塞可以與兩側的閘極結構(例如自對準之閘極結構166)維持大致上相等的距離,因此可以使得可接受的疊對偏移的製程窗口擴大,使半導體結構的電性表現更為穩定,進而改善半導體結構的電子特性和可靠度。實施例的製造方法和製得的半導體結構應用在溝槽式MOS裝置時,特別是具有小間距之溝槽式閘極的MOS裝置,可以改善傳統製法中所形成的部件容易有疊對(overlay)不準確的缺陷,進而避免因為疊對不準確所造成的種種問題,例如避免不同晶圓的半導體結構(例如晶粒)之間以及/或相同晶圓的中心位置和邊緣位置的半導體結構之間的電性表現不穩定(包括可能造成的臨界電壓不穩定、導通電阻不穩定、無箝制感性負載(UIS)測試失效...等問題)、甚至導電部件之間(例如接觸插塞與閘 極結構)直接接觸而造成短路等可靠度不佳(poor reliability)的問題。因此,應用實施例所提出的製造方法和製得的半導體結構的MOS裝置,特別是具有小間距之閘極結構的MOS裝置,可以改善其電子特性和可靠度。In summary, according to some embodiments of the present disclosure, such as the manufacturing method of the semiconductor structure illustrated in FIGS. 1A-1K and 2A-2E, contact plugs (such as contact plugs 195, 196) with self-alignment can be produced. ) semiconductor structure, and the formed self-aligned contact plug can maintain a substantially equal distance from the gate structures on both sides (such as the self-aligned gate structure 166), thereby enabling acceptable overlay. The offset process window is expanded, making the electrical performance of the semiconductor structure more stable, thereby improving the electronic characteristics and reliability of the semiconductor structure. When the manufacturing method and the semiconductor structure produced in the embodiment are applied to trench-type MOS devices, especially MOS devices with trench-type gates with small pitches, they can improve the tendency of components formed in traditional manufacturing methods to overlay. Inaccurate defects, thereby avoiding various problems caused by inaccurate overlay, such as avoiding between semiconductor structures (such as dies) on different wafers and/or between semiconductor structures at the center and edge of the same wafer The electrical performance is unstable (including possible critical voltage instability, unstable on-resistance, unclamped inductive load (UIS) test failure, etc.), or even between conductive parts (such as contact plugs and gates) pole structure) in direct contact, causing short circuit and other poor reliability problems. Therefore, the electronic characteristics and reliability of MOS devices, especially MOS devices with small-pitch gate structures, can be improved by applying the manufacturing methods and semiconductor structures produced in the embodiments.

10,10’:基底 10,10’: Base

11,11’:第一佈植層 11,11’: first planting layer

12,12’:第二佈植層 12,12’: Second planting layer

12a,120a,13a,132a,141a,142a,145a,146a,164a,166a,17a,193a,194a:頂表面 12a,120a,13a,132a,141a,142a,145a,146a,164a,166a,17a,193a,194a: top surface

12b,120b:底表面 12b,120b: Bottom surface

120:源極區 120: Source area

120s,13s,141s,142s,17s:側壁 120s,13s,141s,142s,17s: side wall

13:遮罩條 13: Mask strip

130:硬質遮罩 130:Hard mask

131:第一遮罩層 131: First mask layer

131’:第一圖案化遮罩層 131’: First patterned mask layer

132:第二遮罩層 132: Second mask layer

132’:第二圖案化遮罩層 132’: Second patterned mask layer

133:第三遮罩層 133: The third mask layer

133’:第三圖案化遮罩層 133’: The third patterned mask layer

14:間隔物層14: Spacer layer

141:第一組間隔物141: The first set of spacers

142:第二組間隔物142: The second set of spacers

143,145,146:間隔物143,145,146: spacer

15:圖案化遮罩層15: Patterned mask layer

152,18:開口152,18:Open your mouth

16:閘極溝槽16: Gate trench

162:閘極介電層162: Gate dielectric layer

164:閘極電極164: Gate electrode

166:閘極結構166: Gate structure

17,172:介電部17,172:Dielectric Department

170:介電材料層170: Dielectric material layer

181:第一開口181:First opening

182:第二開口182:Second opening

183A,184A,183B,184B:孔洞183A, 184A, 183B, 184B: holes

183,184:接觸孔183,184:Contact hole

191:接觸摻雜區191:Contact doped area

192,1921,1922:接觸阻障層192,1921,1922: Contact barrier layer

193,194:導電部193,194: Conductive Department

195,196:接觸插塞195,196: Contact plug

197:金屬層197:Metal layer

PR:圖案化光阻層PR: Patterned photoresist layer

W11,W12,W21,W22:寬度W11, W12, W21, W22: Width

D1:第一方向D1: first direction

D2:第二方向D2: second direction

D3:第三方向D3: Third direction

L1,L2:對稱中心線L1, L2: Center line of symmetry

第1A、1B、1C、1D、1E、1F、1G、1H、1I、1J、1K圖是根據本揭露的一些實施例的半導體結構在各個中間製造階段的剖面示意圖。 第2A、2B、2C、2D、2E圖是根據本揭露的一些實施例的半導體結構在各個中間製造階段的剖面示意圖。 1A, 1B, 1C, 1D, 1E, 1F, 1G, 1H, 1I, 1J, and 1K are cross-sectional schematic diagrams of semiconductor structures at various intermediate manufacturing stages according to some embodiments of the present disclosure. Figures 2A, 2B, 2C, 2D, and 2E are schematic cross-sectional views of semiconductor structures at various intermediate manufacturing stages according to some embodiments of the present disclosure.

10’:基底 10’: Base

11’:第一佈植層 11’: The first planting layer

120a,141a,142a,164a,166a,193a,194a:頂表面 120a,141a,142a,164a,166a,193a,194a: top surface

120b:底表面 120b: Bottom surface

120:源極區 120: Source area

120s,17s:側壁 120s,17s: side wall

131’:第一圖案化遮罩層 131’: First patterned mask layer

141:第一組間隔物 141: The first set of spacers

142:第二組間隔物 142: The second set of spacers

143:間隔物 143: spacer

162:閘極介電層 162: Gate dielectric layer

164:閘極電極 164: Gate electrode

166:閘極結構 166: Gate structure

17:介電部 17:Dielectric Department

191:接觸摻雜區 191:Contact doped area

192,1921,1922:接觸阻障層 192,1921,1922: Contact barrier layer

193,194:導電部 193,194: Conductive Department

195,196:接觸插塞 195,196: Contact plug

197:金屬層 197:Metal layer

D1:第一方向 D1: first direction

D2:第二方向 D2: second direction

D3:第三方向 D3: Third direction

L1,L2:對稱中心線 L1, L2: Center line of symmetry

Claims (15)

一種半導體結構,包括:一基底;複數個閘極結構(gate structures),位於該基底中;複數個介電部(dielectric portions),分別位於相應的該些閘極結構上;複數個間隔物(spacers),與該些介電部的側壁相鄰且沿著該些介電部的該些側壁延伸;複數個源極區(source regions),位於該基底與該些間隔物之間,且該些源極區相鄰於該些閘極結構;複數個接觸插塞(contact plugs),位於相鄰的該些閘極結構之間並與相應的該些源極區接觸;以及在該些接觸插塞上分別形成一金屬部份,且該些金屬部份係位於相鄰的該些介電部之間,該些金屬部份其中一個係與下方相應的該接觸插塞偏移設置;其中,該些間隔物的側壁分別與下方相應的該些源極區的側壁齊平。 A semiconductor structure includes: a substrate; a plurality of gate structures (gate structures) located in the substrate; a plurality of dielectric portions (dielectric portions) located on the corresponding gate structures; a plurality of spacers ( spacers adjacent to and extending along the sidewalls of the dielectric portions; a plurality of source regions located between the substrate and the spacers, and the The source regions are adjacent to the gate structures; a plurality of contact plugs are located between the adjacent gate structures and in contact with the corresponding source regions; and in the contacts A metal part is respectively formed on the plug, and the metal parts are located between the adjacent dielectric parts, and one of the metal parts is offset from the corresponding contact plug below; wherein , the side walls of the spacers are respectively flush with the side walls of the corresponding source regions below. 如請求項1之半導體結構,其中該些介電部係分別與相應的該些閘極結構直接接觸。 The semiconductor structure of claim 1, wherein the dielectric parts are in direct contact with the corresponding gate structures respectively. 如請求項1之半導體結構,其中該些間隔物係與相應的該些介電部的該些側壁直接接觸,且該些間隔物的頂表面不超過該些介電部的頂表面。 The semiconductor structure of claim 1, wherein the spacers are in direct contact with the sidewalls of the corresponding dielectric parts, and the top surfaces of the spacers do not exceed the top surfaces of the dielectric parts. 如請求項1之半導體結構,其中該些閘極結構的頂 表面係不超過該些源極區的頂表面或高於該些源極區的底表面。 The semiconductor structure of claim 1, wherein the tops of the gate structures The surface is no higher than the top surface of the source regions or higher than the bottom surface of the source regions. 如請求項1之半導體結構,其中該些接觸插塞的各個接觸插塞的相對兩側壁到相鄰的該些閘極結構的距離係相等。 The semiconductor structure of claim 1, wherein the distances from the opposite side walls of each of the contact plugs to the adjacent gate structures are equal. 如請求項1之半導體結構,其中該些閘極結構在第一方向上分隔開來,該些接觸插塞的各個接觸插塞的兩側所接觸的該些源極區沿著該第一方向係具有相同寬度。 The semiconductor structure of claim 1, wherein the gate structures are separated in a first direction, and the source regions contacted by both sides of each contact plug are along the first direction. Directional systems have the same width. 如請求項1之半導體結構,其中該些間隔物的一最頂表面係高於該些接觸插塞的頂表面。 The semiconductor structure of claim 1, wherein a topmost surface of the spacers is higher than a top surface of the contact plugs. 如請求項1之半導體結構,更包括一佈植層,該些源極區位於該佈植層上,該些接觸插塞係延伸至該佈植層中。 The semiconductor structure of claim 1 further includes a implant layer, the source regions are located on the implant layer, and the contact plugs extend into the implant layer. 一種半導體結構的製造方法,包括:提供一基底;形成複數個閘極結構,在該基底中;形成複數個遮罩條,該些遮罩條在第一方向上相隔開來在該基底上,且該些閘極結構與該些遮罩條於一垂直投影方向上不重疊;形成一間隔物層,於該些遮罩條之兩側,各該遮罩條與該間隔物層形成一圖案化遮罩層;形成複數個介電部覆蓋該些閘極結構與該圖案化遮罩層;移除該些遮罩條,形成複數個開口;以及形成複數個接觸插塞,填入該些開口。 A method of manufacturing a semiconductor structure, including: providing a substrate; forming a plurality of gate structures in the substrate; forming a plurality of mask strips, the mask strips being spaced apart in a first direction on the substrate, And the gate structures and the mask strips do not overlap in a vertical projection direction; a spacer layer is formed, and on both sides of the mask strips, each of the mask strips and the spacer layer form a pattern Patterning the mask layer; forming a plurality of dielectric portions to cover the gate structures and the patterned mask layer; removing the mask strips to form a plurality of openings; and forming a plurality of contact plugs to fill the Open your mouth. 如請求項9之半導體結構的製造方法,其中形成該些閘極結構係包括:在該基底中形成複數個閘極溝槽(gate trenches);沉積一導電材料於該基底的上方並填滿該些閘極溝槽;以及 回蝕刻(etch back)該導電材料,以在該些閘極溝槽中形成該些閘極結構;其中該些閘極結構的頂表面係高於複數個源極區的底表面,並且不超過該些源極區的頂表面。 The manufacturing method of a semiconductor structure as claimed in claim 9, wherein forming the gate structures includes: forming a plurality of gate trenches in the substrate; depositing a conductive material on top of the substrate and filling the substrate some gate trenches; and Etch back the conductive material to form the gate structures in the gate trenches; wherein the top surfaces of the gate structures are higher than the bottom surfaces of the plurality of source regions and do not exceed The top surfaces of the source regions. 如請求項10之半導體結構的製造方法,其中在形成該些閘極結構之前,更包括:在該基底上形成一第一佈植層;以及在該第一佈植層上形成一第二佈植層,其中該第二佈植層的摻雜濃度係高於該第一佈植層的摻雜濃度;其中該些閘極溝槽係貫穿該第二佈植層和該第一佈植層而延伸至於該基底中。 The manufacturing method of a semiconductor structure as claimed in claim 10, before forming the gate structures, further comprising: forming a first implantation layer on the substrate; and forming a second implantation layer on the first implantation layer. Implantation layer, wherein the doping concentration of the second implantation layer is higher than the doping concentration of the first implantation layer; wherein the gate trenches penetrate the second implantation layer and the first implantation layer and extend into the base. 如請求項11半導體結構的製造方法,其中形成該些遮罩條係包括:在該基底的上方依序形成一第一遮罩層、一第二遮罩層與一第三遮罩層;以及圖案化該第一遮罩層、該第二遮罩層與該第三遮罩層,以形成該些遮罩條。 The manufacturing method of a semiconductor structure as claimed in claim 11, wherein forming the mask strips includes: sequentially forming a first mask layer, a second mask layer and a third mask layer above the substrate; and The first mask layer, the second mask layer and the third mask layer are patterned to form the mask strips. 如請求項12半導體結構的製造方法,其中形成該些閘極結構係包括:以該圖案化遮罩層為一蝕刻遮罩,對該第二佈植層、該第一佈植層和該基底進行蝕刻,以形成該些閘極溝槽。 The manufacturing method of a semiconductor structure as claimed in claim 12, wherein forming the gate structures includes: using the patterned mask layer as an etching mask, the second implantation layer, the first implantation layer and the substrate Etching is performed to form the gate trenches. 如請求項13半導體結構的製造方法,其中在該些閘極結構的上方形成該些介電部係包括: 形成一介電材料層於該些閘極結構和該圖案化遮罩層的上方;以及去除部分的該介電材料層和部分的該圖案化遮罩層;其中,該介電材料層的留下部分是在該些閘極結構上的該些介電部,該間隔物層的留下部分係在該些介電部的複數個側壁上形成複數個間隔物。 As claimed in claim 13, the manufacturing method of a semiconductor structure, wherein forming the dielectric portions above the gate structures includes: Forming a dielectric material layer over the gate structures and the patterned mask layer; and removing part of the dielectric material layer and part of the patterned mask layer; wherein the remaining part of the dielectric material layer The lower portion is the dielectric portions on the gate structures, and the remaining portions of the spacer layer form a plurality of spacers on the sidewalls of the dielectric portions. 如請求項14半導體結構的製造方法,其中形成該些接觸插塞係包括:去除該些間隔物之間的該些遮罩條的留下部分,以在該些間隔物之間形成孔洞;延伸該些孔洞以貫穿該第二佈植層和去除部分的該第一佈植層,而形成接觸孔(contact holes);其中該第二佈植層的留下部分係形成該些源極區,該些接觸孔係暴露出該些源極區的複數個側壁;以及在該些接觸孔中填入另一導電材料以形成該些接觸插塞。 The manufacturing method of a semiconductor structure as claimed in claim 14, wherein forming the contact plugs includes: removing remaining portions of the mask strips between the spacers to form holes between the spacers; extending The holes penetrate the second implantation layer and the removed portion of the first implantation layer to form contact holes; wherein the remaining portions of the second implantation layer form the source regions, The contact holes expose a plurality of sidewalls of the source regions; and another conductive material is filled in the contact holes to form the contact plugs.
TW111118635A 2022-05-19 2022-05-19 Semiconductor structure and methods for manufacturing the same TWI813294B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW111118635A TWI813294B (en) 2022-05-19 2022-05-19 Semiconductor structure and methods for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW111118635A TWI813294B (en) 2022-05-19 2022-05-19 Semiconductor structure and methods for manufacturing the same

Publications (2)

Publication Number Publication Date
TWI813294B true TWI813294B (en) 2023-08-21
TW202347686A TW202347686A (en) 2023-12-01

Family

ID=88585792

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111118635A TWI813294B (en) 2022-05-19 2022-05-19 Semiconductor structure and methods for manufacturing the same

Country Status (1)

Country Link
TW (1) TWI813294B (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7211862B2 (en) * 2003-08-04 2007-05-01 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
TW201503366A (en) * 2013-07-08 2015-01-16 Anpec Electronics Corp Trench type semiconductor power device and fabrication method thereof
TW202032790A (en) * 2019-02-23 2020-09-01 世界先進積體電路股份有限公司 Semiconductor devices and methods for forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7211862B2 (en) * 2003-08-04 2007-05-01 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
TW201503366A (en) * 2013-07-08 2015-01-16 Anpec Electronics Corp Trench type semiconductor power device and fabrication method thereof
TW202032790A (en) * 2019-02-23 2020-09-01 世界先進積體電路股份有限公司 Semiconductor devices and methods for forming the same

Also Published As

Publication number Publication date
TW202347686A (en) 2023-12-01

Similar Documents

Publication Publication Date Title
KR102465095B1 (en) Etch stop layer for semiconductor devices
JP6746664B2 (en) Transistor and manufacturing method thereof
US10340355B2 (en) Method of forming a dual metal interconnect structure
US8187962B2 (en) Self aligned silicided contacts
CN111653483B (en) Semiconductor device and method for manufacturing the same
US20160379925A1 (en) Stable contact on one-sided gate tie-down structure
CN106711042A (en) Method and structure for semiconductor mid-end-of-line (MEOL) process
KR101959637B1 (en) Methods for reducing contact depth variation in semiconductor fabrication
TW201824492A (en) Semiconductor devices and methods for fabricating the same
TW202221925A (en) Semiconductor device
TW202127617A (en) Semiconductor structure
CN113327975A (en) Semiconductor element structure and forming method thereof
CN106206714B (en) Semiconductor device with a plurality of transistors
CN110911493A (en) Integrated circuit device and forming method thereof
US20220238695A1 (en) Self-Aligned Source/Drain Metal Contacts and Formation Thereof
TWI813294B (en) Semiconductor structure and methods for manufacturing the same
US6780691B2 (en) Method to fabricate elevated source/drain transistor with large area for silicidation
US11195934B2 (en) Structure and method for bi-layer self-aligned contact
CN110571187B (en) Method for manufacturing semiconductor device
US20240021475A1 (en) Semiconductor structure and methods for manufacturing the same
CN117174677A (en) Semiconductor structure and manufacturing method thereof
TWI795770B (en) Contact structures for reducing electrical shorts and methods of forming the same
CN114068396B (en) Semiconductor structure and forming method thereof
TWI854640B (en) Nanostructure field-effect transistor and manufacturing method thereof
US11462641B2 (en) Semiconductor device and method of fabricating the same