TWI813237B - Semiconductor device and method forming the same - Google Patents

Semiconductor device and method forming the same Download PDF

Info

Publication number
TWI813237B
TWI813237B TW111112374A TW111112374A TWI813237B TW I813237 B TWI813237 B TW I813237B TW 111112374 A TW111112374 A TW 111112374A TW 111112374 A TW111112374 A TW 111112374A TW I813237 B TWI813237 B TW I813237B
Authority
TW
Taiwan
Prior art keywords
layer
metal layer
opening
forming
substrate
Prior art date
Application number
TW111112374A
Other languages
Chinese (zh)
Other versions
TW202341483A (en
Inventor
游秀美
江廣原
謝政倚
張維展
林長生
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW111112374A priority Critical patent/TWI813237B/en
Application granted granted Critical
Publication of TWI813237B publication Critical patent/TWI813237B/en
Publication of TW202341483A publication Critical patent/TW202341483A/en

Links

Images

Abstract

A semiconductor device includes: a substrate; a seed layer, disposed on the substrate; a compound semiconductor stack layer, disposed on the seed layer; and a source metal layer and a drain metal layer, disposed on the compound semiconductor stack layer. The semiconductor device further includes a conductive layer, at least partially covering the source metal layer and the drain metal layer, and covering opposing sidewall surfaces of the seed layer and opposing sidewall surfaces of the compound semiconductor stack layer. The conductive layer electrically connects the seed layer and the source metal layer.

Description

半導體元件及其形成方法Semiconductor components and methods of forming the same

本發明是關於半導體元件及其形成方法,特別是關於一種高電子遷移率電晶體的導電層及其形成方法。The present invention relates to semiconductor elements and methods of forming the same, and in particular to a conductive layer of a high electron mobility transistor and a method of forming the same.

以氮化鎵為主(GaN-based)的半導體材料具有許多卓越的材料特性,例如高抗熱性、寬能隙(band-gap)、高電子飽和速率。因此,以氮化鎵為主的半導體材料適合應用於高溫、高電壓、或高電流的環境。近年來,以氮化鎵為主的半導體材料已廣泛地應用於發光二極體(light emitting diode, LED)元件或高頻元件,例如具有異質界面結構的高電子遷移率電晶體(high electron mobility transistor, HEMT)。GaN-based semiconductor materials have many excellent material properties, such as high thermal resistance, wide band-gap, and high electron saturation rate. Therefore, semiconductor materials based on gallium nitride are suitable for use in high-temperature, high-voltage, or high-current environments. In recent years, semiconductor materials based on gallium nitride have been widely used in light emitting diode (LED) components or high-frequency components, such as high electron mobility transistors with heterogeneous interface structures. transistor, HEMT).

雖然高電子遷移率電晶體具有多項優勢,但是習知的高電子遷移率電晶體在大電流和高電壓的應用上仍有許多需要克服的問題。如在高電子遷移率電晶體元件的運作中,位於元件結構中較底層的磊晶層,因其本身材料特性而容易累積過多的電荷。在這樣的情況下,這些累積電荷將影響元件的正常運作。Although high electron mobility transistors have many advantages, conventional high electron mobility transistors still have many problems that need to be overcome in applications with high current and high voltage. For example, in the operation of high electron mobility transistor devices, the epitaxial layer located at the lower layer of the device structure is prone to accumulating excessive charges due to its own material characteristics. In such a case, these accumulated charges will affect the normal operation of the component.

有鑑於此,有必要提出一種改良的高電子遷移率電晶體,以改善習知高電子遷移率電晶體所存在之缺失。In view of this, it is necessary to propose an improved high electron mobility transistor to improve the shortcomings of conventional high electron mobility transistors.

一種半導體元件,包括:基底;設置於基底上的晶種層;設置於晶種層上的化合物半導體疊層;設置於化合物半導體疊層上的源極金屬層和汲極金屬層;以及至少部分覆蓋源極金屬層和汲極金屬層的導電層,且導電層覆蓋晶種層的相對兩側表面和化合物半導體疊層的相對兩側表面,其中導電層電性連接晶種層和源極金屬層。A semiconductor element, including: a substrate; a seed layer provided on the substrate; a compound semiconductor stack provided on the seed layer; a source metal layer and a drain metal layer provided on the compound semiconductor stack; and at least part of A conductive layer covering the source metal layer and the drain metal layer, and the conductive layer covers the opposite side surfaces of the seed layer and the opposite side surfaces of the compound semiconductor stack, wherein the conductive layer is electrically connected to the seed layer and the source metal layer.

一種半導體元件的形成方法,包括:提供基底;於基底上形成晶種層;於晶種層上形成化合物半導體疊層;於化合物半導體疊層上形成源極金屬層和汲極金屬層;進行切割製程以形成切割開口穿過化合物半導體疊層和晶種層,並延伸進入基底的至少一部分;順應性地沉積導電層於切割開口的底部和側壁上,並覆蓋源極金屬層和汲極金屬層;以及移除導電層位於源極金屬層和汲極金屬層之間的部分。A method for forming a semiconductor element, including: providing a substrate; forming a seed layer on the substrate; forming a compound semiconductor stack on the seed layer; forming a source metal layer and a drain metal layer on the compound semiconductor stack; and performing cutting. Process to form a cutting opening passing through the compound semiconductor stack and the seed layer and extending into at least a portion of the substrate; compliantly depositing a conductive layer on the bottom and sidewalls of the cutting opening and covering the source metal layer and the drain metal layer ; and removing the portion of the conductive layer located between the source metal layer and the drain metal layer.

以下揭露提供了許多不同的實施例或範例,用於實施本揭露實施例的不同部件。組件和配置的具體範例描述如下,以簡化本揭露實施例。當然,這些僅僅是範例,並非用以限定本揭露實施例。舉例來說,敘述中提及第一部件形成於第二部件之上,可包括形成第一和第二部件直接接觸的實施例,也可包括額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本揭露可在各種範例中重複元件符號及∕或字母。這樣重複是為了簡化和清楚的目的,其本身並非主導所討論各種實施例及∕或配置之間的關係。The following disclosure provides many different embodiments or examples for implementing different components of embodiments of the present disclosure. Specific examples of components and configurations are described below to simplify embodiments of the present disclosure. Of course, these are only examples and are not intended to limit the embodiments of the present disclosure. For example, the description mentioning that the first component is formed on the second component may include an embodiment in which the first and second components are in direct contact, or may include an additional component formed between the first and second components. , an embodiment in which the first and second components are not in direct contact. Additionally, the present disclosure may repeat component symbols and/or letters in various examples. Such repetition is for purposes of simplicity and clarity and does not in itself govern the relationship between the various embodiments and/or configurations discussed.

此外,在本發明的一些實施例中,關於接合、連接之用語例如「連接」、「互連」等,除非特別定義,否則可指兩個結構係直接接觸,或者亦可指兩個結構並非直接接觸,其中有其它結構設於此兩個結構之間。In addition, in some embodiments of the present invention, terms related to joining and connecting, such as "connection", "interconnection", etc., unless otherwise defined, may mean that two structures are in direct contact, or may also mean that the two structures are not in direct contact. Direct contact, where other structures are located between the two structures.

再者,此處可使用空間上相關的用語,如「在…之下」、「下方的」、「低於」、「在…上方」、「上方的」和類似用語可用於此,以便描述如圖所示一元件或部件和其他元件或部件之間的關係。這些空間用語企圖包括使用或操作中的裝置的不同方位,以及圖式所述的方位。當裝置被轉至其他方位(旋轉90°或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。Furthermore, spatially related terms can be used here, such as "under", "below", "below", "above", "above" and similar terms can be used here to facilitate description. The diagram shows the relationship between one element or component and other elements or components. These spatial terms are intended to include the various orientations of the device in use or operation, as well as the orientation depicted in the drawings. When the device is rotated 90° or at any other orientation, the spatially relative descriptors used herein may be interpreted similarly to the rotated orientation.

此處所使用的「約」、「大約」、「大抵」之用語通常表示在一給定值的±20%之內,較佳是±10%之內,且更佳是±5%之內,或±3%之內,或±2%之內,或±1%之內,或±0.5%之內。在此給定的數值為大約的數值,亦即在沒有特定說明「約」、「大約」、「大抵」的情況下,此給定的數值仍可隱含「約」、「大約」、「大抵」之含義。The terms "about", "approximately" and "approximately" used herein generally mean within ±20% of a given value, preferably within ±10%, and more preferably within ±5%. Or within ±3%, or within ±2%, or within ±1%, or within ±0.5%. The numerical values given here are approximate values. That is to say, in the absence of specific instructions of "about", "approximately" and "approximately", the given numerical values may still imply "about", "approximately", "approximately" "Probably" meaning.

以下敘述一些本揭露實施例,在這些實施例中所述的多個階段之前、期間及∕或之後,可提供額外的步驟。半導體裝置結構可增加額外部件。一些所述部件在不同實施例中可被替換或省略。儘管所討論的一些實施例以特定順序的步驟執行,這些步驟仍可以另一合乎邏輯的順序執行。Some embodiments of the present disclosure are described below in which additional steps may be provided before, during, and/or after the various stages described in these embodiments. Additional components may be added to the semiconductor device structure. Some of the described components may be replaced or omitted in different embodiments. Although some of the embodiments discussed are performed in a specific order of steps, the steps may be performed in another logical order.

除非另外定義,在此使用的全部用語(包括技術及科學用語)具有與本發明所屬技術領域中具有通常知識者所通常理解的相同涵義。能理解的是,這些用語,例如在通用字典中定義的用語,應被解讀成具有與相關技術及本發明的背景或上下文一致的意思,而不應以一理想化或過度正式的方式解讀,除非在本揭露實施例有特別定義。Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It is understood that these terms, such as those defined in general dictionaries, should be interpreted to have a meaning consistent with the relevant technology and the background or context of the invention, and should not be interpreted in an idealized or overly formal manner. Unless otherwise defined in the embodiments of this disclosure.

第1~4、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、和15A圖是根據本揭露的一些實施例,繪示在各階段半導體元件10及其封裝裝置1000的形成方法的剖面示意圖。在一些實施例中,半導體元件10依照所應用之領域,可包括任何數量的主動組件和被動組件。為了簡化起見,第1圖僅繪示兩個電晶體10T彼此相鄰排列。根據本揭露的一些實施例,電晶體10T可為高電子遷移率電晶體(high electron mobility transistor, HEMT)。封裝裝置1000可用於乘載單晶化(singulation)後的至少一個電晶體10T。1 to 4, 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, and 15A illustrate the semiconductor device 10 and its packaging device 1000 at various stages according to some embodiments of the present disclosure. Schematic cross-sectional view of the formation method. In some embodiments, the semiconductor device 10 may include any number of active and passive components depending on the field of application. For the sake of simplicity, FIG. 1 only shows two transistors 10T arranged adjacent to each other. According to some embodiments of the present disclosure, the transistor 10T may be a high electron mobility transistor (HEMT). The packaging device 1000 can be used to carry at least one transistor 10T after single crystallization (singulation).

參照第1圖,半導體元件10可包括基底100、埋入式氧化物層110、晶種層120、化合物半導體疊層200、介電層300、源極電極410、汲極電極420、閘極電極430、源極接觸件510、汲極接觸件520、源極金屬層610、汲極金屬層620、以及鈍化層700。在一些實施例中,化合物半導體疊層200可包括緩衝層210、通道層220、以及阻障層230。再者,介電層300可包括第一介電層310和第二介電層320。每個源極電極410、每個汲極電極420、以及設置於源極電極410和汲極電極420之間的每個閘極電極430可構成本案的電晶體10T,例如高電子遷移率電晶體。在一實施例中,半導體元件10扣除基底100的膜層厚度為約1μm至約25μm。參照第1圖,基底100可為半導體基底,例如矽基底。此外,在一些實施例中,半導體基底亦可為:元素半導體(elemental semiconductor),包括鍺(germanium);化合物半導體(compound semiconductor),包含氮化鎵(gallium nitride, GaN)、碳化矽(silicon carbide, SiC)、砷化鎵(gallium arsenide, GaAs)、磷化鎵(gallium phosphide, GaP)、磷化銦(indium phosphide, InP)、砷化銦(indium arsenide, InAs)、及∕或銻化銦(indium antimonide, InSb);合金半導體(alloy semiconductor),包含矽鍺(silicon germanium, SiGe)合金、磷砷鎵(gallium arsenide phosphide, GaAsP)合金、砷鋁銦(aluminum indium arsenide, AlInAs)合金、砷鋁鎵(aluminum gallium arsenide, AlGaAs)合金、砷鎵銦(gallium indium arsenide, GaInAs)合金、磷鎵銦(gallium indium phosphide, GaInP)合金、及∕或砷磷鎵銦(gallium indium arsenide phosphide, GaInAsP)合金、或其組合。Referring to FIG. 1 , the semiconductor device 10 may include a substrate 100 , a buried oxide layer 110 , a seed layer 120 , a compound semiconductor stack 200 , a dielectric layer 300 , a source electrode 410 , a drain electrode 420 , and a gate electrode. 430. Source contact 510, drain contact 520, source metal layer 610, drain metal layer 620, and passivation layer 700. In some embodiments, the compound semiconductor stack 200 may include a buffer layer 210, a channel layer 220, and a barrier layer 230. Furthermore, the dielectric layer 300 may include a first dielectric layer 310 and a second dielectric layer 320 . Each source electrode 410, each drain electrode 420, and each gate electrode 430 disposed between the source electrode 410 and the drain electrode 420 may constitute the transistor 10T of the present invention, such as a high electron mobility transistor. . In one embodiment, the film thickness of the semiconductor device 10 minus the substrate 100 is about 1 μm to about 25 μm. Referring to FIG. 1 , the substrate 100 may be a semiconductor substrate, such as a silicon substrate. In addition, in some embodiments, the semiconductor substrate may also be: an elemental semiconductor, including germanium; a compound semiconductor, including gallium nitride (GaN), silicon carbide , SiC), gallium arsenide (GaAs), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), and/or indium antimonide (indium antimonide, InSb); alloy semiconductor, including silicon germanium (SiGe) alloy, gallium arsenide phosphide (GaAsP) alloy, aluminum indium arsenide (AlInAs) alloy, arsenic Aluminum gallium arsenide (AlGaAs) alloy, gallium indium arsenide (GaInAs) alloy, gallium indium phosphide (GaInP) alloy, and/or gallium indium arsenide phosphide (GaInAsP) Alloys, or combinations thereof.

在本揭露的特定實施例中,基底100可具有主動區(未繪示)以及隔離區(未繪示)。在一些實施例中,基底100可包含陶瓷(ceramic)基底或矽基底。在一些實施例中,基底100為絕緣基底。在一些實施例中,陶瓷基底的材料可包括氮化鋁(aluminum nitride, AlN)、碳化矽(silicon carbide, SiC)、氧化鋁(aluminum oxide, Al 2O 3)、其他類似材料、或其組合。在一些實施例中,可藉由粉末冶金將陶瓷粉末高溫燒結以形成前述陶瓷基底。 In certain embodiments of the present disclosure, the substrate 100 may have an active region (not shown) and an isolation region (not shown). In some embodiments, the substrate 100 may include a ceramic substrate or a silicon substrate. In some embodiments, substrate 100 is an insulating substrate. In some embodiments, the material of the ceramic substrate may include aluminum nitride (AlN), silicon carbide (SiC), aluminum oxide (Al 2 O 3 ), other similar materials, or combinations thereof . In some embodiments, the ceramic powder can be sintered at high temperature through powder metallurgy to form the ceramic substrate.

繼續參照第1圖,可在基底100上形成埋入式氧化物(buried oxide, BOX)層110。在一些實施例中,埋入式氧化物層110可包覆(encapsulate)基底100,且可為在高溫具有良好熱穩定性的膜層。埋入式氧化物層110的材料可包括氧化矽,例如,埋入式氧化物層110可為由四乙氧基矽烷(tetraethylorthosilicate, TEOS)所製得的氧化晶種層。埋入式氧化物層110的厚度可大約介於0.5μm和5μm之間。Continuing to refer to FIG. 1 , a buried oxide (BOX) layer 110 may be formed on the substrate 100 . In some embodiments, the buried oxide layer 110 may encapsulate the substrate 100 and may be a film layer with good thermal stability at high temperatures. The material of the buried oxide layer 110 may include silicon oxide. For example, the buried oxide layer 110 may be an oxide seed layer made of tetraethylorthosilicate (TEOS). The thickness of the buried oxide layer 110 may be approximately between 0.5 μm and 5 μm.

參照第1圖,可在埋入式氧化物層110上形成晶種層120。在一些實施例中,晶種層120可緩解基底100與上方成長的膜層之間的晶格差異,以提升結晶品質。在其他實施例中,基底100、埋入式氧化物層110、以及晶種層120可一起被視為絕緣層上半導體(semiconductor on insulator, SOI)基底。絕緣層上半導體基底可包含底板(例如基底100)、設置於底板上之埋入式氧化物層(例如埋入式氧化物層110)、以及設置於埋入式氧化物層上之半導體層(例如晶種層120)。此外,絕緣層上半導體基底可為N型或P型導電類型(例如於晶種層120中摻雜磷以形成N型導電類型,或於晶種層120中摻雜硼以形成P型導電類型)。晶種層120的厚度可大約介於50nm和500nm之間,例如250nm。可藉由磊晶製程形成晶種層120,其磊晶製程可包括金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)、氫化物氣相磊晶(hydride vapor phase epitaxy,HVPE)、分子束磊晶(molecular beam epitaxy,MBE)、其他合適的方法、或其組合。Referring to FIG. 1 , a seed layer 120 may be formed on the buried oxide layer 110 . In some embodiments, the seed layer 120 can alleviate the lattice difference between the substrate 100 and the film layer grown above to improve the crystal quality. In other embodiments, the substrate 100 , the buried oxide layer 110 , and the seed layer 120 may together be regarded as a semiconductor on insulator (SOI) substrate. The semiconductor-on-insulator substrate may include a substrate (eg, substrate 100), a buried oxide layer (eg, buried oxide layer 110) disposed on the substrate, and a semiconductor layer (eg, buried oxide layer 110) disposed on the buried oxide layer. For example, seed layer 120). In addition, the semiconductor substrate on the insulating layer may be of N-type or P-type conductivity (for example, the seed layer 120 is doped with phosphorus to form an N-type conductivity type, or the seed layer 120 is doped with boron to form a P-type conductivity type). ). The thickness of the seed layer 120 may be approximately between 50 nm and 500 nm, such as 250 nm. The seed layer 120 may be formed by an epitaxial process, which may include metal organic chemical vapor deposition (MOCVD), hydride vapor phase epitaxy (HVPE), molecular Molecular beam epitaxy (MBE), other suitable methods, or combinations thereof.

繼續參照第1圖,可在晶種層120上形成化合物半導體疊層200。在一些實施例中,晶種層120和化合物半導體疊層200可被視為半導體元件10的磊晶材料層。根據本揭露的一些實施例,化合物半導體疊層200的厚度可為晶種層120的厚度的大約2至3倍。如先前所述,化合物半導體疊層200可包括緩衝層210、通道層220、以及阻障層230。在一些實施例中,可在晶種層120上設置緩衝層210,且緩衝層210直接接觸晶種層120。可在緩衝層210上設置通道層220。之後,可在通道層220上設置阻障層230。化合物半導體疊層200的材料可包括氮化鎵(gallium nitride, GaN)、氮化鋁(aluminum nitride, AlN)、氮化鋁鎵(aluminum gallium nitride, AlGaN)、氮化鋁銦(aluminum indium nitride, AlInN)、其他合適材料、或其組合。在本揭露的特定實施例中,化合物半導體疊層200可為以氮化鎵為主(GaN-based)的材料。化合物半導體疊層200的厚度可大約介於2μm和10μm之間,例如5.5μm。可藉由有機金屬化學氣相沉積、原子層沉積(atomic layer deposition, ALD)、分子束磊晶、液相磊晶(liquid phase epitaxy, LPE)、其他合適製程、或其組合來形成化合物半導體疊層200。Continuing to refer to FIG. 1 , a compound semiconductor stack 200 may be formed on the seed layer 120 . In some embodiments, seed layer 120 and compound semiconductor stack 200 may be considered epitaxial material layers of semiconductor device 10 . According to some embodiments of the present disclosure, the thickness of the compound semiconductor stack 200 may be approximately 2 to 3 times the thickness of the seed layer 120 . As previously described, the compound semiconductor stack 200 may include a buffer layer 210, a channel layer 220, and a barrier layer 230. In some embodiments, the buffer layer 210 may be disposed on the seed layer 120 , and the buffer layer 210 directly contacts the seed layer 120 . A channel layer 220 may be provided on the buffer layer 210. Afterwards, a barrier layer 230 may be provided on the channel layer 220. The material of the compound semiconductor stack 200 may include gallium nitride (GaN), aluminum nitride (aluminum nitride, AlN), aluminum gallium nitride (AlGaN), aluminum indium nitride (aluminum indium nitride, AlInN), other suitable materials, or combinations thereof. In certain embodiments of the present disclosure, the compound semiconductor stack 200 may be a GaN-based material. The thickness of the compound semiconductor stack 200 may be approximately between 2 μm and 10 μm, such as 5.5 μm. The compound semiconductor stack can be formed by organic metal chemical vapor deposition, atomic layer deposition (ALD), molecular beam epitaxy, liquid phase epitaxy (LPE), other suitable processes, or a combination thereof. Layer 200.

根據一些實施例,緩衝層210可減緩後續形成於緩衝層210上方的通道層220的應變(strain),以防止缺陷形成於上方的通道層220中,應變是由通道層220與下方膜層之間的不匹配所造成。根據一些實施例,通道層220可提供電晶體10T的源極電極410和汲極電極420(於下詳述)之間的電子傳輸路徑。在一些實施例中,通道層220可被摻雜(例如以N型摻質或P型摻質),或未被摻雜。According to some embodiments, the buffer layer 210 can slow down the strain of the channel layer 220 subsequently formed above the buffer layer 210 to prevent defects from being formed in the upper channel layer 220. The strain is caused by the relationship between the channel layer 220 and the underlying film layer. caused by mismatch. According to some embodiments, channel layer 220 may provide an electron transport path between source electrode 410 and drain electrode 420 (described in detail below) of transistor 10T. In some embodiments, channel layer 220 may be doped (eg, with N-type doping or P-type doping), or undoped.

參照第1圖,可在化合物半導體疊層200上形成介電層300。如先前所述,介電層300可包括第一介電層310和第二介電層320。儘管本案的介電層300被繪示為具有兩層介電層,但本揭露實施例並不以此為限。舉例來說,介電層300可包括任何數量的介電層,取決於應用和設計的需求。在一些實施例中,介電層300除了可對下方的膜層提供保護和絕緣,也可將不同水平的導電材料隔開。在一些實施例中,介電層300的材料可包括氧化矽(silicon oxide, SiO)、氮化矽(silicon nitride, SiN)、碳化矽(silicon carbide, SiC)、氧氮化矽(silicon oxynitride, SiON)、氧碳氮化矽(例如SiO xN yC 1-x-y,其中x和y係在0至1的範圍)、四乙氧基矽烷、無摻雜矽酸玻璃、或摻雜氧化矽(如硼摻雜磷矽酸玻璃(boron-doped phospho-silicate glass, BPSG)、熔矽石玻璃(fused silica glass, FSG)、磷矽酸玻璃(phosphosilicate glass, PSG)、硼摻雜矽玻璃(boron doped silicon glass, BSG))、低介電常數介電材料、或其他合適介電材料。可藉由沉積或其他類似方法形成介電層300。 Referring to FIG. 1 , a dielectric layer 300 may be formed on the compound semiconductor stack 200 . As previously described, the dielectric layer 300 may include a first dielectric layer 310 and a second dielectric layer 320. Although the dielectric layer 300 in this case is shown as having two dielectric layers, the embodiment of the present disclosure is not limited thereto. For example, dielectric layer 300 may include any number of dielectric layers, depending on application and design requirements. In some embodiments, in addition to providing protection and insulation for underlying film layers, dielectric layer 300 can also separate different levels of conductive materials. In some embodiments, the material of the dielectric layer 300 may include silicon oxide (SiO), silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (silicon oxynitride), SiON ), silicon oxycarbonitride (e.g. , SiO (Such as boron-doped phospho-silicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron-doped silica glass ( boron doped silicon glass (BSG)), low dielectric constant dielectric materials, or other suitable dielectric materials. Dielectric layer 300 may be formed by deposition or other similar methods.

繼續參照第1圖,在形成介電層300之前,可先形成多個開口(未繪示)穿過阻障層230,並延伸進入通道層220中。在化合物半導體疊層200上形成源極電極410和汲極電極420,其填入開口中。根據本揭露的一些實施例,源極電極410和汲極電極420可穿過阻障層230,並延伸進入通道層220而形成歐姆接觸(ohmic contact)。接著,首先形成介電層300的第一介電層310,使得源極電極410和汲極電極420埋入於其中。可再次形成開口(未繪示)穿過第一介電層310,並露出阻障層230的部分表面。在第一介電層310上形成閘極電極430。根據本揭露的一些實施例,閘極電極430可穿過第一介電層310,並坐落於阻障層230上而形成蕭特基接觸(Schottky contact)。然後,形成介電層300的第二介電層320,使得閘極電極430埋入於其中。Continuing to refer to FIG. 1 , before forming the dielectric layer 300 , a plurality of openings (not shown) may be formed through the barrier layer 230 and extend into the channel layer 220 . A source electrode 410 and a drain electrode 420 are formed on the compound semiconductor stack 200 and fill the openings. According to some embodiments of the present disclosure, the source electrode 410 and the drain electrode 420 may pass through the barrier layer 230 and extend into the channel layer 220 to form an ohmic contact. Next, the first dielectric layer 310 of the dielectric layer 300 is first formed, so that the source electrode 410 and the drain electrode 420 are buried therein. An opening (not shown) may be formed again through the first dielectric layer 310 and expose a portion of the surface of the barrier layer 230 . Gate electrode 430 is formed on first dielectric layer 310 . According to some embodiments of the present disclosure, the gate electrode 430 may pass through the first dielectric layer 310 and sit on the barrier layer 230 to form a Schottky contact. Then, the second dielectric layer 320 of the dielectric layer 300 is formed such that the gate electrode 430 is buried therein.

在一些實施例中,源極電極410、汲極電極420、以及閘極電極430的材料可包括非晶矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物(如氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、氮化鈦鋁(TiAlN)、或其他類似材料)、金屬矽化物(如矽化鎳(NiSi)、矽化鈷(CoSi)、矽氮化鉭(TaSiN)、或其他類似材料)、金屬碳化物(如碳化鉭(TaC)、碳氮化鉭(TaCN)、或其他類似材料)、金屬氧化物、和金屬。金屬可包括鈷(cobalt, Co)、釕(ruthenium, Ru)、鋁(aluminum, Al)、鎢(tungsten, W)、銅(copper, Cu)、鈦(titanium, Ti)、鉭(tantalum, Ta)、銀(silver, Ag)、金(gold, Au)、鎳(nickel, Ni)、其他類似材料、其組合、或其多膜層。在一些實施例中,可使用微影製程(例如塗佈光阻、軟烤(soft baking)、曝光、曝光後烘烤、顯影、其他合適的技術、或其組合)和蝕刻製程(例如濕蝕刻製程、乾蝕刻製程、其他合適的方法、或其組合)、其他合適的製程、或其組合在阻障層230或第一介電層310中形成上述開口。接著,在開口中填充上述材料來形成源極電極410、汲極電極420、以及閘極電極430。In some embodiments, the materials of the source electrode 410 , the drain electrode 420 , and the gate electrode 430 may include amorphous silicon, polycrystalline silicon (polysilicon), polycrystalline silicon germanium (poly-SiGe), metal nitride (such as titanium nitride) (TiN), tantalum nitride (TaN), tungsten nitride (WN), titanium aluminum nitride (TiAlN), or other similar materials), metal silicides (such as nickel silicide (NiSi), cobalt silicide (CoSi), silicon Tantalum nitride (TaSiN), or other similar materials), metal carbides (such as tantalum carbide (TaC), tantalum carbonitride (TaCN), or other similar materials), metal oxides, and metals. Metals may include cobalt (Co), ruthenium (Ru), aluminum (Al), tungsten (W), copper (copper, Cu), titanium (Ti), tantalum (Ta) ), silver (Ag), gold (Au), nickel (Ni), other similar materials, combinations thereof, or multi-film layers thereof. In some embodiments, photolithography processes (eg, photoresist coating, soft baking, exposure, post-exposure baking, development, other suitable techniques, or combinations thereof) and etching processes (eg, wet etching) may be used process, dry etching process, other suitable methods, or combinations thereof), other suitable processes, or combinations thereof to form the above-mentioned opening in the barrier layer 230 or the first dielectric layer 310 . Next, the above materials are filled in the openings to form the source electrode 410, the drain electrode 420, and the gate electrode 430.

參照第1圖,可形成多個開口(未繪示)穿過第二介電層320,並延伸進入第一介電層310中以露出源極電極410和汲極電極420的表面。在開口中形成源極接觸件510和汲極接觸件520,其分別與源極電極410和汲極電極420接觸。源極接觸件510和汲極接觸件520的材料可與源極電極410、汲極電極420、以及閘極電極430的材料類似,其細節將不於此重複贅述。源極接觸件510和汲極接觸件520的形成方法可與源極電極410、汲極電極420、以及閘極電極430的形成方法類似,其細節將不於此重複贅述。Referring to FIG. 1 , a plurality of openings (not shown) may be formed through the second dielectric layer 320 and extend into the first dielectric layer 310 to expose the surfaces of the source electrode 410 and the drain electrode 420 . Source contacts 510 and drain contacts 520 are formed in the openings to contact the source electrode 410 and the drain electrode 420 respectively. The materials of the source contact 510 and the drain contact 520 may be similar to the materials of the source electrode 410 , the drain electrode 420 , and the gate electrode 430 , and the details thereof will not be repeated here. The formation method of the source contact 510 and the drain contact 520 may be similar to the formation method of the source electrode 410 , the drain electrode 420 , and the gate electrode 430 , and the details thereof will not be repeated here.

繼續參照第1圖,可在介電層300的第二介電層320上形成源極金屬層610和汲極金屬層620,其分別與源極接觸件510和汲極接觸件520電性連接。值得注意的是,介電層300垂直地設置於源極金屬層610和汲極金屬層620與化合物半導體疊層200之間。換言之,源極電極410透過源極接觸件510電性耦合至源極金屬層610,而汲極電極420透過汲極接觸件520電性耦合至汲極金屬層620。在一些實施例中,源極金屬層610和汲極金屬層620可作為後段製程(back-end of line, BEOL)及∕或形成封裝裝置1000的封裝製程的接合墊。源極金屬層610和汲極金屬層620的材料可與源極電極410、汲極電極420、以及閘極電極430的材料類似,其細節將不於此重複贅述。源極金屬層610和汲極金屬層620的形成方法可與源極電極410、汲極電極420、以及閘極電極430的形成方法類似,其細節將不於此重複贅述。Continuing to refer to Figure 1, a source metal layer 610 and a drain metal layer 620 can be formed on the second dielectric layer 320 of the dielectric layer 300, which are electrically connected to the source contact 510 and the drain contact 520 respectively. . It is worth noting that the dielectric layer 300 is vertically disposed between the source metal layer 610 and the drain metal layer 620 and the compound semiconductor stack 200 . In other words, the source electrode 410 is electrically coupled to the source metal layer 610 through the source contact 510 , and the drain electrode 420 is electrically coupled to the drain metal layer 620 through the drain contact 520 . In some embodiments, the source metal layer 610 and the drain metal layer 620 may serve as bonding pads for a back-end of line (BEOL) process and/or a packaging process for forming the package device 1000 . The materials of the source metal layer 610 and the drain metal layer 620 may be similar to the materials of the source electrode 410 , the drain electrode 420 , and the gate electrode 430 , and the details thereof will not be repeated here. The formation method of the source metal layer 610 and the drain metal layer 620 may be similar to the formation method of the source electrode 410, the drain electrode 420, and the gate electrode 430, and the details thereof will not be repeated here.

參照第1圖,可在半導體元件10的結構表面上覆蓋鈍化層700。在一些實施例中,鈍化層700可針對下方的膜層提供保護。此外,可圖案化鈍化層700以露出源極金屬層610和汲極金屬層620的部分表面,使得後段製程及∕或封裝製程的接合可順利進行。鈍化層700的材料可與介電層300的材料類似,其細節將不於此重複贅述。鈍化層700的形成方法可與介電層300的形成方法類似,其細節將不於此重複贅述。Referring to FIG. 1 , a passivation layer 700 may be covered on the structural surface of the semiconductor device 10 . In some embodiments, passivation layer 700 may provide protection for underlying film layers. In addition, the passivation layer 700 can be patterned to expose part of the surface of the source metal layer 610 and the drain metal layer 620, so that the bonding of the subsequent process and/or packaging process can be smoothly performed. The material of the passivation layer 700 may be similar to the material of the dielectric layer 300, and the details thereof will not be repeated here. The formation method of the passivation layer 700 may be similar to the formation method of the dielectric layer 300, and the details thereof will not be repeated here.

參照第2圖,在對應後續切割道的位置形成切割開口702。形成切割開口702係從源極金屬層610和汲極金屬層620的一側進行,且位於主動區以外,例如兩個電晶體10T的周圍。根據本揭露的一些實施例,用來形成切割開口702的切割製程,其較佳為使用雷射切割以露出晶種層120的側面,使得後續形成的導電層740(於下詳述)可將晶種層120電性連接至上方的源極金屬層610,進而將累積電荷排出,以降低半導體元件的電阻並提高操作的速度。為了要電性連接晶種層120,切割開口702必須穿過鈍化層700、介電層300、以及化合物半導體疊層200。於一實施例中,切割開口702進一步延伸至基底100之頂面或基底100內,以確保晶種層120的側面可完全地露出。換言之,切割開口702露出鈍化層700的側面、介電層300的側面、化合物半導體疊層200的側面、晶種層120的側面、埋入式氧化物層110的表面、以及基底100的部分側面。Referring to Figure 2, a cutting opening 702 is formed at a position corresponding to a subsequent cutting lane. The cutting opening 702 is formed from one side of the source metal layer 610 and the drain metal layer 620 and is located outside the active area, such as around the two transistors 10T. According to some embodiments of the present disclosure, the cutting process used to form the cutting opening 702 is preferably laser cutting to expose the sides of the seed layer 120 so that the subsequently formed conductive layer 740 (described in detail below) can The seed layer 120 is electrically connected to the upper source metal layer 610 to discharge accumulated charges to reduce the resistance of the semiconductor device and increase the speed of operation. In order to electrically connect the seed layer 120 , the cutting opening 702 must pass through the passivation layer 700 , the dielectric layer 300 , and the compound semiconductor stack 200 . In one embodiment, the cutting opening 702 further extends to the top surface of the substrate 100 or within the substrate 100 to ensure that the side surfaces of the seed layer 120 can be completely exposed. In other words, the cutting opening 702 exposes the side surfaces of the passivation layer 700 , the side surfaces of the dielectric layer 300 , the side surfaces of the compound semiconductor stack 200 , the side surfaces of the seed layer 120 , the surface of the buried oxide layer 110 , and part of the side surfaces of the substrate 100 .

根據本揭露的一些實施例,切割開口702可具有切割深度D1,由鈍化層700至切割開口702的底部所量測。如第2圖所示,基底100在切割開口702下方具有剩餘厚度D2。切割深度D1可大約介於20μm和60μm之間,例如50μm。剩餘厚度D2可大約介於500μm和800μm之間。除了需要確保切割深度D1能夠完全地露出晶種層120之外,剩餘厚度D2也必須維持一定的尺寸,以確保後續薄化製程不會對半導體元件10形成裂縫(crack)。According to some embodiments of the present disclosure, the cutting opening 702 may have a cutting depth D1, measured from the passivation layer 700 to the bottom of the cutting opening 702. As shown in FIG. 2 , the substrate 100 has a remaining thickness D2 below the cutting opening 702 . The cutting depth D1 may be approximately between 20 μm and 60 μm, such as 50 μm. The remaining thickness D2 may be approximately between 500 μm and 800 μm. In addition to ensuring that the cutting depth D1 can completely expose the seed layer 120 , the remaining thickness D2 must also be maintained at a certain size to ensure that subsequent thinning processes will not form cracks on the semiconductor element 10 .

參照第3圖,可在半導體元件10的結構上順應性地形成導電層740,其覆蓋鈍化層700、源極金屬層610和汲極金屬層620的露出表面、以及切割開口702的側壁和底部。由於切割開口702將兩個電晶體10T彼此隔離,導電層740覆蓋每個電晶體10T的晶種層120、化合物半導體疊層200、以及介電層300的相對兩側表面。導電層740可從切割開口702中將晶種層120和源極金屬層610電性連接。根據本揭露的一些實施例,導電層740直接接觸晶種層120的相對兩側表面的其中一個和源極金屬層610的頂面。值得注意的是,在一開始形成導電層740時,導電層740除了電性連接晶種層120和源極金屬層610之外,也連接源極金屬層610和汲極金屬層620、晶種層120和汲極金屬層620、以及相鄰的兩個電晶體10T。導電層740的材料可包括鈦、銅、鋁、鈷、氮化鈦、氮化鉭、其他類似材料、或其任意組合。導電層740的厚度可介於約1000Å和1μm之間,例如3000Å。可藉由濺鍍(sputtering)製程、蒸鍍(evaporating)製程、化學電鍍、其他類似方法、或其組合來形成導電層740。Referring to FIG. 3 , a conductive layer 740 can be compliantly formed on the structure of the semiconductor device 10 , covering the passivation layer 700 , the exposed surfaces of the source metal layer 610 and the drain metal layer 620 , and the sidewalls and bottom of the cutting opening 702 . Since the cutting opening 702 isolates the two transistors 10T from each other, the conductive layer 740 covers the opposite side surfaces of the seed layer 120 , the compound semiconductor stack 200 , and the dielectric layer 300 of each transistor 10T. The conductive layer 740 can electrically connect the seed layer 120 and the source metal layer 610 from the cutting opening 702 . According to some embodiments of the present disclosure, the conductive layer 740 directly contacts one of the opposite side surfaces of the seed layer 120 and the top surface of the source metal layer 610 . It is worth noting that when the conductive layer 740 is initially formed, in addition to electrically connecting the seed layer 120 and the source metal layer 610, the conductive layer 740 also connects the source metal layer 610, the drain metal layer 620, and the seed layer. layer 120 and drain metal layer 620, as well as two adjacent transistors 10T. The material of the conductive layer 740 may include titanium, copper, aluminum, cobalt, titanium nitride, tantalum nitride, other similar materials, or any combination thereof. The thickness of conductive layer 740 may be between approximately 1000 Å and 1 μm, such as 3000 Å. The conductive layer 740 may be formed by a sputtering process, an evaporating process, chemical plating, other similar methods, or a combination thereof.

參照第4圖,在導電層740上覆蓋絕緣層760。在一些實施例中,絕緣層760將整個半導體元件10覆蓋,且填入切割開口702,並具有實質上平坦的頂面。絕緣層760的功用是後續對導電層740進行圖案化,將導電層740可能會造成半導體元件10電性短路的部分截斷。絕緣層760的材料包括聚醯亞胺(polyimide, PI)、聚醯胺(polyamide, PA)、其組合、或其他類似材料。絕緣層760到導電層740的厚度可介於約1μm和10μm之間,例如3.5μm。可藉由旋轉塗佈(spin-on coating)、化學氣相沉積(chemical vapor deposition, CVD)、原子層沉積、高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition, HDP-CVD)、電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition, PECVD)、流動性化學氣相沉積(flowable chemical vapor deposition, FCVD)、次大氣壓化學氣相沉積(sub-atmospheric chemical vapor deposition, SACVD)、其他類似方法、或其組合形成絕緣層760。Referring to FIG. 4 , the conductive layer 740 is covered with an insulating layer 760 . In some embodiments, the insulating layer 760 covers the entire semiconductor device 10 and fills the cutting opening 702, and has a substantially flat top surface. The function of the insulating layer 760 is to subsequently pattern the conductive layer 740 and cut off portions of the conductive layer 740 that may cause an electrical short circuit to the semiconductor element 10 . The material of the insulating layer 760 includes polyimide (PI), polyamide (PA), combinations thereof, or other similar materials. The thickness of the insulating layer 760 to the conductive layer 740 may be between about 1 μm and 10 μm, such as 3.5 μm. Can be processed by spin-on coating, chemical vapor deposition (CVD), atomic layer deposition, high-density plasma chemical vapor deposition (HDP-CVD) , plasma-enhanced chemical vapor deposition (PECVD), flowable chemical vapor deposition (FCVD), sub-atmospheric chemical vapor deposition (SACVD) , other similar methods, or a combination thereof to form the insulating layer 760.

第5B、6B、7B、8B、9B、10B、11B、12B、13B、14B、和15B圖是根據本揭露的另一些實施例,繪示不同態樣的半導體元件10及其封裝裝置1000的剖面示意圖。在第1~4、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、和15A圖的實施例中,半導體元件10的兩個電晶體10T為彼此相鄰卻獨立運作的兩個主動組件,例如高電子遷移率電晶體。相較之下,第5B、6B、7B、8B、9B、10B、11B、12B、13B、14B、和15B圖繪示兩個彼此串聯的電晶體10T,其兩個電晶體10T的汲極金屬層620相鄰設置。由於第5B、6B、7B、8B、9B、10B、11B、12B、13B、14B、和15B圖所示的實施例的先前製程可與第1~4圖所述類似,其細節將不於此重複贅述。5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, and 15B illustrate cross-sections of semiconductor devices 10 and packaging devices 1000 of different aspects according to other embodiments of the present disclosure. Schematic diagram. In the embodiments of Figures 1 to 4, 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, and 15A, the two transistors 10T of the semiconductor device 10 are adjacent to each other but operate independently. Two active components, such as high electron mobility transistors. In comparison, Figures 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, and 15B illustrate two transistors 10T connected in series, and the drain metals of the two transistors 10T Layers 620 are arranged adjacent to each other. Since the previous processes of the embodiments shown in Figures 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, and 15B may be similar to those described in Figures 1 to 4, the details will not be as follows. Repeat.

參照第5A和5B圖,將絕緣層760圖案化,以形成圖案化後的絕緣層760。在圖案化之後,如第5A圖所示,在絕緣層760中形成第一開口762、第二開口764、以及第三開口766。第一開口762露出導電層740位於切割開口702的底部的部分。第二開口764露出導電層740位於源極金屬層610的頂面和汲極金屬層620的頂面的部分。第三開口766露出導電層740位於源極金屬層610和汲極金屬層620之間的部分、以及汲極金屬層620和靠近汲極金屬層620的切割開口702之間的部分。應注意的是,源極金屬層610和靠近源極金屬層610的切割開口702之間的部分必須維持覆蓋,以實現本揭露實施例用來電性連接晶種層120和源極金屬層610的導電層740以排出累積電荷的創新方法。Referring to Figures 5A and 5B, the insulating layer 760 is patterned to form a patterned insulating layer 760. After patterning, as shown in FIG. 5A , first openings 762 , second openings 764 , and third openings 766 are formed in the insulating layer 760 . The first opening 762 exposes a portion of the conductive layer 740 located at the bottom of the cutting opening 702 . The second opening 764 exposes the portion of the conductive layer 740 located on the top surface of the source metal layer 610 and the top surface of the drain metal layer 620 . The third opening 766 exposes the portion of the conductive layer 740 between the source metal layer 610 and the drain metal layer 620 , and the portion between the drain metal layer 620 and the cutting opening 702 close to the drain metal layer 620 . It should be noted that the portion between the source metal layer 610 and the cutting opening 702 close to the source metal layer 610 must remain covered in order to achieve electrical connection between the seed layer 120 and the source metal layer 610 in the embodiment of the present disclosure. Conductive layer 740 is an innovative way to discharge accumulated charges.

由絕緣層760的第一開口762和第三開口766所露出的導電層740的部分可能會對半導體元件10在操作中造成電性短路,因此需要在後續製程中被移除,也就是將連續性的導電層740截斷。此外,由第二開口764所露出的導電層740的部分不會被截斷,而是將在其部分上形成接合墊800。換言之,絕緣層760的開口可將導電層740截斷(如上述)或形成接合墊800。在一些實施例中,可使用微影製程(例如塗佈光阻、軟烤、曝光、曝光後烘烤、顯影、其他合適的技術、或其組合)和蝕刻製程(例如濕蝕刻製程、乾蝕刻製程、其他合適的方法、或其組合)、其他合適的製程、或其組合形成第一開口762、第二開口764、以及第三開口766。The portion of the conductive layer 740 exposed by the first opening 762 and the third opening 766 of the insulating layer 760 may cause an electrical short circuit to the semiconductor device 10 during operation, and therefore needs to be removed in subsequent processes, that is, the continuous The conductive layer 740 is cut off. In addition, the portion of the conductive layer 740 exposed by the second opening 764 will not be cut off, but the bonding pad 800 will be formed on the portion. In other words, the opening of the insulating layer 760 may cut off the conductive layer 740 (as described above) or form the bonding pad 800 . In some embodiments, photolithography processes (eg, photoresist coating, soft bake, exposure, post-exposure bake, development, other suitable techniques, or combinations thereof) and etching processes (eg, wet etching processes, dry etching) may be used. process, other suitable methods, or combinations thereof), other suitable processes, or combinations thereof to form the first opening 762, the second opening 764, and the third opening 766.

如第5B圖所示,在絕緣層760中僅形成第二開口764和第三開口766。由於兩個電晶體10T彼此串聯,絕緣層760不會露出兩個電晶體10T之間切割開口702。第二開口764露出導電層740位於源極金屬層610的頂面和汲極金屬層620的頂面的部分。第三開口766露出導電層740位於源極金屬層610和汲極金屬層620之間的部分、以及汲極金屬層620和切割開口702之間的部分。如前述,源極金屬層610和靠近源極金屬層610的切割開口702之間的部分必須維持覆蓋,以實現本揭露實施例用來排出累積電荷的創新方法。第二開口764和第三開口766的形成方法可與第5A圖所述類似,其細節將不於此重複贅述。As shown in FIG. 5B , only the second opening 764 and the third opening 766 are formed in the insulating layer 760 . Since the two transistors 10T are connected in series with each other, the insulating layer 760 will not expose the cutting opening 702 between the two transistors 10T. The second opening 764 exposes the portion of the conductive layer 740 located on the top surface of the source metal layer 610 and the top surface of the drain metal layer 620 . The third opening 766 exposes the portion of the conductive layer 740 between the source metal layer 610 and the drain metal layer 620 and the portion between the drain metal layer 620 and the cutting opening 702 . As mentioned above, the portion between the source metal layer 610 and the cutting opening 702 close to the source metal layer 610 must remain covered to achieve the innovative method of discharging accumulated charges in the embodiment of the present disclosure. The formation method of the second opening 764 and the third opening 766 may be similar to that described in FIG. 5A , and the details thereof will not be repeated here.

參照第6A和6B圖,在導電層740的露出部分上和圖案化後的絕緣層760上形成光阻層780,接著圖案化光阻層780以形成光阻開口784。圖案化後的光阻層780是為了定義接合墊800所形成的位置。應理解的是,光阻層780的光阻開口784對應絕緣層760的第二開口764,也就是透過光阻開口784和第二開口764露出導電層740會被接合墊800保護的部分,以避免導電層740氧化。值得注意的是,光阻層780會先將第5A圖的第一開口762和第三開口766(要將導電層740截斷的部分)覆蓋住,或將第5B圖的第三開口766(要將導電層740截斷的部分)覆蓋住。這樣的作法是因為需要先形成接合墊800之後,才能將導電層740會導致電性短路的部分截斷。光阻層780的形成方法和圖案化的方法可與絕緣層760的形成方法和圖案化的方法類似,其細節將不於此重複贅述。Referring to FIGS. 6A and 6B , a photoresist layer 780 is formed on the exposed portion of the conductive layer 740 and the patterned insulating layer 760 , and then the photoresist layer 780 is patterned to form a photoresist opening 784 . The patterned photoresist layer 780 is used to define the locations where the bonding pads 800 are formed. It should be understood that the photoresist opening 784 of the photoresist layer 780 corresponds to the second opening 764 of the insulating layer 760, that is, the portion of the conductive layer 740 exposed through the photoresist opening 784 and the second opening 764 will be protected by the bonding pad 800, so as to Avoid oxidation of the conductive layer 740. It is worth noting that the photoresist layer 780 will first cover the first opening 762 and the third opening 766 in Figure 5A (the part where the conductive layer 740 is to be cut off), or cover the third opening 766 in Figure 5B (the part where the conductive layer 740 is to be cut off). Cover the cut-off portion of the conductive layer 740). This approach is because the bonding pads 800 need to be formed first before the portions of the conductive layer 740 that may cause an electrical short circuit can be cut off. The formation method and patterning method of the photoresist layer 780 may be similar to the formation method and patterning method of the insulating layer 760 , and the details thereof will not be repeated here.

參照第7A和7B圖,在光阻開口784中形成接合墊800。接合墊800覆蓋導電層740透過光阻開口784(或第二開口764)所露出的部分。換言之,接合墊800設置於導電層740位於源極金屬層610的頂面和汲極金屬層620的頂面的部分上。由於本發明實施例的重點是要透過導電層740電性連接晶種層120和源極金屬層610,因此必須保留導電層740在源極金屬層610的頂面上。Referring to Figures 7A and 7B, bonding pads 800 are formed in photoresist openings 784. The bonding pad 800 covers the portion of the conductive layer 740 exposed through the photoresist opening 784 (or the second opening 764 ). In other words, the bonding pad 800 is disposed on the portion of the conductive layer 740 located on the top surface of the source metal layer 610 and the top surface of the drain metal layer 620 . Since the focus of the embodiment of the present invention is to electrically connect the seed layer 120 and the source metal layer 610 through the conductive layer 740, the conductive layer 740 must be retained on the top surface of the source metal layer 610.

一般來說,源極金屬層610和汲極金屬層620的材料可包括鎳、金、鉑(platinum, Pt)、鈀(palladium, Pd)、銥(iridium, Ir)、鈦、鉻(chromium, Cr)、鎢、鋁、銅、其組合、或其他合適的材料。在本案的特定實施例中,接合墊800的材料可包括銅、鎳、以及金,使得在形成半導體元件10的封裝裝置1000的封裝製程期間,無論是焊線或焊接球皆可被設置於接合墊800上。可藉由在光阻層780上和在光阻開口784(對應第二開口764)中順應性地沉積金屬材料層,接著將光阻層780與其上的金屬材料層同時移除,而得到在源極金屬層610和汲極金屬層620上的導電層740上方的接合墊800。接合墊800的沉積方法可與源極金屬層610或汲極金屬層620的沉積方法類似,其細節將不於此重複贅述。Generally speaking, the materials of the source metal layer 610 and the drain metal layer 620 may include nickel, gold, platinum (Pt), palladium (Palladium, Pd), iridium (iridium, Ir), titanium, chromium (chromium, Cr), tungsten, aluminum, copper, combinations thereof, or other suitable materials. In a specific embodiment of this case, the material of the bonding pad 800 may include copper, nickel, and gold, so that during the packaging process of forming the packaging device 1000 of the semiconductor device 10, either a bonding wire or a bonding ball may be disposed on the bonding Pad 800 on. The result can be obtained by compliantly depositing a metal material layer on the photoresist layer 780 and in the photoresist opening 784 (corresponding to the second opening 764 ), and then removing the photoresist layer 780 and the metal material layer thereon simultaneously. Bonding pads 800 above conductive layer 740 on source metal layer 610 and drain metal layer 620 . The deposition method of the bonding pad 800 may be similar to the deposition method of the source metal layer 610 or the drain metal layer 620, and the details thereof will not be repeated here.

參照第8A和8B圖,在形成接合墊800之後,可移除圖案化光阻層780。在移除光阻層780之後,可露出第一開口762和第三開口766(參照第5A圖),或僅露出第三開口766(參照第5B圖)。應注意的是,原本在第5A圖或第5B圖中的第二開口764所露出的導電層740已被接合墊800覆蓋。可藉由灰化(ashing)、剝離(stripping)、其他類似方法、或其組合移除圖案化後的光阻層780。Referring to Figures 8A and 8B, after the bonding pads 800 are formed, the patterned photoresist layer 780 may be removed. After the photoresist layer 780 is removed, the first opening 762 and the third opening 766 may be exposed (refer to FIG. 5A ), or only the third opening 766 may be exposed (refer to FIG. 5B ). It should be noted that the conductive layer 740 originally exposed by the second opening 764 in FIG. 5A or 5B has been covered by the bonding pad 800 . The patterned photoresist layer 780 can be removed by ashing, stripping, other similar methods, or a combination thereof.

參照第9A和9B圖,將導電層740未被絕緣層760和接合墊800保護的部分移除。換言之,將連續性的導電層740透過第8A圖的第一開口762和第三開口766所露出的部分截斷,或將連續性的導電層740透過第8B圖的第三開口766所露出的部分截斷,以避免半導體元件10在後續操作中產生電性短路。如第9A圖所示,當導電層740透過第一開口762露出的部分被截斷時,相鄰的兩個電晶體10T不會被導通。當導電層740透過第三開口766露出的部分被截斷時,源極金屬層610和汲極金屬層620不會被導通,且晶種層120和汲極金屬層620也不會被導通。如第9B圖所示,當導電層740透過第三開口766露出的部分被截斷時,每個電晶體10T的源極金屬層610和汲極金屬層620不會被導通。此外,兩個電晶體10T的汲極金屬層620也不會被導通,卻仍能維持兩個電晶體10T彼此串聯。因此,將導電層740的上述部分截斷可有效地避免半導體元件10在後續操作中發生電性短路。可藉由合適的蝕刻製程(例如濕蝕刻)將連續性的導電層740截斷。Referring to Figures 9A and 9B, the portion of the conductive layer 740 that is not protected by the insulating layer 760 and the bonding pad 800 is removed. In other words, the portion of the continuous conductive layer 740 exposed through the first opening 762 and the third opening 766 in FIG. 8A is cut off, or the portion of the continuous conductive layer 740 exposed through the third opening 766 in FIG. 8B is cut off. cut off to prevent the semiconductor device 10 from being electrically short-circuited in subsequent operations. As shown in FIG. 9A , when the portion of the conductive layer 740 exposed through the first opening 762 is cut off, the two adjacent transistors 10T will not be turned on. When the portion of the conductive layer 740 exposed through the third opening 766 is cut off, the source metal layer 610 and the drain metal layer 620 will not be connected, and the seed layer 120 and the drain metal layer 620 will not be connected either. As shown in FIG. 9B , when the portion of the conductive layer 740 exposed through the third opening 766 is cut off, the source metal layer 610 and the drain metal layer 620 of each transistor 10T will not be turned on. In addition, the drain metal layers 620 of the two transistors 10T will not be turned on, but the two transistors 10T can still be maintained in series with each other. Therefore, cutting off the above-mentioned portion of the conductive layer 740 can effectively prevent the semiconductor device 10 from electrical short circuit in subsequent operations. The continuous conductive layer 740 can be cut off by a suitable etching process (eg, wet etching).

參照第10A和10B圖,在截斷導電層740之後,薄化基底100。取決於應用和設計的需求,可由基底100的背側(相對於晶種層120的另一側)將基底100磨薄至所需的厚度。可藉由太鼓研磨(Taiko grinding)製程、一般研磨(Non-Taiko grinding)製程、或其他類似方法薄化基底100。如第10A圖所示,由於兩個電晶體10T為獨立運作的主動組件,需要對薄化後基底100額外進行單晶化製程。由於先前已經由基底100的前側將預定要被單晶化的位置進行部分雷射切割,因此只須將研磨後的剩餘基底100做切割。值得注意的是,第10B圖的兩個電晶體10T彼此串聯,因此不需要對薄化後基底100進行單晶化製程。可藉由刀鋸(blade saw)、晶粒分割(die break dicing)、或其他類似方法進行第10A圖的半導體元件10的單晶化製程。Referring to Figures 10A and 10B, after the conductive layer 740 is cut off, the substrate 100 is thinned. Depending on the application and design requirements, the backside of the substrate 100 (the other side relative to the seed layer 120 ) may be thinned to a desired thickness. The substrate 100 can be thinned through a Taiko grinding process, a non-Taiko grinding process, or other similar methods. As shown in Figure 10A, since the two transistors 10T are active components that operate independently, an additional single crystallization process needs to be performed on the thinned substrate 100. Since the position scheduled to be single crystallized has been partially laser-cut previously from the front side of the substrate 100, only the remaining substrate 100 after grinding needs to be cut. It is worth noting that the two transistors 10T in Figure 10B are connected in series with each other, so there is no need to perform a single crystallization process on the thinned substrate 100. The single crystallization process of the semiconductor device 10 in FIG. 10A can be performed by blade sawing, die break dicing, or other similar methods.

如先前所提及,由於本揭露實施例的導電層740的一部分設置於源極金屬層610的頂面和汲極金屬層620的頂面,因此以包括銅、鎳、以及金的接合墊800覆蓋導電層740所述部分。接合墊800的設置使得在進行封裝製程時,焊接球和焊線的方式皆可用來接合接合墊800。可在進行單晶化之前或之後進行合適的封裝製程。封裝製程的合適類型包括晶圓級晶片尺寸封裝(wafer level chip scale package, WLCSP)、電晶體外形(transistor outline, TO)、小外形積體電路(small outline integrated circuit, SOIC)、方形扁平式封裝(quad flat package, QFP)、雙邊扁平無引線(dual flat non-leaded, DFN)、四邊扁平無引線(quad flat non-leaded, QFN)、以及球格陣列(ball grid array, BGA)。As mentioned previously, since a portion of the conductive layer 740 in the embodiment of the present disclosure is disposed on the top surface of the source metal layer 610 and the top surface of the drain metal layer 620 , the bonding pad 800 includes copper, nickel, and gold. Cover the portion of conductive layer 740 . The bonding pad 800 is arranged so that both solder balls and bonding wires can be used to bond the bonding pad 800 during the packaging process. Appropriate packaging processes can be performed before or after single crystallization. Suitable types of packaging processes include wafer level chip scale package (WLCSP), transistor outline (TO), small outline integrated circuit (SOIC), quad flat package (quad flat package, QFP), dual flat non-leaded (DFN), quad flat non-leaded (QFN), and ball grid array (BGA).

參照第11A和11B圖,針對倒裝類型的封裝製程,可在接合墊800上形成焊接球900。在一些實施例中,焊接球900也可被稱為「焊接凸塊(solder bump)」,其目的是用來將單晶化後的至少一個電晶體10T連接至封裝裝置1000的封裝基板1100上(於下詳述)。可使用黏結機台將焊接球900熱黏結在接合墊800上,接著進行回焊製程。在完成回焊之後,焊接球900位在絕緣層760之外的直徑可介於約20μm和400μm之間,例如45μm。焊接球900的材料可包括任何合適的金屬材料。在其他實施例中,可在黏結焊接球900之前,先在接合墊800上形成阻障金屬層以增加焊接球900和接合墊800的黏著強度。Referring to Figures 11A and 11B, for a flip-chip type packaging process, solder balls 900 can be formed on the bonding pads 800. In some embodiments, the solder ball 900 may also be called a "solder bump" and is used to connect at least one single crystallized transistor 10T to the packaging substrate 1100 of the packaging device 1000 (More details below). A bonding machine can be used to thermally bond the solder balls 900 to the bonding pads 800, and then perform a reflow process. After reflow is completed, the diameter of the solder ball 900 outside the insulating layer 760 may be between about 20 μm and 400 μm, such as 45 μm. The material of solder ball 900 may include any suitable metallic material. In other embodiments, before bonding the solder ball 900, a barrier metal layer may be formed on the bonding pad 800 to increase the adhesion strength between the solder ball 900 and the bonding pad 800.

參照第12A和12B圖,提供封裝基板1100。封裝基板1100可為層壓板。舉例來說,封裝基板1100內可包括多個金屬層和多個介電層交錯配置,且具有導孔穿過介電層耦合各個金屬層。為簡化圖式,封裝基板1100的細節未於此繪示。在一些實施例中,封裝基板1100的上表面是用來連接電晶體10T,而下表面則是用來連接至例如印刷電路板(printed circuit board, PCB)。封裝基板1100最外部的金屬層可被圖案化以形成在上表面的焊接墊1120。Referring to Figures 12A and 12B, a package substrate 1100 is provided. The packaging substrate 1100 may be a laminate. For example, the packaging substrate 1100 may include a plurality of metal layers and a plurality of dielectric layers in a staggered arrangement, and have vias passing through the dielectric layer to couple each metal layer. To simplify the drawing, details of the packaging substrate 1100 are not shown here. In some embodiments, the upper surface of the package substrate 1100 is used to connect the transistor 10T, and the lower surface is used to connect to, for example, a printed circuit board (PCB). The outermost metal layer of the package substrate 1100 may be patterned to form solder pads 1120 on the upper surface.

根據本揭露的一些實施例,可以倒裝方式連接電晶體10T與封裝基板1100。也就是說,電晶體10T會以「倒過來」的方式將焊接球900電性連接至焊接墊1120。當電晶體10T的最頂部未包括銅、鎳、以及金的接合墊800時,需透過打線(wire bond)的方式以焊線連接電晶體10T和封裝基板1100。然而,過長的金屬打線會增加組件之間的電感。本揭露實施例的電晶體10T,在具有接合墊800的情形下,可直接將焊接球900焊接至焊接墊1120。由於省略了打線,電晶體10T的尺寸和電路的配置可具有更高的彈性,同時優化整體結構的性能。這樣的製程可被稱為表面黏著技術(Surface Mount Technology, SMT)。According to some embodiments of the present disclosure, the transistor 10T and the packaging substrate 1100 may be connected in a flip-chip manner. In other words, the transistor 10T electrically connects the solder ball 900 to the solder pad 1120 in an "inverted" manner. When the topmost part of the transistor 10T does not include the copper, nickel, and gold bonding pads 800 , the transistor 10T and the packaging substrate 1100 need to be connected to the packaging substrate 1100 by wire bonding. However, excessively long metal traces can increase the inductance between components. When the transistor 10T in the embodiment of the present disclosure has the bonding pad 800, the solder ball 900 can be directly soldered to the soldering pad 1120. Due to the omission of wire bonding, the size of the transistor 10T and the configuration of the circuit can be more flexible, while optimizing the performance of the overall structure. This process can be called Surface Mount Technology (SMT).

繼續參照第12A和12B圖,可注入(inject)底部填充劑1130於電晶體10T和封裝基板1100之間的空間。在一些實施例中,底部填充劑1130可接觸並環繞焊接球900。可固化底部填充劑1130以進一步固定封裝基板1100上的電晶體10T和焊接球900。在一些實施例中,底部填充劑1130可能溢出於電晶體10T和封裝基板1100之間的空間之外,且圍繞電晶體10T的部分周邊側壁以強化電晶體10T的穩固。底部填充劑1130的材料可包括環氧樹脂或矽膠。可藉由點膠(dispensing)的方式將底部填充劑1130滲透至電晶體10T和封裝基板1100之間的空間。Continuing to refer to FIGS. 12A and 12B , an underfill 1130 may be injected into the space between the transistor 10T and the packaging substrate 1100 . In some embodiments, underfill 1130 may contact and surround solder ball 900 . Underfill 1130 may be cured to further secure transistor 10T and solder balls 900 on package substrate 1100 . In some embodiments, the underfill 1130 may overflow out of the space between the transistor 10T and the packaging substrate 1100 and surround part of the peripheral sidewalls of the transistor 10T to enhance the stability of the transistor 10T. The material of the underfill 1130 may include epoxy resin or silicone. The underfill 1130 can be penetrated into the space between the transistor 10T and the packaging substrate 1100 by dispensing.

參照第13A和13B圖,在封裝基板1100上形成第一膠層1140。從上視圖來看,第一膠層1140可具有圍繞電晶體10T(或底部填充劑1130)的環形結構。值得注意的是,第一膠層1140與電晶體10T橫向地隔開。根據本揭露的一些實施例,第一膠層1140可作為定義後續形成的強化環形結構1160的位置,並增加封裝基板1100與強化環形結構1160的黏著性。Referring to Figures 13A and 13B, a first glue layer 1140 is formed on the packaging substrate 1100. Viewed from a top view, the first glue layer 1140 may have a ring-shaped structure surrounding the transistor 10T (or the underfill 1130 ). It is worth noting that the first glue layer 1140 is laterally spaced apart from the transistor 10T. According to some embodiments of the present disclosure, the first adhesive layer 1140 can be used to define the position of the subsequently formed reinforced annular structure 1160 and increase the adhesion between the packaging substrate 1100 and the reinforced annular structure 1160 .

第一膠層1140的材料可包括雙酚A型環氧樹脂(bisphenol A type epoxy resin)、雙酚F型環氧樹脂(bisphenol F type epoxy resin)、雙酚S型環氧樹脂(bisphenol S type epoxy resin)、酚醛樹脂(phenol novolac type epoxy resin)、烷基酚醛樹脂(alkylphenol novolac type epoxy resin)、雙酚型環氧樹脂(biphenyl type epoxy resin)、芳烷基型環氧樹脂(aralkyl type epoxy resin)、雙環戊二烯型環氧樹脂(dicyclopentadiene type epoxy resin)、萘型環氧樹脂(naphthalene type epoxy resin)、萘酚型環氧樹脂(naphthol type epoxy resin)、雙酚芳烷基型環氧樹脂(biphenyl aralkyl type epoxy resin)、茀型環氧樹脂(fluorene type epoxy resin)、二苯并吡喃型環氧樹脂(xanthene type epoxy resin)、異氰尿酸三縮水甘油酯(triglycidyl isocyanurate, TGIC)、其組合、或其他類似材料。可使用針筒印刷製程(syringe printing process)以點膠的方式形成第一膠層1140。The material of the first adhesive layer 1140 may include bisphenol A type epoxy resin, bisphenol F type epoxy resin, or bisphenol S type epoxy resin. epoxy resin), phenol novolac type epoxy resin, alkylphenol novolac type epoxy resin, biphenyl type epoxy resin, aralkyl type epoxy resin dicyclopentadiene type epoxy resin), dicyclopentadiene type epoxy resin, naphthalene type epoxy resin, naphthol type epoxy resin, bisphenol aralkyl type epoxy resin Oxygen resin (biphenyl aralkyl type epoxy resin), fluorene type epoxy resin (fluorene type epoxy resin), dibenzopyran type epoxy resin (xanthene type epoxy resin), triglycidyl isocyanurate (TGIC) ), combinations thereof, or other similar materials. The first adhesive layer 1140 can be formed by dispensing using a syringe printing process.

參照第14A和14B圖,在第一膠層1140上形成強化環形結構1160。從上視圖來看,強化環形結構1160亦可具有圍繞電晶體10T(或底部填充劑1130)的環形結構。環形結構可為圓形、矩形、或任何可接受的幾何形狀。強化環形結構1160與電晶體10T橫向地隔開。根據本揭露的一些實施例,強化環形結構1160可支撐封裝基板1100和後續形成的散熱片1200之間的空間,避免封裝裝置1000的結構發生翹曲(warpage)。強化環形結構1160的材料可包括陶瓷、塑料 、其他類似材料、或其組合。強化環形結構1160的頂面可與電晶體10T的基底100的背側(相對於晶種層120的另一側表面)大抵齊平。可使用耐熱膠、錫膏、其他類似材料、或其組合,接著進行固化來形成強化環形結構1160。Referring to Figures 14A and 14B, a reinforced annular structure 1160 is formed on the first glue layer 1140. From the top view, the reinforced annular structure 1160 may also have an annular structure surrounding the transistor 10T (or the underfill 1130). The ring structure can be circular, rectangular, or any acceptable geometric shape. Reinforcement ring structure 1160 is laterally spaced from transistor 10T. According to some embodiments of the present disclosure, the reinforced annular structure 1160 can support the space between the packaging substrate 1100 and the subsequently formed heat sink 1200 to prevent the structure of the packaging device 1000 from warpage. The material of the reinforced ring structure 1160 may include ceramics, plastics, other similar materials, or combinations thereof. The top surface of the reinforced ring structure 1160 may be substantially flush with the backside of the substrate 100 of the transistor 10T (relative to the other side surface of the seed layer 120 ). Heat-resistant glue, solder paste, other similar materials, or a combination thereof may be used and then cured to form the reinforced ring structure 1160 .

參照第15A和15B圖,在強化環形結構1160的頂面上和電晶體10T的基底100的背側上依序形成第二膠層1180和散熱片1200。根據本揭露的一些實施例,第二膠層1180可增加強化環形結構1160及∕或電晶體10T與散熱片1200的黏著性。第二膠層1180的材料和形成方法可與第一膠層1140類似,其細節將不於此重複贅述。在其他實施例中,第二膠層1180可包括熱導電材料,可輔助散熱片1200的散熱功能。Referring to Figures 15A and 15B, a second glue layer 1180 and a heat sink 1200 are sequentially formed on the top surface of the reinforced ring structure 1160 and the back side of the substrate 100 of the transistor 10T. According to some embodiments of the present disclosure, the second adhesive layer 1180 can increase the adhesion between the reinforced ring structure 1160 and/or the transistor 10T and the heat sink 1200 . The material and formation method of the second glue layer 1180 may be similar to the first glue layer 1140, and the details thereof will not be repeated here. In other embodiments, the second glue layer 1180 may include thermally conductive material, which may assist the heat dissipation function of the heat sink 1200 .

根據本揭露的一些實施例,散熱片1200可釋放來自在操作中的電晶體10T所產生的熱,可提供額外的散熱路徑,使得散熱的效率能夠更有效地提升。散熱片1200的厚度可介於約0.05mm和5mm之間,然而本發明並不以此為限。散熱片1200的材料可包括任何具有熱傳導的材料,例如石墨烯或金屬。可使用物理氣相沉積、原子層沉積、電鍍法、其他合適的製程、或其組合來沉積散熱片1200。According to some embodiments of the present disclosure, the heat sink 1200 can release heat generated from the transistor 10T during operation, and can provide an additional heat dissipation path, so that the heat dissipation efficiency can be more effectively improved. The thickness of the heat sink 1200 may be between about 0.05 mm and 5 mm, but the present invention is not limited thereto. The material of the heat sink 1200 may include any material with thermal conductivity, such as graphene or metal. The heat sink 1200 may be deposited using physical vapor deposition, atomic layer deposition, electroplating, other suitable processes, or a combination thereof.

於一比較例中,利用蝕刻貫穿化合物半導體疊層200,接著形成貫穿氮化鎵導孔(through GaN via, TGV)以電性連接晶種層和作為接地的源極金屬層,使得累積的電荷可被排出。然而,由於化合物半導體疊層200很難被蝕刻,因此形成貫穿氮化鎵導孔的過程需要很高的成本和很長的週期(cycle time)。再者,蝕刻製程所需的能量很難控制,且損害到其他組件的風險也很高。因此,上述製程所需的高成本和高風險不言可喻。 In a comparative example, etching is used to penetrate the compound semiconductor stack 200, and then a through GaN via (TGV) is formed to electrically connect the seed layer and the source metal layer as a ground, so that the accumulated charge can be discharged. However, since the compound semiconductor stack 200 is difficult to etch, the process of forming through-gallium nitride via holes requires a high cost and a long cycle time. Furthermore, the energy required for the etching process is difficult to control, and the risk of damaging other components is also high. Therefore, the high cost and high risk required by the above process are self-evident.

隨著以氮化鎵為主的結構的面積和厚度增加,其材料本身容易累積電荷的特質也會越來越顯著。本揭露實施例,使用導電層順應性地並連續性地由晶種層的側面延伸至源極金屬層的頂面,進而將晶種層中的累積電荷排出,進而降低元件電阻並提高元件操作的速度。 As the area and thickness of gallium nitride-based structures increase, the material's tendency to easily accumulate charges will become more and more apparent. In the embodiment of the present disclosure, the conductive layer is used to compliantly and continuously extend from the side of the seed layer to the top surface of the source metal layer, thereby draining the accumulated charges in the seed layer, thereby reducing the device resistance and improving the device operation. speed.

以上概述數個實施例之特徵,以使所屬技術領域中具有通常知識者可以更加理解本揭露實施例的觀點。所屬技術領域中具有通常知識者應理解,可輕易地以本揭露實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本揭露的精神與範圍,且可在不違背本揭露之精神和範圍下,做各式各樣的改變、取代和替換。 The features of several embodiments are summarized above so that those with ordinary skill in the art can better understand the concepts of the disclosed embodiments. Those of ordinary skill in the art should understand that other processes and structures can be easily designed or modified based on the embodiments of the present disclosure to achieve the same purposes and/or advantages as the embodiments introduced here. Those with ordinary knowledge in the technical field should also understand that such equivalent structures do not deviate from the spirit and scope of the present disclosure, and various changes can be made without departing from the spirit and scope of the present disclosure. Replace and replace.

10:半導體元件 10:Semiconductor components

10T:電晶體 10T: transistor

100:基底 100:Base

110:埋入式氧化物層 110: Buried oxide layer

120:晶種層 200:化合物半導體疊層 210:緩衝層 220:通道層 230:阻障層 300:介電層 310:第一介電層 320:第二介電層 410:源極電極 420:汲極電極 430:閘極電極 510:源極接觸件 520:汲極接觸件 610:源極金屬層 620:汲極金屬層 700:鈍化層 702:切割開口 740:導電層 760:絕緣層 762:第一開口 764:第二開口 766:第三開口 780:光阻層 784:光阻開口 800:接合墊 900:焊接球 1000:封裝裝置 1100:封裝基板 1120:焊接墊 1130:底部填充劑 1140:第一膠層 1160:強化環形結構 1180:第二膠層 1200:散熱片 D1:切割深度 D2:剩餘厚度 120:Seed layer 200: Compound semiconductor stack 210: Buffer layer 220: Channel layer 230:Barrier layer 300: Dielectric layer 310: First dielectric layer 320: Second dielectric layer 410: Source electrode 420: Drain electrode 430: Gate electrode 510: Source contact 520:Drain contact 610: Source metal layer 620: Drain metal layer 700: Passivation layer 702: Cutting opening 740: Conductive layer 760:Insulation layer 762:First opening 764:Second opening 766:The third opening 780: Photoresist layer 784: Photoresist opening 800:Joint pad 900: Solder ball 1000:Packaging device 1100:Package substrate 1120:Welding pad 1130: Bottom filler 1140: First glue layer 1160: Strengthen ring structure 1180: Second glue layer 1200:Heat sink D1: cutting depth D2: remaining thickness

以下將配合所附圖式詳述本揭露實施例之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,可任意地放大或縮小各種元件的尺寸,以清楚地表現出本揭露實施例的特徵。 第1~4、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、和15A圖是根據本揭露的一些實施例,繪示在各階段半導體元件的形成方法的剖面示意圖。 第5B、6B、7B、8B、9B、10B、11B、12B、13B、14B、和15B圖是根據本揭露的另一些實施例,繪示不同態樣的半導體元件的剖面示意圖。 Various aspects of the disclosed embodiments will be described in detail below with reference to the accompanying drawings. It should be noted that, consistent with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various elements may be arbitrarily enlarged or reduced to clearly illustrate the features of the embodiments of the present disclosure. Figures 1 to 4, 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, and 15A are schematic cross-sectional views illustrating a method of forming a semiconductor device at various stages according to some embodiments of the present disclosure. . 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, and 15B are schematic cross-sectional views showing different aspects of semiconductor devices according to other embodiments of the present disclosure.

10:半導體元件 10:Semiconductor components

10T:電晶體 10T: transistor

100:基底 100:Base

110:埋入式氧化物層 110: Buried oxide layer

120:晶種層 120:Seed layer

200:化合物半導體疊層 200: Compound semiconductor stack

210:緩衝層 210:Buffer layer

220:通道層 220: Channel layer

230:阻障層 230:Barrier layer

300:介電層 300: Dielectric layer

310:第一介電層 310: First dielectric layer

320:第二介電層 320: Second dielectric layer

410:源極電極 410: Source electrode

420:汲極電極 420: Drain electrode

430:閘極電極 430: Gate electrode

510:源極接觸件 510: Source contact

520:汲極接觸件 520:Drain contact

610:源極金屬層 610: Source metal layer

620:汲極金屬層 620: Drain metal layer

700:鈍化層 700: Passivation layer

740:導電層 740: Conductive layer

760:絕緣層 760:Insulation layer

762:第一開口 762:First opening

766:第三開口 766:The third opening

800:接合墊 800:Joint pad

Claims (22)

一種半導體元件,包括: 一基底; 一晶種層,設置於該基底上; 一化合物半導體疊層,設置於該晶種層上; 一源極金屬層和一汲極金屬層,設置於該化合物半導體疊層上;以及 一導電層,至少部分覆蓋該源極金屬層與該汲極金屬層,且覆蓋該晶種層的相對兩側表面和該化合物半導體疊層的相對兩側表面,其中該導電層電性連接該晶種層和該源極金屬層。 A semiconductor component including: a base; A seed crystal layer is provided on the substrate; A compound semiconductor stack is provided on the seed layer; A source metal layer and a drain metal layer are disposed on the compound semiconductor stack; and A conductive layer, at least partially covering the source metal layer and the drain metal layer, and covering the opposite side surfaces of the seed layer and the opposite side surfaces of the compound semiconductor stack, wherein the conductive layer is electrically connected to the seed layer and the source metal layer. 如請求項1之半導體元件,其中該導電層直接接觸該晶種層的相對兩側表面的其中之一和該源極金屬層的頂面。The semiconductor device of claim 1, wherein the conductive layer directly contacts one of the opposite side surfaces of the seed layer and the top surface of the source metal layer. 如請求項1之半導體元件,更包括一絕緣層覆蓋該導電層,且該絕緣層露出該導電層至少位於該源極金屬層的頂面和該汲極金屬層的頂面的部分;其中一接合墊覆蓋該源極金屬層的頂面和該汲極金屬層的頂面。The semiconductor device of claim 1, further comprising an insulating layer covering the conductive layer, and the insulating layer exposing at least a portion of the conductive layer located on the top surface of the source metal layer and the top surface of the drain metal layer; one of which The bonding pad covers the top surface of the source metal layer and the top surface of the drain metal layer. 如請求項1之半導體元件,更包括至少一介電層,設置於該化合物半導體疊層和該源極金屬層之間;其中該導電層至少部分覆蓋該介電層的相對兩側表面。The semiconductor device of claim 1, further comprising at least one dielectric layer disposed between the compound semiconductor stack and the source metal layer; wherein the conductive layer at least partially covers opposite side surfaces of the dielectric layer. 如請求項4之半導體元件,其中至少該介電層包括: 一第一介電層,設置於該化合物半導體疊層上;以及 一第二介電層,設置於該第一介電層上。 The semiconductor device of claim 4, wherein at least the dielectric layer includes: a first dielectric layer disposed on the compound semiconductor stack; and A second dielectric layer is disposed on the first dielectric layer. 如請求項1之半導體元件,其中該化合物半導體疊層更包括: 一緩衝層,設置於該晶種層上; 一通道層,設置於該緩衝層上;以及 一阻障層,設置於該通道層上。 The semiconductor device of claim 1, wherein the compound semiconductor stack further includes: A buffer layer is provided on the seed layer; A channel layer is provided on the buffer layer; and A barrier layer is provided on the channel layer. 如請求項1之半導體元件,更包括: 一源極電極,其中該源極電極透過一源極接觸件電性耦合至該源極金屬層; 一汲極電極,其中該汲極電極透過一汲極接觸件電性耦合至該汲極金屬層;以及 一閘極電極,設置於該源極電極和該汲極電極之間,其中該源極電極、該汲極電極、和該閘極電極形成一電晶體。 For example, the semiconductor component of claim 1 further includes: a source electrode, wherein the source electrode is electrically coupled to the source metal layer through a source contact; a drain electrode, wherein the drain electrode is electrically coupled to the drain metal layer through a drain contact; and A gate electrode is disposed between the source electrode and the drain electrode, wherein the source electrode, the drain electrode, and the gate electrode form a transistor. 如請求項1之半導體元件,其中該基底為一陶瓷基底,該陶瓷基底的材料包括氮化鋁(aluminum nitride, AlN)、碳化矽(silicon carbide, SiC)、氧化鋁(aluminum oxide, Al 2O 3)、或其組合。 The semiconductor device of claim 1, wherein the substrate is a ceramic substrate, and the materials of the ceramic substrate include aluminum nitride (AlN), silicon carbide (SiC), aluminum oxide (Al 2 O) 3 ), or a combination thereof. 如請求項7之半導體元件,更包括乘該電晶體的一封裝裝置,該封裝裝置包括: 一封裝基板,其中該電晶體倒裝設置於該封裝基板上; 一強化環形結構,設置於該封裝基板上,且環繞該電晶體;以及 一散熱片,覆蓋該電晶體和該強化環形結構。 The semiconductor component of claim 7 further includes a packaging device for multiplying the transistor, and the packaging device includes: A packaging substrate, wherein the transistor is flip-chip mounted on the packaging substrate; A reinforced annular structure is provided on the packaging substrate and surrounds the transistor; and A heat sink covers the transistor and the reinforced ring structure. 一種半導體元件的形成方法,包括: 提供一基底; 形成一晶種層於該基底上; 形成一化合物半導體疊層於該晶種層上; 形成一源極金屬層和一汲極金屬層於該化合物半導體疊層上; 進行一切割製程以形成一切割開口穿過該化合物半導體疊層和該晶種層,並延伸進入該基底的至少一部分; 順應性地沉積一導電層於該切割開口的底部和側壁上,並覆蓋該源極金屬層和該汲極金屬層;以及 移除該導電層位於該源極金屬層和該汲極金屬層之間的部分。 A method for forming a semiconductor element, including: provide a base; forming a seed layer on the substrate; Forming a compound semiconductor stack on the seed layer; forming a source metal layer and a drain metal layer on the compound semiconductor stack; performing a dicing process to form a dicing opening through the compound semiconductor stack and the seed layer and extending into at least a portion of the substrate; Compliantly deposit a conductive layer on the bottom and sidewalls of the cutting opening and cover the source metal layer and the drain metal layer; and Remove the portion of the conductive layer between the source metal layer and the drain metal layer. 如請求項10之半導體元件的形成方法,更包括移除該導電層位於該切割開口底部的部分。The method of forming a semiconductor device according to claim 10 further includes removing a portion of the conductive layer located at the bottom of the cutting opening. 如請求項10之半導體元件的形成方法,更包括移除該導電層位於該汲極金屬層與該切割開口之間的部分。The method of forming a semiconductor device according to claim 10 further includes removing a portion of the conductive layer between the drain metal layer and the cutting opening. 如請求項10之半導體元件的形成方法,更包括在移除該導電層的部分之前,形成一絕緣層覆蓋該源極金屬層和該汲極金屬層,並填入該切割開口。The method of forming a semiconductor device according to claim 10 further includes forming an insulating layer to cover the source metal layer and the drain metal layer and fill the cutting opening before removing part of the conductive layer. 如請求項13之半導體元件的形成方法,更包括圖案化該絕緣層以形成一第一開口、一第二開口以及一第三開口,其中: 該第一開口露出該導電層位於該切割開口的底部的部分; 該第二開口露出該導電層位於該源極金屬層的頂面和該汲極金屬層的頂面的部分;以及 該第三開口露出該導電層位於該源極金屬層和該汲極金屬層之間的部分和該汲極金屬層和靠近該汲極金屬層的該切割開口之間的部分。 The method of forming a semiconductor device according to claim 13, further comprising patterning the insulating layer to form a first opening, a second opening and a third opening, wherein: The first opening exposes a portion of the conductive layer located at the bottom of the cutting opening; The second opening exposes the portion of the conductive layer located on the top surface of the source metal layer and the top surface of the drain metal layer; and The third opening exposes a portion of the conductive layer between the source metal layer and the drain metal layer and a portion between the drain metal layer and the cutting opening close to the drain metal layer. 如請求項13之半導體元件的形成方法,更包括圖案化該絕緣層以形成一第一開口以及一第二開口,其中:該第一開口露出該導電層位於該源極金屬層和該汲極金屬層之間的部分和該汲極金屬層和靠近該汲極金屬層的該切割開口之間的部分;以及該第二開口露出該導電層位於該源極金屬層的頂面和該汲極金屬層的頂面的部分。 The method of forming a semiconductor device according to claim 13, further comprising patterning the insulating layer to form a first opening and a second opening, wherein: the first opening exposes the conductive layer located on the source metal layer and the drain The part between the metal layers and the part between the drain metal layer and the cutting opening close to the drain metal layer; and the second opening exposes the conductive layer on the top surface of the source metal layer and the drain The top surface of the metal layer. 如請求項14和15中任一項之半導體元件的形成方法,更包括形成一接合墊於該第二開口中,且設置於該導電層被該第二開口所露出的部分上。 The method of forming a semiconductor device according to any one of claims 14 and 15, further comprising forming a bonding pad in the second opening and disposing it on the portion of the conductive layer exposed by the second opening. 如請求項16之半導體元件的形成方法,更包括在形成該接合墊之前,塗佈一光阻層於該絕緣層上,接著圖案化該光阻層以形成一光阻開口對應該第二開口。 The method of forming a semiconductor device according to claim 16, further comprising, before forming the bonding pad, coating a photoresist layer on the insulating layer, and then patterning the photoresist layer to form a photoresist opening corresponding to the second opening. . 如請求項10之半導體元件的形成方法,其中沉積該導電層的方法包括濺鍍(sputtering)製程和蒸鍍(evaporating)製程。 The method of forming a semiconductor element as claimed in claim 10, wherein the method of depositing the conductive layer includes a sputtering process and an evaporating process. 如請求項10之半導體元件的形成方法,其中移除該導電層的部分的方法包括濕蝕刻製程。 The method of forming a semiconductor device according to claim 10, wherein the method of removing the portion of the conductive layer includes a wet etching process. 如請求項10之半導體元件的形成方法,更包括:在移除該導電層的部分之後,薄化該基底;以及 對薄化後的該基底位於該切割開口下的部分進行單晶化(singulation)製程。 The method of forming a semiconductor element as claimed in claim 10, further comprising: thinning the substrate after removing part of the conductive layer; and A singulation process is performed on the thinned portion of the substrate located under the cutting opening. 如請求項20之半導體元件的形成方法,其中薄化該基底的方法包括太鼓研磨(Taiko grinding)製程和一般研磨(Non-Taiko grinding)製程,而單晶化製程包括刀鋸(blade saw)和晶粒分割(die break dicing)。 As claimed in claim 20, the method for forming a semiconductor element, wherein the method for thinning the substrate includes a Taiko grinding process and a non-Taiko grinding process, and the single crystallization process includes a blade saw and a crystal. Die break dicing. 如請求項16之半導體元件的形成方法,更包括進行封裝製程,包括:形成一焊接球於該接合墊上;以倒裝方式將該焊接球連接至一封裝基板;形成一散熱片於該基底相對於該晶種層的另一側上;以及形成一強化環形結構支撐該封裝基板和該散熱片之間的空間。 The method of forming a semiconductor device according to claim 16 further includes performing a packaging process, including: forming a solder ball on the bonding pad; flip-chip connecting the solder ball to a packaging substrate; and forming a heat sink opposite to the substrate. on the other side of the seed layer; and forming a reinforced annular structure to support the space between the packaging substrate and the heat sink.
TW111112374A 2022-03-31 2022-03-31 Semiconductor device and method forming the same TWI813237B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW111112374A TWI813237B (en) 2022-03-31 2022-03-31 Semiconductor device and method forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW111112374A TWI813237B (en) 2022-03-31 2022-03-31 Semiconductor device and method forming the same

Publications (2)

Publication Number Publication Date
TWI813237B true TWI813237B (en) 2023-08-21
TW202341483A TW202341483A (en) 2023-10-16

Family

ID=88585746

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111112374A TWI813237B (en) 2022-03-31 2022-03-31 Semiconductor device and method forming the same

Country Status (1)

Country Link
TW (1) TWI813237B (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160005816A1 (en) * 2014-07-02 2016-01-07 International Rectifier Corporation Group III-V Transistor with Voltage Controlled Substrate
US20160049375A1 (en) * 2013-09-03 2016-02-18 Renesas Electronics Corporation Semiconductor device
US20180012770A1 (en) * 2016-03-03 2018-01-11 Gan Systems Inc. GaN-on-Si SEMICONDUCTOR DEVICE STRUCTURES FOR HIGH CURRENT/ HIGH VOLTAGE LATERAL GaN TRANSISTORS AND METHODS OF FABRICATION THEREOF
US10163707B2 (en) * 2017-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming group III-V device structure
TW202123468A (en) * 2019-12-06 2021-06-16 世界先進積體電路股份有限公司 Semiconductor structures and the method for forming the same
US11211308B2 (en) * 2019-03-12 2021-12-28 Globalwafers Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160049375A1 (en) * 2013-09-03 2016-02-18 Renesas Electronics Corporation Semiconductor device
US20160005816A1 (en) * 2014-07-02 2016-01-07 International Rectifier Corporation Group III-V Transistor with Voltage Controlled Substrate
US20180012770A1 (en) * 2016-03-03 2018-01-11 Gan Systems Inc. GaN-on-Si SEMICONDUCTOR DEVICE STRUCTURES FOR HIGH CURRENT/ HIGH VOLTAGE LATERAL GaN TRANSISTORS AND METHODS OF FABRICATION THEREOF
US10163707B2 (en) * 2017-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming group III-V device structure
US11211308B2 (en) * 2019-03-12 2021-12-28 Globalwafers Co., Ltd. Semiconductor device and manufacturing method thereof
TW202123468A (en) * 2019-12-06 2021-06-16 世界先進積體電路股份有限公司 Semiconductor structures and the method for forming the same

Also Published As

Publication number Publication date
TW202341483A (en) 2023-10-16

Similar Documents

Publication Publication Date Title
US8294254B2 (en) Chip scale surface mounted semiconductor device package and process of manufacture
TWI557801B (en) Semiconductor device
TWI496251B (en) Semiconductor apparatus, method for manufacturing the same and electric device
TWI411079B (en) Semiconductor die and method for forming a conductive feature
TWI502663B (en) Semiconductor device and method of forming enhanced ubm structure for improving solder joint reliability
TWI727277B (en) Semiconductor structure and method of forming semiconductor structure
TWI570820B (en) Semiconductor device and method of forming stress relief layer between die and interconnect structure
US10163707B2 (en) Method for forming group III-V device structure
US20150028461A1 (en) Conductive Pads and Methods of Formation Thereof
US20210384152A1 (en) Semiconductor device with conductive pad
CN116960160A (en) Semiconductor device and method for forming the same
TWI813237B (en) Semiconductor device and method forming the same
US20220384286A1 (en) Chip package structure with heat conductive layer
US20230420328A1 (en) Semiconductor device and method forming the same
TWI804874B (en) Package structure
US11935878B2 (en) Package structure and method for manufacturing the same
TW201546967A (en) Semiconductor device structure and manufacturing method
TWI813100B (en) Semiconductor structure and manufacturing method of the same
CN115938956A (en) Package structure and method for manufacturing the same
TWI830409B (en) Semiconductor device, semiconductor package and manufacture method thereof
US11588036B2 (en) High-efficiency packaged chip structure and electronic device including the same
US20210183732A1 (en) Semiconductor Device Power Metallization Layer with Stress-Relieving Heat Sink Structure
CN115995437A (en) Manufacturing method of semiconductor packaging structure and semiconductor packaging structure
JP2023183333A (en) Semiconductor device, monolithic microwave integrated circuit, semiconductor package, and method for manufacturing semiconductor device
CN116097429A (en) Semiconductor package device and method of manufacturing the same