TWI813024B - Method of forming three-dimensional memory device - Google Patents

Method of forming three-dimensional memory device Download PDF

Info

Publication number
TWI813024B
TWI813024B TW110135301A TW110135301A TWI813024B TW I813024 B TWI813024 B TW I813024B TW 110135301 A TW110135301 A TW 110135301A TW 110135301 A TW110135301 A TW 110135301A TW I813024 B TWI813024 B TW I813024B
Authority
TW
Taiwan
Prior art keywords
opening
layer
forming
region
dimensional memory
Prior art date
Application number
TW110135301A
Other languages
Chinese (zh)
Other versions
TW202315077A (en
Inventor
沈冠源
邱家榮
Original Assignee
旺宏電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 旺宏電子股份有限公司 filed Critical 旺宏電子股份有限公司
Priority to TW110135301A priority Critical patent/TWI813024B/en
Publication of TW202315077A publication Critical patent/TW202315077A/en
Application granted granted Critical
Publication of TWI813024B publication Critical patent/TWI813024B/en

Links

Abstract

Provided is a method of forming a three-dimensional (3D) memory device including: forming a discharging layer and a stack structure on a buffer layer; forming vertical channel structures in the stack structure; forming an opening in the stack structure, wherein the opening includes two first trenches extending along a X direction and two second trenches extending along a Y direction, and the two first trenches and the two second trenches are separated from each other; forming an insulating layer on a sidewall of the opening; removing the discharging layer exposed by the insulating layer to form a cavity connecting the two first trenches and the two second trenches, thereby forming a ring-shaped opening; performing a gate replacement process to replace sacrificial layers of the stack structure by conductive layers; and filling an isolating material in the ring-shaped opening to form an isolating ring structure.

Description

三維記憶體元件的形成方法Methods of forming three-dimensional memory devices

本發明是有關於一種半導體元件的形成方法,且特別是有關於一種三維記憶體元件的形成方法。The present invention relates to a method of forming a semiconductor element, and in particular to a method of forming a three-dimensional memory element.

非揮發性記憶體(例如快閃記憶體)由於具有使存入的資料在斷電後也不會消失的優點,因此成為個人電腦和其他電子設備所廣泛採用的一種記憶體。Non-volatile memory (such as flash memory) has become a type of memory widely used in personal computers and other electronic devices because it has the advantage that stored data will not disappear even after a power outage.

目前業界較常使用的三維快閃記憶體包括反或式(NOR)快閃記憶體以及反及式(NAND)快閃記憶體。此外,另一種三維快閃記憶體為及式(AND)快閃記憶體,其可應用在多維度的快閃記憶體陣列中而具有高積集度與高面積利用率,且具有操作速度快的優點。因此,三維快閃記憶體的發展已逐漸成為目前的趨勢。Currently, three-dimensional flash memories commonly used in the industry include NOR flash memory and NAND flash memory. In addition, another type of three-dimensional flash memory is AND flash memory, which can be used in multi-dimensional flash memory arrays to have high integration and high area utilization, and has fast operation speed. advantages. Therefore, the development of three-dimensional flash memory has gradually become the current trend.

本發明提供一種三維記憶體元件的形成方法,包括以下步驟。提供具有第一區與第二區的緩衝層。第二區環繞第一區。在緩衝層上形成停止層與包括交替堆疊的多個介電層與多個犧牲層堆疊結構。堆疊結構包括至少一垂直通道結構,以貫穿第一區中的堆疊結構。在第一區的堆疊結構中形成第一開口,且在第二區的堆疊結構中形成第二開口。第二開口的寬度大於第一開口的寬度。形成介電材料,以填入第一開口與第二開口。進行第一蝕刻製程,至少移除第二開口的底面上的部分介電材料,以暴露出停止層的一部分,進而在第一開口中形成第一介電層並在第二開口的側壁上形成第二介電層。進行第二蝕刻製程,以移除外露於第二開口的底面處的停止層,進而形成空腔。空腔側向延伸至堆疊結構的下方,使得空腔連接第二開口以形成封閉環。The invention provides a method for forming a three-dimensional memory element, which includes the following steps. A buffer layer having a first area and a second area is provided. The second area surrounds the first area. A stop layer and a stack structure including a plurality of alternately stacked dielectric layers and a plurality of sacrificial layers are formed on the buffer layer. The stacked structure includes at least one vertical channel structure penetrating the stacked structure in the first region. A first opening is formed in the stacked structure of the first region, and a second opening is formed in the stacked structure of the second region. The width of the second opening is greater than the width of the first opening. A dielectric material is formed to fill the first opening and the second opening. Perform a first etching process to remove at least part of the dielectric material on the bottom surface of the second opening to expose a part of the stop layer, and then form a first dielectric layer in the first opening and on the sidewall of the second opening. second dielectric layer. A second etching process is performed to remove the stop layer exposed at the bottom surface of the second opening, thereby forming a cavity. The cavity extends laterally below the stacked structure such that the cavity connects to the second opening to form a closed ring.

本發明提供一種三維記憶體元件的形成方法,包括以下步驟。在緩衝層上形成放電層(discharging layer)與包括交替堆疊的多個介電層與多個犧牲層的堆疊結構。在堆疊結構中形成多個垂直通道結構。在堆疊結構中形成開口。開口包括沿著X方向延伸的兩個第一狹縫與沿著Y方向延伸的兩個第二狹縫,且兩個第一狹縫與兩個第二狹縫彼此分隔。在開口的側壁上形成絕緣層。絕緣層暴露出開口的底面處的放電層。移除外露於開口的底面處的放電層,以形成空腔。空腔延伸至堆疊結構的下方,以連接兩個第一狹縫與兩個第二狹縫,進而形成環狀開口。進行閘極替換製程,以將堆疊結構中的多個犧牲層替換為多個導體層。多個導體層分別環繞多個垂直通道結構以形成多個記憶胞。將隔離材料填入環狀開口中,以形成隔離環結構。The invention provides a method for forming a three-dimensional memory element, which includes the following steps. A discharge layer (discharging layer) and a stacked structure including a plurality of alternately stacked dielectric layers and a plurality of sacrificial layers are formed on the buffer layer. Multiple vertical channel structures are formed in the stacked structure. Openings are formed in the stacked structure. The opening includes two first slits extending along the X direction and two second slits extending along the Y direction, and the two first slits and the two second slits are separated from each other. An insulating layer is formed on the sidewall of the opening. The insulating layer exposes the discharge layer at the bottom surface of the opening. The discharge layer exposed at the bottom surface of the opening is removed to form a cavity. The cavity extends below the stacked structure to connect the two first slits and the two second slits to form an annular opening. A gate replacement process is performed to replace multiple sacrificial layers in the stacked structure with multiple conductor layers. A plurality of conductor layers respectively surround a plurality of vertical channel structures to form a plurality of memory cells. Fill the annular opening with isolation material to form an isolation ring structure.

基於上述,本實施例在陣列區與隔離環區中同時形成第一開口與第二開口,以通過第一開口與第二開口來進行閘極替換製程。第二開口可包括未封閉的狹縫環結構,以避免放電層被第二開口截斷,進而防止電弧效應的產生。另外,本實施例可進行後續蝕刻製程,移除外露於第二開口的底面處的放電層,進而形成空腔。此空腔可側向貫穿未封閉的狹縫環結構,以形成環狀開口,進而電性分隔或物理分隔陣列區的放電層與外部區的放電層。在此情況下,本實施例可在切割放電層時,同時避免了電弧效應的產生,進而改善三維記憶體元件的可靠度。Based on the above, in this embodiment, a first opening and a second opening are simultaneously formed in the array region and the isolation ring region, so that the gate replacement process can be performed through the first opening and the second opening. The second opening may include an unclosed slit ring structure to prevent the discharge layer from being cut off by the second opening, thereby preventing arcing effects. In addition, this embodiment can perform a subsequent etching process to remove the discharge layer exposed at the bottom surface of the second opening, thereby forming a cavity. The cavity can laterally penetrate the unsealed slit ring structure to form a ring-shaped opening, thereby electrically or physically separating the discharge layer in the array region and the discharge layer in the outer region. In this case, this embodiment can avoid the arc effect when cutting the discharge layer, thereby improving the reliability of the three-dimensional memory element.

參照本實施例之圖式以更全面地闡述本發明。然而,本發明亦可以各種不同的形式體現,而不應限於本文中所述之實施例。圖式中的層與區域的厚度會為了清楚起見而放大。相同或相似之元件標號表示相同或相似之元件,以下段落將不再一一贅述。The present invention will be described more fully with reference to the drawings of this embodiment. However, the present invention may also be embodied in various forms and should not be limited to the embodiments described herein. The thickness of layers and regions in the drawings are exaggerated for clarity. The same or similar component numbers represent the same or similar components, which will not be described one by one in the following paragraphs.

圖1是依照本發明一實施例的一種三維記憶體元件的剖面示意圖。FIG. 1 is a schematic cross-sectional view of a three-dimensional memory device according to an embodiment of the present invention.

請參照圖1,本發明實施例之三維記憶體元件可包括緩衝層100、停止層102、堆疊結構110、頂蓋層116以及垂直通道結構130。在一實施例中,緩衝層100包括介電基底。介電基底可以是形成在矽基板上的介電層,例如是氧化矽層。也就是說,緩衝層100的下方可具有周邊電路。另外,緩衝層100可包括第一區R1與第二區R2。在一實施例中,第一區R1可以是陣列區,而第二區R2可以是隔離環區。Referring to FIG. 1 , the three-dimensional memory device according to the embodiment of the present invention may include a buffer layer 100 , a stop layer 102 , a stacked structure 110 , a capping layer 116 and a vertical channel structure 130 . In one embodiment, buffer layer 100 includes a dielectric substrate. The dielectric substrate may be a dielectric layer formed on a silicon substrate, such as a silicon oxide layer. In other words, there may be peripheral circuits underneath the buffer layer 100 . In addition, the buffer layer 100 may include a first region R1 and a second region R2. In an embodiment, the first region R1 may be an array region, and the second region R2 may be an isolation ring region.

停止層102可形成在緩衝層100上。在一實施例中,停止層102的材料包括導體材料。導體材料可包含包括有多晶矽、III-V族化合物半導體或其組合的半導體材料。當該三維記憶體元件為三維反及式(NAND)快閃記憶體的實施例時,此停止層102可用以當作源極線(source line)。當該三維記憶體元件為三維反或式(NOR)快閃記憶體的實施例時,此停止層102可用以當作虛擬字元線(dummy word line)。雖然圖1所繪示的停止層102為單層結構,但本發明不以此為限。在替代實施例中,停止層102亦可以是多層結構。此多層結構可包括交替堆疊的多個介電層(例如氧化矽層)與多個導體層(例如多晶矽層)。The stop layer 102 may be formed on the buffer layer 100 . In one embodiment, the material of the stop layer 102 includes a conductive material. The conductor material may include semiconductor materials including polycrystalline silicon, III-V compound semiconductors, or combinations thereof. When the three-dimensional memory device is an embodiment of a three-dimensional NAND flash memory, the stop layer 102 can be used as a source line. When the three-dimensional memory device is an embodiment of a three-dimensional NOR flash memory, the stop layer 102 can be used as a dummy word line. Although the stop layer 102 shown in FIG. 1 has a single-layer structure, the present invention is not limited thereto. In alternative embodiments, stop layer 102 may also be a multi-layer structure. The multi-layer structure may include alternately stacked dielectric layers (eg, silicon oxide layers) and conductor layers (eg, polycrystalline silicon layers).

堆疊結構110可形成在停止層102上,以使停止層102配置在緩衝層100與堆疊結構110之間。在一實施例中,堆疊結構110可包括交替堆疊的多個介電層112與多個犧牲層114。在一實施例中,介電層112與犧牲層114可以是不同材料,或是具有不同蝕刻率的材料。舉例來說,介電層112可以是氧化矽層;犧牲層114可以是氮化矽層、多晶矽層或金屬鎢層。介電層112與犧牲層114的數量可以依據需求來調整,本發明不以此為限。The stack structure 110 may be formed on the stop layer 102 such that the stop layer 102 is disposed between the buffer layer 100 and the stack structure 110 . In one embodiment, the stacked structure 110 may include a plurality of dielectric layers 112 and a plurality of sacrificial layers 114 stacked alternately. In one embodiment, the dielectric layer 112 and the sacrificial layer 114 may be made of different materials, or materials with different etching rates. For example, the dielectric layer 112 may be a silicon oxide layer; the sacrificial layer 114 may be a silicon nitride layer, a polycrystalline silicon layer or a metallic tungsten layer. The number of the dielectric layer 112 and the sacrificial layer 114 can be adjusted according to requirements, and the present invention is not limited thereto.

頂蓋層116可形成在堆疊結構110上,以使堆疊結構110配置在停止層102與頂蓋層116之間。在一實施例中,頂蓋層116的材料可包括介電材料,例如是氧化矽。The capping layer 116 may be formed on the stacked structure 110 such that the stacked structure 110 is disposed between the stop layer 102 and the capping layer 116 . In one embodiment, the material of the capping layer 116 may include a dielectric material, such as silicon oxide.

垂直通道結構130可形成在第一區R1中的堆疊結構110與停止層102中。如圖1所示,垂直通道結構130可貫穿堆疊結構110、停止層102且部分延伸至緩衝層100中。值得注意的是,在形成可容納垂直通道結構130的開口115時,停止層102不僅可用以當作蝕刻停止層,還可用以防止在電漿蝕刻時所產生的電弧效應(arcing effect),進而改善元件的可靠度。在此實施例中,停止層102可視為放電層(discharging layer),其通常會接地至矽基板,以降低上述電漿蝕刻所累積的電荷,進而避免元件的損壞。因此,在進行高深寬比的蝕刻製程時,通常會將停止層102接地至矽基板,以避免電弧放電發生。The vertical channel structure 130 may be formed in the stack structure 110 and the stop layer 102 in the first region R1. As shown in FIG. 1 , the vertical channel structure 130 may penetrate the stack structure 110 , the stop layer 102 and partially extend into the buffer layer 100 . It is worth noting that when forming the opening 115 that can accommodate the vertical channel structure 130, the stop layer 102 can not only be used as an etching stop layer, but can also be used to prevent the arcing effect generated during plasma etching, and thus Improve component reliability. In this embodiment, the stop layer 102 can be regarded as a discharging layer, which is usually grounded to the silicon substrate to reduce the charge accumulated by the above-mentioned plasma etching, thereby avoiding damage to the component. Therefore, when performing a high aspect ratio etching process, the stop layer 102 is usually grounded to the silicon substrate to avoid arc discharge.

基本上,根據三維記憶體元件的不同形式,垂直通道結構130可具有不同態樣,詳細說明如下所述。Basically, according to different forms of the three-dimensional memory device, the vertical channel structure 130 can have different aspects, as detailed below.

圖2A、圖3A以及圖4A繪示出依照本發明各種實施例的垂直通道結構的剖面示意圖。圖2B、圖3B以及圖4B分別是圖2A、圖3A以及圖4A的平面示意圖。2A, 3A and 4A are schematic cross-sectional views of vertical channel structures according to various embodiments of the present invention. Figures 2B, 3B and 4B are schematic plan views of Figures 2A, 3A and 4A respectively.

請參照圖2A與圖2B,當該三維記憶體元件為三維及式(AND)快閃記憶體,垂直通道結構130A可包括電荷儲存結構132、通道層134、介電材料136、第一源極/汲極柱133以及第二源極/汲極柱135。如圖2A所示,第一源極/汲極柱133與第二源極/汲極柱135可貫穿頂蓋層116、堆疊結構110以及停止層102,並部分延伸至緩衝層100中。在一實施例中,第一源極/汲極柱133與第二源極/汲極柱135可具有相同的導體材料,例如是N型摻雜(N+)多晶矽材料。介電材料136可配置在第一源極/汲極柱133與第二源極/汲極柱135之間,以分隔第一源極/汲極柱133與第二源極/汲極柱135。另外,如圖2B所示,通道層134可橫向環繞介電材料136、第一源極/汲極柱133以及第二源極/汲極柱135。第一源極/汲極柱133與第二源極/汲極柱135分別物理接觸通道層134的一部分。電荷儲存結構132可橫向環繞通道層134。在一實施例中,電荷儲存結構132可以是由穿隧層、電荷儲存層以及阻擋層所構成的複合層。穿隧層、電荷儲存層以及阻擋層可分別被視為氧化物/氮化物/氧化物(ONO)。在另一實施例中,穿隧層可以是氧化物/氮化物/氧化物(ONO)的複合層。在其他實施例中,阻擋層可以是氧化物/氮化物/氧化物(ONO)的複合層或是其他合適的材料。通道層134可包括摻雜多晶矽層或是未摻雜多晶矽層。介電材料136可包括氧化矽、氮化矽、氮氧化矽或其組合。Please refer to FIG. 2A and FIG. 2B. When the three-dimensional memory device is a three-dimensional AND flash memory, the vertical channel structure 130A may include a charge storage structure 132, a channel layer 134, a dielectric material 136, and a first source electrode. /Drain post 133 and the second source/Drain post 135. As shown in FIG. 2A , the first source/drain pillar 133 and the second source/drain pillar 135 can penetrate the cap layer 116 , the stack structure 110 and the stop layer 102 , and partially extend into the buffer layer 100 . In one embodiment, the first source/drain pillar 133 and the second source/drain pillar 135 may have the same conductor material, such as N-type doped (N+) polycrystalline silicon material. The dielectric material 136 may be disposed between the first source/drain post 133 and the second source/drain post 135 to separate the first source/drain post 133 and the second source/drain post 135 . In addition, as shown in FIG. 2B , the channel layer 134 may laterally surround the dielectric material 136 , the first source/drain pillar 133 and the second source/drain pillar 135 . The first source/drain pillar 133 and the second source/drain pillar 135 respectively physically contact a portion of the channel layer 134 . Charge storage structure 132 may laterally surround channel layer 134 . In one embodiment, the charge storage structure 132 may be a composite layer composed of a tunneling layer, a charge storage layer and a blocking layer. The tunneling layer, charge storage layer and blocking layer can be considered as oxide/nitride/oxide (ONO) respectively. In another embodiment, the tunneling layer may be a composite layer of oxide/nitride/oxide (ONO). In other embodiments, the barrier layer may be a composite layer of oxide/nitride/oxide (ONO) or other suitable materials. The channel layer 134 may include a doped polysilicon layer or an undoped polysilicon layer. Dielectric material 136 may include silicon oxide, silicon nitride, silicon oxynitride, or combinations thereof.

請參照圖3A與圖3B,當該三維記憶體元件為第一類型的三維反及式(NAND)快閃記憶體,垂直通道結構130B可包括電荷儲存結構132、通道結構234以及介電柱236。如圖3A所示,介電柱236可貫穿頂蓋層116、堆疊結構110以及停止層102,並部分延伸至緩衝層100中。通道結構234可包括襯層234A與插塞234B。襯層234A可覆蓋介電柱236的側壁與底面,而插塞234B可密封介電柱236的頂面。在此情況下,通道結構234可完整包覆介電柱236的所有表面。電荷儲存結構132可配置在通道結構234與頂蓋層116之間,以及通道結構234與堆疊結構110之間。通道結構234與停止層102之間的電荷儲存結構132則是被移除。從剖面圖3B的角度來看,電荷儲存結構132可橫向環繞通道結構234。電荷儲存結構132、通道結構234以及介電柱236的材料分別與電荷儲存結構132、通道層134以及介電材料136的材料相同,且已在上述段落詳述過,於此便不再贅述。Referring to FIGS. 3A and 3B , when the three-dimensional memory device is a first type of three-dimensional NAND flash memory, the vertical channel structure 130B may include a charge storage structure 132 , a channel structure 234 and a dielectric pillar 236 . As shown in FIG. 3A , the dielectric pillar 236 may penetrate the cap layer 116 , the stack structure 110 and the stop layer 102 , and partially extend into the buffer layer 100 . Channel structure 234 may include liner 234A and plug 234B. The liner 234A may cover the sidewalls and bottom surfaces of the dielectric pillars 236 , and the plugs 234B may seal the top surfaces of the dielectric pillars 236 . In this case, the channel structure 234 may completely cover all surfaces of the dielectric pillar 236 . The charge storage structure 132 may be disposed between the channel structure 234 and the cap layer 116 , and between the channel structure 234 and the stack structure 110 . The charge storage structure 132 between the channel structure 234 and the stop layer 102 is removed. From the perspective of cross-section Figure 3B, the charge storage structure 132 may laterally surround the channel structure 234. The materials of the charge storage structure 132, the channel structure 234, and the dielectric pillar 236 are the same as the materials of the charge storage structure 132, the channel layer 134, and the dielectric material 136 respectively, and have been described in detail in the above paragraphs, and will not be described again here.

請參照圖4A與圖4B,當該三維記憶體元件為第二類型的三維反及式(NAND)快閃記憶體,垂直通道結構130C可包括電荷儲存結構132以及通道柱334。如圖4A所示,通道柱334可貫穿頂蓋層116、堆疊結構110以及停止層102,並部分延伸至緩衝層100中。電荷儲存結構132可配置在通道柱334與頂蓋層116之間、通道柱334與堆疊結構110之間以及通道柱334與停止層102之間。從剖面圖4B的角度來看,電荷儲存結構132可橫向環繞通道柱334。通道柱334與停止層102之間的電荷儲存結構132則是被移除。通道柱334直接接觸停止層102。電荷儲存結構132與通道柱334的材料分別與電荷儲存結構132與通道層134的材料相同,且已在上述段落詳述過,於此便不再贅述。Referring to FIGS. 4A and 4B , when the three-dimensional memory device is a second type of three-dimensional NAND flash memory, the vertical channel structure 130C may include a charge storage structure 132 and a channel column 334 . As shown in FIG. 4A , the channel pillars 334 can penetrate the cap layer 116 , the stack structure 110 and the stop layer 102 , and partially extend into the buffer layer 100 . The charge storage structure 132 may be disposed between the channel pillar 334 and the capping layer 116 , between the channel pillar 334 and the stacked structure 110 , and between the channel pillar 334 and the stop layer 102 . From the perspective of cross-section FIG. 4B , the charge storage structure 132 may laterally surround the channel pillar 334 . The charge storage structure 132 between the channel pillar 334 and the stop layer 102 is removed. Channel post 334 directly contacts stop layer 102 . The materials of the charge storage structure 132 and the channel pillar 334 are the same as the materials of the charge storage structure 132 and the channel layer 134 respectively, and have been described in detail in the above paragraphs, and will not be described again here.

圖5是依照本發明一實施例的一種三維記憶體元件的平面示意圖。圖6A、圖7A、圖8A、圖9A、圖10A、圖11A、圖12A以及圖13A是沿著圖5的A-A切線的製造流程的剖面示意圖。圖6B、圖7B、圖8B、圖9B、圖10B、圖11B、圖12B以及圖13B是沿著圖5的B-B切線的製造流程的剖面示意圖。圖14是依照本發明另一實施例的一種三維記憶體元件的平面示意圖。圖15是依照本發明替代實施例的一種三維記憶體元件的平面示意圖。FIG. 5 is a schematic plan view of a three-dimensional memory device according to an embodiment of the present invention. 6A, 7A, 8A, 9A, 10A, 11A, 12A, and 13A are schematic cross-sectional views of the manufacturing process along the line A-A in FIG. 5 . 6B, 7B, 8B, 9B, 10B, 11B, 12B, and 13B are schematic cross-sectional views of the manufacturing process along the line B-B in FIG. 5 . FIG. 14 is a schematic plan view of a three-dimensional memory device according to another embodiment of the present invention. Figure 15 is a schematic plan view of a three-dimensional memory device according to an alternative embodiment of the present invention.

在形成圖1的垂直通道結構130之後,可進行閘極替換製程,以將堆疊結構110中的犧牲層114替換成導體層154,如圖5至圖14所示。After the vertical channel structure 130 of FIG. 1 is formed, a gate replacement process may be performed to replace the sacrificial layer 114 in the stacked structure 110 with the conductor layer 154, as shown in FIGS. 5 to 14 .

首先,請參照圖5,緩衝層100可包括第一區R1、第二區R2以及第三區R3。第二區R2可環繞第一區R1,且第三區R3可配置在第一區R1與第二區R2之間。在一實施例中,第一區R1可以是陣列區,第二區R2可以是隔離環區,而第三區R3可以是階梯區。為了圖面清楚起見,後續剖面圖6A至圖13A僅繪示出第一區R1中的A-A切線,後續剖面圖6B至圖13B僅繪示出第二區R2中的B-B切線,而省略了垂直通道結構130。First, please refer to FIG. 5 . The buffer layer 100 may include a first region R1 , a second region R2 and a third region R3 . The second region R2 may surround the first region R1, and the third region R3 may be disposed between the first region R1 and the second region R2. In an embodiment, the first region R1 may be an array region, the second region R2 may be an isolation ring region, and the third region R3 may be a step region. For the sake of clarity, the subsequent cross-sectional figures 6A to 13A only show the A-A tangent line in the first area R1, and the subsequent cross-sectional figures 6B to 13B only show the B-B tangent line in the second area R2, and omit the Vertical channel structure 130.

如圖6A與圖6B所示,在形成垂直通道結構130之後,可在第一區R1的堆疊結構100中形成第一開口10,且在第二區R2的堆疊結構100中形成第二開口20。第一開口10與第二開口20的形成方法包括:在頂蓋層116上形成罩幕圖案118;以罩幕圖案118為罩幕,進行電漿蝕刻製程以移除部分頂蓋層116與部分堆疊結構110,進而暴露出停止層102的部分表面。在一實施例中,第二開口20的寬度W2大於第一開口10的寬度W1。第二開口20的寬度W2與第一開口10的寬度W1的比大於或等於2。舉例來說,第一開口10的寬度W1約為100 nm,而第二開口20的寬度W2約為300 nm。As shown in FIGS. 6A and 6B , after the vertical channel structure 130 is formed, the first opening 10 may be formed in the stacked structure 100 in the first region R1 , and the second opening 20 may be formed in the stacked structure 100 in the second region R2 . The method of forming the first opening 10 and the second opening 20 includes: forming a mask pattern 118 on the top cover layer 116; using the mask pattern 118 as a mask, performing a plasma etching process to remove part of the top cover layer 116 and part of the cover layer 116. The stacked structure 110 exposes a portion of the surface of the stop layer 102 . In one embodiment, the width W2 of the second opening 20 is greater than the width W1 of the first opening 10 . The ratio of the width W2 of the second opening 20 to the width W1 of the first opening 10 is greater than or equal to 2. For example, the width W1 of the first opening 10 is approximately 100 nm, and the width W2 of the second opening 20 is approximately 300 nm.

詳細地說,如圖5所示,第二開口20可包括沿著X方向延伸的兩個第一溝渠22(可稱為第一狹縫)與沿著Y方向延伸的兩個第二溝渠24(可稱為第二狹縫)。第一溝渠22與第二溝渠24彼此分隔而不相連,從而形成未封閉的狹縫環結構。也就是說,相鄰第一溝渠22與第二溝渠24之間具有斷口23。值得注意的是,在形成第一開口10與第二開口20之後,停止層102仍可在陣列區R1之間連續延伸。具體來說,停止層102位於堆疊結構110的下方,第一開口10與第二開口20僅暴露出停止層102的部分表面,而未延伸至緩衝層100中。換言之,停止層102尚未被第一開口10以及/或第二開口20截斷,因此,停止層102可在後續高深寬比的蝕刻製程中接地至矽基板,以避免電弧效應。另一方面,第一開口10可包括沿著X方向延伸的多個第三溝渠12(可稱為第三狹縫),以將多個垂直通道結構130分隔成沿著Y方向排列的多個記憶胞區MR。Specifically, as shown in FIG. 5 , the second opening 20 may include two first trenches 22 (which may be referred to as first slits) extending along the X direction and two second trenches 24 extending along the Y direction. (Can be called the second slit). The first trench 22 and the second trench 24 are separated from each other and not connected, thereby forming an unclosed slit ring structure. That is to say, there is a break 23 between the adjacent first trench 22 and the second trench 24 . It is worth noting that after the first opening 10 and the second opening 20 are formed, the stop layer 102 can still extend continuously between the array regions R1. Specifically, the stop layer 102 is located below the stacked structure 110 , and the first opening 10 and the second opening 20 only expose part of the surface of the stop layer 102 and do not extend into the buffer layer 100 . In other words, the stop layer 102 has not been cut off by the first opening 10 and/or the second opening 20 . Therefore, the stop layer 102 can be grounded to the silicon substrate in the subsequent high aspect ratio etching process to avoid arc effects. On the other hand, the first opening 10 may include a plurality of third trenches 12 (which may be referred to as third slits) extending along the X direction to separate the plurality of vertical channel structures 130 into a plurality of vertical channel structures 130 arranged along the Y direction. Memory cell area MR.

雖然圖5所繪示的第一溝渠22以及第二溝渠24為連續溝渠結構或是連續狹縫結構,但本發明不以此為限。在其他實施例中,第一溝渠22以及第二溝渠24亦可以是不連續溝渠結構或不是連續狹縫結構,如圖15所示。Although the first trench 22 and the second trench 24 shown in FIG. 5 are continuous trench structures or continuous slit structures, the present invention is not limited thereto. In other embodiments, the first trench 22 and the second trench 24 may also be a discontinuous trench structure or not a continuous slit structure, as shown in FIG. 15 .

接著,請參照圖7A與圖7B,形成介電材料120,以填入第一開口10與第二開口20。具體來說,介電材料120包括第一材料120a與第二材料120b。第一材料120a共形覆蓋第一開口10的表面且延伸覆蓋頂蓋層116的頂面,且第二材料120b共形覆蓋第一材料120a,如圖7A所示。第一材料120a亦共形覆蓋第二開口20的表面且延伸覆蓋頂蓋層116的頂面,且第二材料120b共形覆蓋第一材料120a,如圖7B所示。在一實施例中,第一材料120a與犧牲層114的材料相同,而第一材料120a與第二材料120b不同。舉例來說,第一材料120a與犧牲層114的材料可以是氮化矽,而第二材料120b可以是氧化矽。在本實施例中,介電材料120是以具有高側壁階梯覆蓋的沉積方法來形成,例如化學氣相沉積法(CVD)、原子層沉積法(ALD)或其組合。Next, referring to FIGS. 7A and 7B , a dielectric material 120 is formed to fill the first opening 10 and the second opening 20 . Specifically, the dielectric material 120 includes a first material 120a and a second material 120b. The first material 120a conformally covers the surface of the first opening 10 and extends to cover the top surface of the top cover layer 116, and the second material 120b conformally covers the first material 120a, as shown in FIG. 7A. The first material 120a also conformally covers the surface of the second opening 20 and extends to cover the top surface of the top cover layer 116, and the second material 120b conformally covers the first material 120a, as shown in FIG. 7B. In one embodiment, the first material 120a and the sacrificial layer 114 are made of the same material, while the first material 120a and the second material 120b are different. For example, the first material 120a and the sacrificial layer 114 may be made of silicon nitride, and the second material 120b may be silicon oxide. In this embodiment, the dielectric material 120 is formed by a deposition method with high sidewall step coverage, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), or a combination thereof.

值得注意的是,在本實施例中,第一開口10的寬度W1小於第二開口20的寬度W2。因此,在形成介電材料120之後,介電材料120填滿第一開口10,而未填滿第二開口20。在此情況下,第二開口20上的介電材料120形成了凹部開口25。It is worth noting that in this embodiment, the width W1 of the first opening 10 is smaller than the width W2 of the second opening 20 . Therefore, after the dielectric material 120 is formed, the dielectric material 120 fills the first opening 10 but does not fill the second opening 20 . In this case, the dielectric material 120 on the second opening 20 forms a recessed opening 25 .

請參照圖7A至圖8A與圖7A至圖8B,進行第一蝕刻製程,移除頂蓋層116(或是堆疊結構110)的頂面上與第二開口20的底面20bt上的部分介電材料120,以在第一開口10中形成第一介電層140並在第二開口20的側壁上形成第二介電層150(可稱為絕緣層)。具體來說,第一介電層140可包括第一材料層140a與第二材料層140b。第二材料層140b可貫穿頂蓋層116與堆疊結構110,而第一材料層140a包覆第二材料層140b且與堆疊結構110以及停止層102接觸,如圖8A所示。另外,第二介電層150可包括第一材料層150a與第二材料層150b。第一材料層150a覆蓋頂蓋層116的側壁與堆疊結構110的側壁,而第二材料層150b則是配置在第一材料層150a上,如圖8B所示。值得注意的是,在本實施例中,在第一介電層140密封第一開口10的同時,第二介電層150在第二開口20的底面20bt處暴露出部分停止層102。在一實施例中,第一蝕刻製程包括全面性蝕刻製程。在替代實施例中,第一蝕刻製程包括乾式蝕刻製程、濕式蝕刻製程、等向性蝕刻製程、非等向性蝕刻製程或其組合。Please refer to FIGS. 7A to 8A and 7A to 8B to perform a first etching process to remove part of the dielectric on the top surface of the top cover layer 116 (or the stacked structure 110 ) and the bottom surface 20bt of the second opening 20 Material 120 to form a first dielectric layer 140 in the first opening 10 and a second dielectric layer 150 (which may be called an insulating layer) on the sidewall of the second opening 20 . Specifically, the first dielectric layer 140 may include a first material layer 140a and a second material layer 140b. The second material layer 140b can penetrate the top cover layer 116 and the stacked structure 110, and the first material layer 140a covers the second material layer 140b and is in contact with the stacked structure 110 and the stop layer 102, as shown in FIG. 8A. In addition, the second dielectric layer 150 may include a first material layer 150a and a second material layer 150b. The first material layer 150a covers the sidewalls of the top cover layer 116 and the sidewalls of the stacked structure 110, while the second material layer 150b is disposed on the first material layer 150a, as shown in FIG. 8B. It is worth noting that in this embodiment, while the first dielectric layer 140 seals the first opening 10 , the second dielectric layer 150 exposes part of the stop layer 102 at the bottom surface 20bt of the second opening 20 . In one embodiment, the first etching process includes a comprehensive etching process. In alternative embodiments, the first etching process includes a dry etching process, a wet etching process, an isotropic etching process, an anisotropic etching process, or a combination thereof.

請參照圖8A至圖9A與圖8B至圖9B,以第一介電層140與第二介電層150為罩幕進行第二蝕刻製程,以移除外露於第二開口20的底面20bt處的停止層102,進而形成空腔30。如圖9B所示,空腔30橫向延伸至堆疊結構110的下方,以使空腔30的寬度W3大於第二開口20的寬度W2。在一實施例中,第二蝕刻製程包括:乾式蝕刻製程、濕式蝕刻製程、化學乾式蝕刻(CDE)製程或是氧化製程與濕式清洗製程的組合。舉例來說,可以第一材料層140a、150a(例如氮化矽)當作蝕刻停止層進行HNA系統的濕式蝕刻製程(其包括HNO 3/HF/H 2O 2的蝕刻劑),移除第二材料層140b、150b(例如氧化矽)以及部分停止層102(例如多晶矽)。另一方面,亦可以第二材料層140b、150b(例如氧化矽)或是第一材料層140a、150a(例如氮化矽)當作蝕刻停止層來進行鹼金屬氫氧化物(Alkali Hydroxide)系統的濕式蝕刻製程(其包括NaOH或是KOH的蝕刻劑),移除部分停止層102(例如多晶矽)。在此實施例中,第二材料層140b、150b仍殘留在第一開口10與第二開口20中,如圖9A與圖9B所示。此外,亦可使用高選擇性的化學乾式蝕刻(CDE)製程來移除部分停止層102。 Referring to FIGS. 8A to 9A and 8B to 9B , the first dielectric layer 140 and the second dielectric layer 150 are used as masks to perform a second etching process to remove the bottom surface 20bt of the second opening 20 . stop layer 102, thereby forming a cavity 30. As shown in FIG. 9B , the cavity 30 extends laterally below the stacked structure 110 so that the width W3 of the cavity 30 is greater than the width W2 of the second opening 20 . In one embodiment, the second etching process includes: a dry etching process, a wet etching process, a chemical dry etching (CDE) process, or a combination of an oxidation process and a wet cleaning process. For example, the first material layers 140a and 150a (such as silicon nitride) can be used as the etching stop layer to perform a wet etching process of the HNA system (which includes an etchant of HNO 3 /HF/H 2 O 2 ), and remove the The second material layers 140b, 150b (eg, silicon oxide) and the partial stop layer 102 (eg, polycrystalline silicon). On the other hand, the second material layer 140b, 150b (such as silicon oxide) or the first material layer 140a, 150a (such as silicon nitride) can also be used as an etching stop layer to perform an alkali metal hydroxide (Alkali Hydroxide) system. A wet etching process (which includes NaOH or KOH etchant) removes part of the stop layer 102 (eg, polycrystalline silicon). In this embodiment, the second material layers 140b and 150b still remain in the first opening 10 and the second opening 20, as shown in FIGS. 9A and 9B. In addition, a highly selective chemical dry etching (CDE) process may also be used to remove part of the stop layer 102 .

值得注意的是,在進行第二蝕刻製程之後,空腔30可連接兩個第一溝渠22與兩個第二溝渠24,以形成環狀開口(或封閉環)40,如上視圖9C所示。換言之,空腔30可從第一溝渠22以及/或第二溝渠24的端點延伸至斷口23(如圖5所示)處,以連接第一溝渠22與第二溝渠24。在此情況下,停止層102會被環狀開口40截斷,以使第一區R1中的停止層102與外部的停止層102電性隔離。在本實施例中,由於在形成第一開口10與第二開口20之後,沒有高深寬比的蝕刻製程需要將停止層102進行接地來避免電弧效應,因此,停止層102可在此步驟中被截斷或分割,以避免相鄰區域之間的相鄰停止層102彼此電性連接,進而影響記憶體元件的操作。此外,由於空腔30是從第一溝渠22以及/或第二溝渠24的端點延伸出去,因此,第一溝渠22與第二溝渠24的連接點26可具有弧形輪廓,如圖9C所示。It is worth noting that after the second etching process is performed, the cavity 30 can connect the two first trenches 22 and the two second trenches 24 to form an annular opening (or closed ring) 40, as shown in the upper view 9C. In other words, the cavity 30 may extend from the end points of the first trench 22 and/or the second trench 24 to the fracture 23 (as shown in FIG. 5 ) to connect the first trench 22 and the second trench 24 . In this case, the stop layer 102 will be cut off by the annular opening 40 so that the stop layer 102 in the first region R1 is electrically isolated from the external stop layer 102 . In this embodiment, after the first opening 10 and the second opening 20 are formed, there is no high aspect ratio etching process that requires the stop layer 102 to be grounded to avoid the arc effect. Therefore, the stop layer 102 can be removed in this step. Truncated or divided to prevent adjacent stop layers 102 between adjacent areas from being electrically connected to each other, thereby affecting the operation of the memory device. In addition, since the cavity 30 extends from the end points of the first trench 22 and/or the second trench 24, the connection point 26 of the first trench 22 and the second trench 24 may have an arcuate outline, as shown in FIG. 9C Show.

接著,形成填充材料160。如圖10A所示,填充材料160覆蓋頂蓋層116與第一介電層140上。另一方面,如圖10B所示,填充材料160從頂蓋層116的頂面上延伸至第二開口20中,且填入空腔30中。在一實施例中,填充材料160包括氧化矽、氮化矽、氮氧化矽等類似的介電材料,其用以替代被掏空的停止層102,進而支撐整個堆疊結構110。Next, filling material 160 is formed. As shown in FIG. 10A , the filling material 160 covers the capping layer 116 and the first dielectric layer 140 . On the other hand, as shown in FIG. 10B , the filling material 160 extends from the top surface of the top cover layer 116 into the second opening 20 and fills the cavity 30 . In one embodiment, the filling material 160 includes silicon oxide, silicon nitride, silicon oxynitride and other similar dielectric materials, which are used to replace the hollowed stop layer 102 and thereby support the entire stack structure 110 .

請參照圖10A至圖11A與圖10B至圖11B,進行濕式蝕刻製程,移除部分填充材料160以及第二材料層140b、150b。在此情況下,如圖11A所示,第一材料層140a仍覆蓋第一開口10的側壁與底面,且第一材料層140a的外表面暴露出來。另一方面,如圖11B所示,第二開口20向下延伸至填充材料160a中,且第一材料層150a的外表面與填充材料160a的外表面暴露出來。Referring to FIGS. 10A to 11A and 10B to 11B, a wet etching process is performed to remove part of the filling material 160 and the second material layers 140b and 150b. In this case, as shown in FIG. 11A , the first material layer 140a still covers the sidewalls and bottom surface of the first opening 10, and the outer surface of the first material layer 140a is exposed. On the other hand, as shown in FIG. 11B , the second opening 20 extends downward into the filling material 160 a, and the outer surfaces of the first material layer 150 a and the filling material 160 a are exposed.

請參照圖11A至圖12A與圖11B至圖12B,通過第一開口10與第二開口20進行蝕刻製程,移除第一材料層140a、150a以及犧牲層114,以在介電層112之間形成多個空隙(未繪示)。在一實施例中,所述蝕刻製程可以是濕式蝕刻製程。舉例來說,當第一材料層140a、150a以及犧牲層114為氮化矽時,所述蝕刻製程可以是使用含有磷酸的蝕刻液,並將所述蝕刻液倒入第一開口10與第二開口20中,從而移除第一材料層140a、150a以及犧牲層114。由於所述蝕刻液對於第一材料層140a、150a以及犧牲層114具有高蝕刻選擇性,因此,第一材料層140a、150a以及犧牲層114可被完全移除,而介電層112、停止層102以及頂蓋層116未被移除或僅少量移除。Referring to FIGS. 11A to 12A and 11B to 12B , an etching process is performed through the first opening 10 and the second opening 20 to remove the first material layers 140 a and 150 a and the sacrificial layer 114 to form a gap between the dielectric layer 112 Multiple voids (not shown) are formed. In one embodiment, the etching process may be a wet etching process. For example, when the first material layers 140a, 150a and the sacrificial layer 114 are silicon nitride, the etching process may be to use an etching solution containing phosphoric acid, and pour the etching solution into the first opening 10 and the second opening. in the opening 20, thereby removing the first material layers 140a, 150a and the sacrificial layer 114. Since the etching liquid has high etching selectivity for the first material layers 140a, 150a and the sacrificial layer 114, the first material layers 140a, 150a and the sacrificial layer 114 can be completely removed, while the dielectric layer 112, the stop layer 112 and the sacrificial layer 114 can be completely removed. 102 and the capping layer 116 are not removed or only slightly removed.

然後,在上述空隙中形成導體層154,由此完成了本發明的三維記憶體元件。在一實施例中,導體層154的材料例如為多晶矽、非晶矽、鎢(W)、鈷(Co)、鋁(Al)、矽化鎢(WSi x)或矽化鈷(CoSi x)。此外,在形成導體層154之前,可在介電層112與導體層154之間依序形成緩衝層以及阻障層。緩衝層的材料例如為介電常數大於7的高介電常數的材料,例如氧化鋁(Al 2O 3)、氧化鉿(HfO 2)、氧化鑭(La 2O 5)、過渡金屬氧化物、鑭系元素氧化物或其組合。阻障層的材料例如為鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)或其組合。 Then, the conductor layer 154 is formed in the above-mentioned gap, thereby completing the three-dimensional memory element of the present invention. In one embodiment, the material of the conductor layer 154 is, for example, polycrystalline silicon, amorphous silicon, tungsten (W), cobalt (Co), aluminum (Al), tungsten silicide ( WSix ) or cobalt silicide ( CoSix ). In addition, before forming the conductor layer 154, a buffer layer and a barrier layer may be formed sequentially between the dielectric layer 112 and the conductor layer 154. The material of the buffer layer is, for example, a high dielectric constant material with a dielectric constant greater than 7, such as aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ), lanthanum oxide (La 2 O 5 ), transition metal oxide, Lanthanide oxides or combinations thereof. The material of the barrier layer is, for example, titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN) or a combination thereof.

在本實施例中,三維記憶體元件具有多個記憶胞(未繪示)。此記憶胞的數量可隨著堆疊結構210中的導體層154的數量來調整,本發明不以此為限。In this embodiment, the three-dimensional memory device has a plurality of memory cells (not shown). The number of memory cells can be adjusted with the number of conductor layers 154 in the stacked structure 210, and the present invention is not limited thereto.

請參照圖13A與圖13B,在進行閘極替換製程之後,可在第一開口10與第二開口20(或是環狀開口40)中形成隔離材料170。在一實施例中,隔離材料170可與填充材料160a相同,例如是氧化矽。在此情況下,隔離材料170可與填充材料160a接觸以形成隔離環結構180,如圖14與圖13B所示。Referring to FIGS. 13A and 13B , after performing the gate replacement process, an isolation material 170 can be formed in the first opening 10 and the second opening 20 (or the annular opening 40 ). In one embodiment, the isolation material 170 may be the same as the filling material 160a, such as silicon oxide. In this case, the isolation material 170 may contact the filling material 160a to form an isolation ring structure 180, as shown in FIGS. 14 and 13B.

在替代實施例中,隔離環結構180的形成方法亦可包括:形成襯層(例如氧化矽)以共形覆蓋第一開口10與第二開口20(或是環狀開口40)的表面;移除第一開口10與第二開口20的底面處的部分襯層;以及在第一開口10與第二開口20中形成金屬層(例如W)以使金屬層接觸停止層102。In an alternative embodiment, the formation method of the isolation ring structure 180 may also include: forming a liner (such as silicon oxide) to conformally cover the surfaces of the first opening 10 and the second opening 20 (or the annular opening 40 ); Remove part of the lining layer at the bottom surfaces of the first opening 10 and the second opening 20 ; and form a metal layer (eg, W) in the first opening 10 and the second opening 20 so that the metal layer contacts the stop layer 102 .

綜上所述,本實施例在陣列區與隔離環區中同時形成第一開口與第二開口,以通過第一開口與第二開口來進行閘極替換製程。第二開口可包括未封閉的狹縫環結構,以避免放電層被第二開口截斷,進而防止電弧效應的產生。另外,本實施例可進行後續蝕刻製程,移除外露於第二開口的底面處的放電層,進而形成空腔。此空腔可側向貫穿未封閉的狹縫環結構,以形成環狀開口,進而電性分隔或物理分隔陣列區的放電層與外部區的放電層。在此情況下,本實施例可在切割放電層時,同時避免了電弧效應的產生,進而改善三維記憶體元件的可靠度。To sum up, in this embodiment, the first opening and the second opening are simultaneously formed in the array area and the isolation ring area, so that the gate replacement process can be performed through the first opening and the second opening. The second opening may include an unclosed slit ring structure to prevent the discharge layer from being cut off by the second opening, thereby preventing arcing effects. In addition, this embodiment can perform a subsequent etching process to remove the discharge layer exposed at the bottom surface of the second opening, thereby forming a cavity. The cavity can laterally penetrate the unsealed slit ring structure to form a ring-shaped opening, thereby electrically or physically separating the discharge layer in the array region and the discharge layer in the outer region. In this case, this embodiment can avoid the arc effect when cutting the discharge layer, thereby improving the reliability of the three-dimensional memory element.

10:第一開口 12:第三溝渠 20:第二開口 20bt:底面 22:第一溝渠 23:斷口 24:第二溝渠 25:凹部開口 26:連接點 30:空腔 40:環狀開口 100:緩衝層 102:停止層 110、210:堆疊結構 112:介電層 114:犧牲層 115:開口 116:蓋層 120:介電材料 120a:第一材料 120b:第二材料 130、130A、130B、130C:垂直通道結構 132:電荷儲存結構 133:第一源極/汲極柱 134:通道層 135:第二源極/汲極柱 136:介電材料 140:第一介電層 140a、150a:第一材料層 140b、150b:第二材料層 150:第二介電層 154:導體層 160、160a:填充材料 170:隔離材料 180:隔離環結構 234:通道結構 234A:襯層 234B:插塞 236:介電柱 334:通道柱 MR:記憶胞區 R1:第一區 R2:第二區 R3:第三區 W1、W2、W3:寬度 X、Y:方向 10:First opening 12:Third ditch 20:Second opening 20bt: Bottom 22:First ditch 23: Fracture 24:Second ditch 25: concave opening 26:Connection point 30:Cavity 40: Annular opening 100:Buffer layer 102: Stop layer 110, 210: stacked structure 112: Dielectric layer 114:Sacrificial layer 115:Open your mouth 116:Cover 120:Dielectric materials 120a: First material 120b: Second material 130, 130A, 130B, 130C: vertical channel structure 132:Charge storage structure 133: First source/drain column 134: Channel layer 135: Second source/drain column 136:Dielectric materials 140: First dielectric layer 140a, 150a: first material layer 140b, 150b: second material layer 150: Second dielectric layer 154: Conductor layer 160, 160a: filling material 170:Isolation materials 180:Isolation ring structure 234:Channel structure 234A: Lining 234B:Plug 236:Dielectric pillar 334:Channel column MR: memory cell area R1: The first area R2:Second area R3: The third area W1, W2, W3: Width X, Y: direction

圖1是依照本發明一實施例的一種三維記憶體元件的剖面示意圖。 圖2A、圖3A以及圖4A繪示出依照本發明各種實施例的垂直通道結構的剖面示意圖。 圖2B、圖3B以及圖4B分別是圖2A、圖3A以及圖4A的平面示意圖。 圖5是依照本發明一實施例的一種三維記憶體元件的平面示意圖。 圖6A、圖7A、圖8A、圖9A、圖10A、圖11A、圖12A以及圖13A是沿著圖5的A-A切線的製造流程的剖面示意圖。 圖6B、圖7B、圖8B、圖9B、圖10B、圖11B、圖12B以及圖13B是沿著圖5的B-B切線的製造流程的剖面示意圖。 圖9C是圖9A與圖9B的結構的平面示意圖。 圖14是依照本發明另一實施例的一種三維記憶體元件的平面示意圖。 圖15是依照本發明替代實施例的一種三維記憶體元件的平面示意圖。 FIG. 1 is a schematic cross-sectional view of a three-dimensional memory device according to an embodiment of the present invention. 2A, 3A and 4A are schematic cross-sectional views of vertical channel structures according to various embodiments of the present invention. Figures 2B, 3B and 4B are schematic plan views of Figures 2A, 3A and 4A respectively. FIG. 5 is a schematic plan view of a three-dimensional memory device according to an embodiment of the present invention. 6A, 7A, 8A, 9A, 10A, 11A, 12A, and 13A are schematic cross-sectional views of the manufacturing process along the line A-A in FIG. 5 . 6B, 7B, 8B, 9B, 10B, 11B, 12B, and 13B are schematic cross-sectional views of the manufacturing process along the line B-B in FIG. 5 . Figure 9C is a schematic plan view of the structure of Figures 9A and 9B. FIG. 14 is a schematic plan view of a three-dimensional memory device according to another embodiment of the present invention. Figure 15 is a schematic plan view of a three-dimensional memory device according to an alternative embodiment of the present invention.

10:第一開口 10:First opening

12:第三溝渠 12:Third ditch

20:第二開口 20:Second opening

22:第一溝渠 22:First ditch

23:斷口 23: Fracture

24:第二溝渠 24:Second ditch

100:緩衝層 100:Buffer layer

130:垂直通道結構 130: Vertical channel structure

MR:記憶胞區 MR: memory cell area

R1:第一區 R1: The first area

R2:第二區 R2:Second area

R3:第三區 R3: The third area

X、Y:方向 X, Y: direction

Claims (10)

一種三維記憶體元件的形成方法,包括: 提供具有第一區與第二區的緩衝層,其中所述第二區環繞所述第一區; 在所述緩衝層上形成停止層與包括交替堆疊的多個介電層與多個犧牲層的堆疊結構,其中所述堆疊結構包括至少一垂直通道結構,以貫穿所述第一區中的所述堆疊結構; 在所述第一區的所述堆疊結構中形成第一開口,且在所述第二區的所述堆疊結構中形成第二開口,其中所述第二開口的寬度大於所述第一開口的寬度; 形成介電材料,以填入所述第一開口與所述第二開口; 進行第一蝕刻製程,至少移除所述第二開口的底面上的部分所述介電材料,以暴露出所述停止層的一部分,進而在所述第一開口中形成第一介電層並在所述第二開口的側壁上形成第二介電層;以及 進行第二蝕刻製程,以移除外露於所述第二開口的所述底面處的所述停止層,進而形成空腔,其中所述空腔側向延伸至所述堆疊結構的下方,使得所述空腔連接所述第二開口以形成封閉環。 A method for forming a three-dimensional memory element, including: providing a buffer layer having a first region and a second region, wherein the second region surrounds the first region; A stop layer and a stacked structure including a plurality of alternately stacked dielectric layers and a plurality of sacrificial layers are formed on the buffer layer, wherein the stacked structure includes at least one vertical channel structure to penetrate all the elements in the first region. The stacking structure; A first opening is formed in the stacked structure of the first region, and a second opening is formed in the stacked structure of the second region, wherein the width of the second opening is greater than the width of the first opening. width; forming a dielectric material to fill the first opening and the second opening; Perform a first etching process to remove at least a portion of the dielectric material on the bottom surface of the second opening to expose a portion of the stop layer, thereby forming a first dielectric layer in the first opening and forming a second dielectric layer on the sidewall of the second opening; and A second etching process is performed to remove the stop layer exposed at the bottom surface of the second opening, thereby forming a cavity, wherein the cavity extends laterally below the stacked structure such that the The cavity connects the second opening to form a closed ring. 如請求項1所述的三維記憶體元件的形成方法,其中所述第一區包括陣列區,所述第二區包括隔離環區。The method of forming a three-dimensional memory element according to claim 1, wherein the first region includes an array region, and the second region includes an isolation ring region. 如請求項2所述的三維記憶體元件的形成方法,其中所述第二開口包括沿著X方向延伸的兩個第一溝渠與沿著Y方向延伸的兩個第二溝渠,且所述兩個第一溝渠與所述兩個第二溝渠彼此分隔。The method of forming a three-dimensional memory element according to claim 2, wherein the second opening includes two first trenches extending along the X direction and two second trenches extending along the Y direction, and the two first trenches extend along the Y direction. The first trench and the two second trenches are separated from each other. 如請求項3所述的三維記憶體元件的形成方法,其中在進行所述第二蝕刻製程之後,所述空腔連接所述兩個第一溝渠與所述兩個第二溝渠,以形成所述封閉環。The method of forming a three-dimensional memory element according to claim 3, wherein after performing the second etching process, the cavity connects the two first trenches and the two second trenches to form the Said closed loop. 如請求項1所述的三維記憶體元件的形成方法,其中在形成所述封閉環之後,所述形成方法更包括:形成填充材料,以填入所述空腔中。The method of forming a three-dimensional memory element according to claim 1, wherein after forming the closed ring, the forming method further includes: forming a filling material to fill the cavity. 如請求項1所述的三維記憶體元件的形成方法,其中所述停止層的材料包括導體材料。The method of forming a three-dimensional memory element according to claim 1, wherein the material of the stop layer includes a conductor material. 一種三維記憶體元件的形成方法,包括: 在緩衝層上形成放電層與堆疊結構,其中所述堆疊結構包括交替堆疊的多個介電層與多個犧牲層; 在所述堆疊結構中形成多個垂直通道結構; 在所述堆疊結構中形成開口,其中所述開口包括沿著X方向延伸的兩個第一狹縫與沿著Y方向延伸的兩個第二狹縫,且所述兩個第一狹縫與所述兩個第二狹縫彼此分隔; 在所述開口的側壁上形成絕緣層,其中所述絕緣層暴露出所述開口的底面處的所述放電層; 移除外露於所述開口的所述底面處的所述放電層,以形成空腔,其中所述空腔延伸至所述堆疊結構的下方,以連接所述兩個第一狹縫與所述兩個第二狹縫,進而形成環狀開口; 進行閘極替換製程,以將所述堆疊結構中的所述多個犧牲層替換為多個導體層,其中所述多個導體層分別環繞所述多個垂直通道結構以形成多個記憶胞;以及 將隔離材料填入所述環狀開口中,以形成隔離環結構。 A method for forming a three-dimensional memory element, including: Forming a discharge layer and a stacked structure on the buffer layer, wherein the stacked structure includes a plurality of alternately stacked dielectric layers and a plurality of sacrificial layers; forming a plurality of vertical channel structures in the stacked structure; An opening is formed in the stacked structure, wherein the opening includes two first slits extending along the X direction and two second slits extending along the Y direction, and the two first slits and The two second slits are separated from each other; forming an insulating layer on the sidewall of the opening, wherein the insulating layer exposes the discharge layer at the bottom surface of the opening; The discharge layer exposed at the bottom surface of the opening is removed to form a cavity, wherein the cavity extends below the stacked structure to connect the two first slits and the two second slits, thus forming an annular opening; Performing a gate replacement process to replace the plurality of sacrificial layers in the stacked structure with a plurality of conductor layers, wherein the plurality of conductor layers respectively surround the plurality of vertical channel structures to form a plurality of memory cells; as well as Isolation material is filled into the annular opening to form an isolation ring structure. 如請求項7所述的三維記憶體元件的形成方法,其中每一個第一狹縫包括連續狹縫結構或是不連續狹縫結構。The method of forming a three-dimensional memory element as claimed in claim 7, wherein each first slit includes a continuous slit structure or a discontinuous slit structure. 如請求項7所述的三維記憶體元件的形成方法,其中每一個第二狹縫包括連續狹縫結構或是不連續狹縫結構。The method of forming a three-dimensional memory element as claimed in claim 7, wherein each second slit includes a continuous slit structure or a discontinuous slit structure. 如請求項7所述的三維記憶體元件的形成方法,其中所述放電層的材料包括導體材料,所述導體材料包含包括有多晶矽、III-V族化合物半導體或其組合的半導體材料。The method of forming a three-dimensional memory element according to claim 7, wherein the material of the discharge layer includes a conductor material, and the conductor material includes a semiconductor material including polycrystalline silicon, a III-V compound semiconductor, or a combination thereof.
TW110135301A 2021-09-23 2021-09-23 Method of forming three-dimensional memory device TWI813024B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW110135301A TWI813024B (en) 2021-09-23 2021-09-23 Method of forming three-dimensional memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW110135301A TWI813024B (en) 2021-09-23 2021-09-23 Method of forming three-dimensional memory device

Publications (2)

Publication Number Publication Date
TW202315077A TW202315077A (en) 2023-04-01
TWI813024B true TWI813024B (en) 2023-08-21

Family

ID=86943038

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110135301A TWI813024B (en) 2021-09-23 2021-09-23 Method of forming three-dimensional memory device

Country Status (1)

Country Link
TW (1) TWI813024B (en)

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201700395A (en) * 2011-12-30 2017-01-01 英特爾股份有限公司 Semiconductor device and method of manufacturing the same
US20180151589A1 (en) * 2016-11-30 2018-05-31 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
TW201824540A (en) * 2016-09-26 2018-07-01 美商英特爾股份有限公司 Source/drain recess etch stop layers and bottom wide-gap cap for iii-v mosfets
US20190043830A1 (en) * 2017-08-04 2019-02-07 Sandisk Technologies Llc Three-dimensional memory device employing direct source contact and hole current detection and method of making the same
TW201924012A (en) * 2017-11-14 2019-06-16 台灣積體電路製造股份有限公司 Semiconductor device and method of fabricating the same
TW202020949A (en) * 2018-11-27 2020-06-01 台灣積體電路製造股份有限公司 Semiconductor device and method for manufacturing the same
US20200258876A1 (en) * 2019-01-08 2020-08-13 Sandisk Technologies Llc Bonded structure including a performance-optimized support chip and a stress-optimized three-dimensional memory chip and method for making the same
US20200395380A1 (en) * 2019-03-01 2020-12-17 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
TW202123413A (en) * 2019-08-30 2021-06-16 台灣積體電路製造股份有限公司 Device having bonding structure and package and method of forming bonding structure
TW202133285A (en) * 2020-02-18 2021-09-01 南亞科技股份有限公司 Semiconductor device having through silicon vias and method of manufacturing the same

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201700395A (en) * 2011-12-30 2017-01-01 英特爾股份有限公司 Semiconductor device and method of manufacturing the same
TW201824540A (en) * 2016-09-26 2018-07-01 美商英特爾股份有限公司 Source/drain recess etch stop layers and bottom wide-gap cap for iii-v mosfets
US20180151589A1 (en) * 2016-11-30 2018-05-31 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US20190043830A1 (en) * 2017-08-04 2019-02-07 Sandisk Technologies Llc Three-dimensional memory device employing direct source contact and hole current detection and method of making the same
TW201924012A (en) * 2017-11-14 2019-06-16 台灣積體電路製造股份有限公司 Semiconductor device and method of fabricating the same
TW202020949A (en) * 2018-11-27 2020-06-01 台灣積體電路製造股份有限公司 Semiconductor device and method for manufacturing the same
US20200258876A1 (en) * 2019-01-08 2020-08-13 Sandisk Technologies Llc Bonded structure including a performance-optimized support chip and a stress-optimized three-dimensional memory chip and method for making the same
US20200395380A1 (en) * 2019-03-01 2020-12-17 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
TW202123413A (en) * 2019-08-30 2021-06-16 台灣積體電路製造股份有限公司 Device having bonding structure and package and method of forming bonding structure
TW202133285A (en) * 2020-02-18 2021-09-01 南亞科技股份有限公司 Semiconductor device having through silicon vias and method of manufacturing the same

Also Published As

Publication number Publication date
TW202315077A (en) 2023-04-01

Similar Documents

Publication Publication Date Title
US9412665B2 (en) Semiconductor device and method of fabricating the same
US11751395B2 (en) Vertical semiconductor device and method for fabricating the vertical semiconductor device
KR102171265B1 (en) Patterning method using metal mask, and method for fabricating semiconductor device comprising the same patterning method
CN110061001B (en) Semiconductor element and manufacturing method thereof
US20220223601A1 (en) Semiconductor structure and method for forming the same
US20220406846A1 (en) Method of forming the semiconductor device
US10930654B2 (en) Semiconductor devices
CN109216363B (en) Memory structure and manufacturing method thereof
TWI813024B (en) Method of forming three-dimensional memory device
CN113437070B (en) Semiconductor device and method for forming the same
US20210313341A1 (en) Semiconductor device
US20230088149A1 (en) Method of forming three-dimensional memory device
TWI594372B (en) Memory device and fabricating method thereof
TWI805203B (en) Three-dimensional memory device and method of forming the same
CN216563128U (en) Dynamic random access memory
US20230240071A1 (en) Three-dimensional memory device and method of forming the same
TWI775534B (en) Three-dimensional and flash memory and method of forming the same
US11647627B2 (en) Integrated circuit device
US11825644B2 (en) Semiconductor memory device
US20230209802A1 (en) Method of fabricating semiconductor device
US20230317507A1 (en) Semiconductor structure and fabrication method thereof
US20230017264A1 (en) Semiconductor device and method of forming the same
CN116896862A (en) Semiconductor device with a semiconductor layer having a plurality of semiconductor layers
CN114038850A (en) Dynamic random access memory and manufacturing method thereof
CN117377312A (en) Semiconductor device with a semiconductor layer having a plurality of semiconductor layers