TWI813010B - Integrated circuits and method for manufacturing the same - Google Patents

Integrated circuits and method for manufacturing the same Download PDF

Info

Publication number
TWI813010B
TWI813010B TW110131916A TW110131916A TWI813010B TW I813010 B TWI813010 B TW I813010B TW 110131916 A TW110131916 A TW 110131916A TW 110131916 A TW110131916 A TW 110131916A TW I813010 B TWI813010 B TW I813010B
Authority
TW
Taiwan
Prior art keywords
backside
conductor
vertical
conductors
horizontal
Prior art date
Application number
TW110131916A
Other languages
Chinese (zh)
Other versions
TW202232614A (en
Inventor
賴韋安
彭士瑋
邱德馨
曾健庭
王中興
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202232614A publication Critical patent/TW202232614A/en
Application granted granted Critical
Publication of TWI813010B publication Critical patent/TWI813010B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

An integrated circuit includes a first-type active-region structure, a second-type active-region structure on a substrate, and a plurality of gate-conductors. The integrated circuit also includes a backside horizontal conducting line in a backside first conducting layer below the substrate, a backside vertical conducting line in a backside second conducting layer below the backside first conducting layer, and a pin-connector for a circuit cell. The pin-connector is directly connected between the backside horizontal conducting line and the backside vertical conducting line. The backside horizontal conducting line extends across a vertical boundary of the circuit cell.

Description

積體電路及其製造方法 Integrated circuits and manufacturing methods

本揭露的實施例是關於一種積體電路,且特別是關於一種積體電路中的背側導線。 Embodiments of the present disclosure relate to an integrated circuit, and in particular, to a backside conductor in an integrated circuit.

積體電路(integrated circuits,ICs)之小型化的近期趨勢導致體積更小、功耗更低的裝置,但其能以更快的速度提供更多功能。小型化過程也導致更嚴格的設計與製造規範以及可靠性挑戰。各種電子設計自動化(electronic design automation,EDA)工具產生、優化且驗證了用於積體電路的標準單元佈局設計,同時確保滿足標準單元佈局設計及製造規範。 The recent trend in the miniaturization of integrated circuits (ICs) has resulted in devices that are smaller and consume less power, but can provide more functionality at faster speeds. The miniaturization process also leads to tighter design and manufacturing specifications and reliability challenges. Various electronic design automation (EDA) tools generate, optimize and verify standard cell layout designs for integrated circuits, while ensuring that standard cell layout design and manufacturing specifications are met.

本揭露的實施例之目的在於提出一種積體電路包括第一型主動區結構、一第二型主動區結構、前側第一層導線、多個閘極導體、電路單元、背側水平導線、背側垂直導線及接腳連接器。第一型主動區結構與一第二型主動 區結構在基板上沿著第一方向延伸。前側第一層導線在基板上方的第一連接層中。多個閘極導體在第一連接層下方沿著第二方向延伸,多個閘極導體之兩相鄰者間隔一間隔距離且該間隔距離等於接觸多晶間距(contacted poly pitch,CPP)。電路單元具有沿著垂直於第一方向的第二方向延伸的第一垂直邊界與第二垂直邊界,第一垂直邊界與第二垂直邊界之每一者跨越至少一邊界隔離區,沿著第一方向之第一垂直邊界與第二垂直邊界之間的距離小於或等於接觸多晶間距(CPP)的三倍。背側水平導線在基板下方的背側第一導電層中沿著第一方向延伸,背側水平導線延伸跨越電路單元的第一垂直邊界。背側垂直導線在背側第一導電層下方的背側第二導電層中沿著第二方向延伸,背側垂直導線對齊於第一垂直邊界。接腳連接器用於電路單元。接腳連接器直接地連接於背側水平導線與背側垂直導線之間。 The purpose of the embodiments of the present disclosure is to provide an integrated circuit including a first type active area structure, a second type active area structure, a first layer of front conductors, a plurality of gate conductors, a circuit unit, a back side horizontal conductor, a back side Side vertical wires and pin connectors. Type 1 active area structure and a Type 2 active area The zone structure extends along the first direction on the substrate. The first layer of wires on the front side is in the first connection layer above the substrate. A plurality of gate conductors extend along the second direction below the first connection layer, and two adjacent gate conductors are spaced apart by a distance equal to a contact poly pitch (CPP). The circuit unit has a first vertical boundary and a second vertical boundary extending along a second direction perpendicular to the first direction. Each of the first vertical boundary and the second vertical boundary spans at least one boundary isolation area along the first The distance between the first vertical boundary and the second vertical boundary of the direction is less than or equal to three times the contact poly pitch (CPP). The backside horizontal conductive line extends along the first direction in the backside first conductive layer under the substrate, and the backside horizontal conductive line extends across the first vertical boundary of the circuit unit. The backside vertical conductive lines extend along the second direction in the backside second conductive layer below the backside first conductive layer, and the backside vertical conductors are aligned with the first vertical boundary. Pin connectors are used in circuit units. The pin connector is directly connected between the backside horizontal conductor and the backside vertical conductor.

本揭露的實施例之目的在於另提出一種積體電路包括第一型主動區結構、一第二型主動區結構、前側第一層導線、多個閘極導體、電路單元、背側水平導線、背側垂直導線及接腳連接器。第一型主動區結構與一第二型主動區結構在基板上沿著第一方向延伸。前側第一層導線在基板上方的第一連接層中。多個閘極導體在第一連接層下方沿著第二方向延伸,多個閘極導體之兩相鄰者間隔一間隔距離且該間隔距離等於接觸多晶間距(contacted poly pitch,CPP)。電路單元具有沿著垂直於第一方向的第二 方向延伸的第一垂直邊界與第二垂直邊界,第一垂直邊界與第二垂直邊界之每一者跨越至少一邊界隔離區。背側水平導線在基板下方的背側第一導電層中沿著第一方向延伸。背側垂直導線在背側第一導電層下方的背側第二導電層中沿著第二方向延伸。接腳連接器用於電路單元。接腳連接器在背側水平導線與背側垂直導線之間的重疊區域處直接地連接於背側水平導線與背側垂直導線之間。背側水平導線具有覆蓋重疊區域的第一部分且背側水平導線在重疊區域外具有第二部分,第一部分沿著第一方向的第一寬度大於第二部分沿著第一方向的第二寬度。 The purpose of the embodiments of the present disclosure is to provide an integrated circuit that includes a first-type active area structure, a second-type active area structure, a first layer of front-side conductors, a plurality of gate conductors, circuit units, and back-side horizontal conductors. Vertical wire and pin connectors on the back. The first type active area structure and a second type active area structure extend along the first direction on the substrate. The first layer of wires on the front side is in the first connection layer above the substrate. A plurality of gate conductors extend along the second direction below the first connection layer, and two adjacent gate conductors are spaced apart by a distance equal to a contact poly pitch (CPP). The circuit unit has a second direction along a direction perpendicular to the first The first vertical boundary and the second vertical boundary extend in a direction, and each of the first vertical boundary and the second vertical boundary spans at least one boundary isolation area. The backside horizontal wire extends along the first direction in the backside first conductive layer below the substrate. The backside vertical conductive lines extend along the second direction in the backside second conductive layer below the backside first conductive layer. Pin connectors are used in circuit units. The pin connector is directly connected between the backside horizontal conductor and the backside vertical conductor at an overlap region between the backside horizontal conductor and the backside vertical conductor. The backside horizontal conductor has a first portion covering the overlapping area and the backside horizontal conductor has a second portion outside the overlapping area, and a first width of the first portion along the first direction is greater than a second width of the second portion along the first direction.

本揭露的實施例之目的在於又提出一種製造積體電路的方法,包括:在基板上製造沿著第一方向延伸之第一型主動區結構與第二型主動區結構;製造沿著垂直於第一方向的第二方向延伸之多個閘極導體,每個閘極導體與基板上方的第一型主動區結構和/或第二型主動區結構相交,多個閘極導體之兩相鄰者間隔一間隔距離且該間隔距離等於接觸多晶間距(contacted poly pitch,CPP);在基板下方的背側第一導電層中製造沿著第一方向延伸之背側水平導線;製造連接背側水平導線的接腳連接器;及在背側第一導電層下方的背側第二導電層中製造沿著第二方向延伸之背側垂直導線,其中背側垂直導線對齊於電路單元的第一垂直邊界,其中接腳連接器在背側水平導線與背側垂直導線之間的重疊區域處直接地連接於背側水平導線與背側垂直導線之間;其中製造背側水平導線包括將背 側水平導線製造為延伸導線,該延伸導線以小於接觸多晶間距(CPP)的距離延伸跨越電路單元的第一垂直邊界。 The purpose of embodiments of the present disclosure is to provide a method for manufacturing an integrated circuit, which includes: manufacturing a first-type active area structure and a second-type active area structure extending along a first direction on a substrate; manufacturing a first-type active area structure and a second-type active area structure extending along a first direction; A plurality of gate conductors extending in a second direction in the first direction, each gate conductor intersecting with the first type active region structure and/or the second type active region structure above the substrate, two of the plurality of gate conductors are adjacent They are spaced apart by a spacing distance and the spacing distance is equal to the contact poly pitch (CPP); a backside horizontal conductor extending along the first direction is manufactured in the backside first conductive layer under the substrate; a backside connection is made pin connectors for horizontal conductors; and manufacturing backside vertical conductors extending along the second direction in the backside second conductive layer below the backside first conductive layer, wherein the backside vertical conductors are aligned with the first side of the circuit unit a vertical boundary, wherein the pin connector is directly connected between the backside horizontal conductor and the backside vertical conductor at an overlap region between the backside horizontal conductor and the backside vertical conductor; wherein manufacturing the backside horizontal conductor includes placing the backside horizontal conductor The side horizontal conductors are fabricated as extension conductors that extend across the first vertical boundary of the circuit cell at a distance less than the contact poly pitch (CPP).

20,40:電源軌 20,40:Power rail

50:基板 50:Substrate

52:絕緣層 52:Insulation layer

54,56:背側層間介電質 54,56: Backside interlayer dielectric

80n:n型主動區結構 80n: n-type active area structure

80p:p型主動區結構 80p: p-type active area structure

100,400:反或閘電路 100,400: Inverse OR gate circuit

110:單元邊界 110:Unit boundary

111,119:垂直單元邊界 111,119: Vertical cell boundary

122,124,126,522,524,526,622,624,626,722,724,726:前側第一層導線 122,124,126,522,524,526,622,624,626,722,724,726: The first layer of wires on the front side

132n,132p,135n,135p,138,532n,532p,535n,535p,538,632,635n,635p,638,735n,735p,738:端子導體 132n,132p,135n,135p,138,532n,532p,535n,535p,538,632,635n,635p,638,735n,735p,738: Terminal conductor

151,159:虛置閘極導體 151,159: Dummy gate conductor

152,158,552,558,652,658,758:閘極導體 152,158,552,558,652,658,758: Gate conductor

151i,159i:邊界隔離區 151i,159i:Border quarantine area

172,175,178,572,575,578,672,675,678,775,778,972,975,978:背側垂直導線 172,175,178,572,575,578,672,675,678,775,778,972,975,978: Backside vertical wire

178A,805,978A:第一部分 178A,805,978A:Part 1

178B,978B:第二部分 178B,978B:Part 2

181,182,184,186,581,582,584,586,681,682,684,686,782,784,786,981,982,984,986:背側水平導線 181,182,184,186,581,582,584,586,681,682,684,686,782,784,786,981,982,984,986: Dorsal horizontal wire

500:反及閘電路 500: Anti-AND gate circuit

600,700:反向器電路 600,700:Inverter circuit

800:製程 800:Process

810,820,832,834,836,838:操作 810,820,832,834,836,838: Operation

895:剩餘部分 895:Remainder

900:單元 900: unit

902:原始位置 902:original position

908:替代位置 908:Alternate position

1000:方法 1000:Method

1010,1022,1024,1030,1040,1050,1060,1070,1080:操作 1010,1022,1024,1030,1040,1050,1060,1070,1080: Operation

1100:EDA系統 1100:EDA system

1102:處理器 1102: Processor

1104:儲存媒體 1104:Storage media

1106:指令 1106:Instruction

1107:標準單元庫 1107:Standard cell library

1108:匯流排 1108:Bus

1109:佈局圖 1109:Layout drawing

1110:輸入/輸出(I/O) 1110: Input/output (I/O)

1112:網路介面 1112:Network interface

1114:網路 1114:Internet

1142:使用者介面(UI) 1142: User interface (UI)

1200:IC製造系統 1200:IC manufacturing system

1220:設計室 1220:Design room

1222:IC設計佈局圖 1222:IC design layout diagram

1230:遮罩室 1230:Mask room

1232:資料準備 1232: Data preparation

1244:遮罩製造 1244:Mask manufacturing

1245:遮罩 1245:mask

1250:IC製造商/製造者 1250:IC Manufacturer/Manufacturer

1252:製造工具 1252: Manufacturing tools

1253:晶圓 1253:wafer

1260:IC裝置 1260:IC device

1BV0A,1BV0B,1BV0C,1BVD1,1BVG1,1BVG2,1VD1,1VD2,1VDdd,1VDss,5BV0A,5BV0B,5BV0C,5BVD1,5BVG1,5BVG2,5VD1,5VD2,5VDdd,5VDss,6BV0A,6BV0B,6BV0C,6BVD1,6BVG1,6BVG2,6VD1,6VD2,6VDdd,6VDss,7BV0A,7BV0B,7BVD1,7BVG1,7VDdd,7VDss, 9BV0A:通孔連接器 1BV0A,1BV0B,1BV0C,1BVD1,1BVG1,1BVG2,1VD1,1VD2,1VDdd,1VDss,5BV0A,5BV0B,5BV0C,5BVD1,5BVG1,5BVG2,5VD1,5VD2,5VDdd,5VDss,6BV0A,6 BV0B,6BV0C,6BVD1,6BVG1, 6BVG2,6VD1,6VD2,6VDdd,6VDss,7BV0A,7BV0B,7BVD1,7BVG1,7VDdd,7VDss, 9BV0A: Through hole connector

A-A’,B-B’,C-C’,D-D’,E-E’,P-P’,Q-Q’,R-R’:切割平面 A-A’, B-B’, C-C’, D-D’, E-E’, P-P’, Q-Q’, R-R’: cutting plane

A1,A2,IN:輸入訊號/輸入節點 A1,A2,IN: input signal/input node

CPP:接觸多晶間距 CPP: contact polycrystalline spacing

nA1,nA2:n型電晶體 nA1, nA2: n-type transistor

pA1,pA2:p型電晶體 pA1, pA2: p-type transistor

W:寬度 W: Width

Wa:第一寬度 Wa: first width

Wb:第二寬度 Wb: second width

X,Y,Z:方向 X,Y,Z: direction

ZN:輸出訊號/輸出節點 ZN: Output signal/output node

Δ:距離 Δ: distance

結合附圖,根據以下詳細描述可以最好地理解本揭示內容的各態樣。注意,根據行業中的標準實務,各種特徵未按比例繪製。實際上,為了討論清楚起見,各種特徵的尺寸可任意增加或減小。 Aspects of the present disclosure are best understood from the following detailed description, taken in conjunction with the accompanying drawings. Note that in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for the sake of clarity of discussion.

第1A圖至第1B圖為根據一些實施例的反或閘電路的佈局圖。 Figures 1A to 1B are layout diagrams of an inverse-OR gate circuit according to some embodiments.

第1C圖為根據一些實施例的由第1A圖至第1B圖的佈局圖所指定的反或閘電路之等效電路圖。 Figure 1C is an equivalent circuit diagram of the inverse-OR gate circuit specified by the layout diagrams of Figures 1A-1B, according to some embodiments.

第2A圖至第2E圖為根據一些實施例的沿著切割平面之由第1A圖至第1B圖的佈局圖所指定的反或閘電路的剖視圖。 Figures 2A-2E are cross-sectional views along cutting planes of the inverter circuit specified by the layout diagrams of Figures 1A-1B, according to some embodiments.

第3A圖至第3C圖為根據一些實施例的沿著切割平面之由第1A圖至第1B圖的佈局圖所指定的反或閘電路的剖視圖。 Figures 3A-3C are cross-sectional views along cutting planes of the inverter circuit specified by the layout diagrams of Figures 1A-1B, according to some embodiments.

第4A圖至第4E圖為根據一些實施例的反或閘電路的佈局圖。 Figures 4A to 4E are layout diagrams of an inverse-OR gate circuit according to some embodiments.

第5A圖至第5B圖為根據一些實施例的反及閘電路的佈局圖。 Figures 5A to 5B are layout diagrams of an NAND gate circuit according to some embodiments.

第6A圖至第6B圖為根據一些實施例的反向器電路的佈局圖。 Figures 6A-6B are layout diagrams of inverter circuits according to some embodiments.

第7A圖至第7B圖為根據一些實施例的反向器電路的佈局圖。 Figures 7A-7B are layout diagrams of inverter circuits according to some embodiments.

第8圖為根據一些實施例的設計積體電路的製程的流程圖。 Figure 8 is a flowchart of a process for designing integrated circuits according to some embodiments.

第9A圖至第9C圖為根據一些實施例的單元的佈局圖。 Figures 9A-9C are layout diagrams of cells according to some embodiments.

第10圖為根據一些實施例的製造積體電路的方法的流程圖。 Figure 10 is a flow diagram of a method of manufacturing an integrated circuit in accordance with some embodiments.

第11圖為根據一些實施例的電子設計自動化系統的方塊圖。 Figure 11 is a block diagram of an electronic design automation system in accordance with some embodiments.

第12圖為根據一些實施例的積體電路(IC)製造系統及與其關聯的IC製造流程的方塊圖。 Figure 12 is a block diagram of an integrated circuit (IC) manufacturing system and an IC manufacturing process associated therewith, in accordance with some embodiments.

以下的揭露提供了許多不同的實施例或例子,以實施所提供標的的不同特徵。以下描述之構件與安排的特定例子,以簡化本揭露。當然,這些僅僅是例子而不是用以限制本揭露。例如,在說明中,第一特徵形成在第二特徵之上方或之上,這可能包含第一特徵與第二特徵以直接接觸的方式形成的實施例,這也可以包含額外特徵可能形成在第一特徵與第二特徵之間的實施例,這使得第一特徵與第二特徵可能沒有直接接觸。此外,本揭露可能會在各種例子中重複參考數字及/或文字。此重複是為了簡明與清晰的目的,但本身並非用以指定所討論的各種實施例及/或架 構之間的關係。 The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to limit the disclosure. For example, in the description, a first feature is formed on or over a second feature. This may include embodiments in which the first feature is formed in direct contact with the second feature. This may also include embodiments in which additional features may be formed on the second feature. Embodiments between one feature and a second feature such that the first feature and the second feature may not be in direct contact. In addition, this disclosure may repeat reference numbers and/or text in various examples. This repetition is for the purposes of brevity and clarity, but is not intended per se to designate the various embodiments and/or architectures discussed. relationship between structures.

再者,在此可能會使用空間相對用語,例如「底下(beneath)」、「下方(below)」、「較低(lower)」、「上方(above)」、「較高(upper)」等等,以方便說明如圖式所繪示之一元件或一特徵與另一(另一些)元件或特徵之關係。這些空間上相對的用語除了涵蓋在圖式中所繪示的方向,也欲涵蓋裝置在使用或操作中不同的方向。設備可能以不同方式定位(例如旋轉90度或在其他方位上),而在此所使用的空間上相對的描述同樣也可以有相對應的解釋。 Furthermore, spatial relative terms may be used here, such as "beneath", "below", "lower", "above", "upper", etc. etc., to facilitate explanation of the relationship between one element or feature and another (other) elements or features as shown in the drawings. These spatially relative terms are intended to encompass, in addition to the directions depicted in the drawings, different orientations of the device in use or operation. The device may be oriented differently (for example, rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

在一些實施例中,積體電路包括在基板的前側處的多個閘極導體(gate-conductors)與多個端子導體(terminal-conductors)。積體電路還包括在基板的背側處的背側第一導電層中的多條背側水平導線以及背側第二導電層中的多條背側垂直導線。感興趣的背側垂直導線對齊於電路單元的第一垂直邊界,且感興趣的背側垂直導線透過接腳連接器連接到感興趣的背側水平導線。在一些實施例中,感興趣的背側水平導線為延伸導線,該延伸導線延伸跨越電路單元的第一垂直邊界。在一些實施例中,感興趣的背側垂直導線為區域(local)二維導線,該區域二維導線具有第一部分與第二部分,該第一部分具有第一寬度,該第二部份具有不同於第一寬度之第二寬度。在一些實施例中,由於該延伸導線和/或該區域二維導線,為接腳連接器的定位(positioning)提供了更大的靈活性,而不 會產生設計規則違例(design rule violations)。 In some embodiments, the integrated circuit includes a plurality of gate-conductors and a plurality of terminal-conductors at the front side of the substrate. The integrated circuit also includes a plurality of backside horizontal conductors in the backside first conductive layer and a plurality of backside vertical conductors in the backside second conductive layer at the backside of the substrate. The backside vertical conductor of interest is aligned with the first vertical boundary of the circuit unit, and the backside vertical conductor of interest is connected to the backside horizontal conductor of interest through the pin connector. In some embodiments, the backside horizontal conductor of interest is an extension conductor that extends across the first vertical boundary of the circuit cell. In some embodiments, the backside vertical conductor of interest is a local two-dimensional conductor having a first portion and a second portion, the first portion having a first width, and the second portion having a different width. The second width of the first width. In some embodiments, greater flexibility in positioning of the pin connector is provided due to the extended conductors and/or the area 2D conductors without Design rule violations will occur.

第1A圖至第1B圖為根據一些實施例的反或閘(NOR gate)電路100的佈局圖。第1A圖至第1B圖的佈局圖包括多個佈局圖案,所述多個佈局圖案用於指定在X方向延伸的p型主動區結構80p和n型主動區結構80n、在Y方向延伸的多個閘極導體(152及158)、在Y方向延伸的端子導體(132p、132n、135p、135n及138)、以及在Y方向延伸的虛置(dummy)閘極導體(151及159)。反或閘電路100位於以單元邊界110為邊界的單元中,沿著X方向的單元寬度以在Y方向延伸的兩個垂直單元邊界111及119為邊界。第1A圖的佈局圖還包括多個佈局圖案,所述多個佈局圖案用於指定在X方向延伸的電源軌(40及20)、在X方向延伸的前側第一層導線(122、124及126)、以及各種通孔連接器(via-connector)。第1B圖的佈局圖還包括多個佈局圖案,所述多個佈局圖案用於指定在X方向延伸的背側水平導線(181、182、184及186)、在Y方向延伸的背側垂直導線(172、175及178)、以及各種通孔連接器。在X-Y坐標中,X方向和Y方向彼此相互垂直。 Figures 1A to 1B are layout diagrams of a NOR gate circuit 100 according to some embodiments. The layout diagrams of FIGS. 1A to 1B include a plurality of layout patterns for specifying a p-type active region structure 80p and an n-type active region structure 80n extending in the X direction, and a plurality of layout patterns extending in the Y direction. gate conductors (152 and 158), terminal conductors (132p, 132n, 135p, 135n and 138) extending in the Y direction, and dummy gate conductors (151 and 159) extending in the Y direction. The NOR gate circuit 100 is located in a cell bounded by a cell boundary 110, and the cell width along the X direction is bounded by two vertical cell boundaries 111 and 119 extending in the Y direction. The layout diagram of Figure 1A also includes a plurality of layout patterns for specifying the power rails (40 and 20) extending in the X direction, the front first layer conductors (122, 124 and 126), and various via-connectors. The layout diagram of Figure 1B also includes a plurality of layout patterns for specifying backside horizontal conductors (181, 182, 184, and 186) extending in the X direction, and backside vertical conductors extending in the Y direction. (172, 175 and 178), and various through-hole connectors. In the X-Y coordinate, the X direction and the Y direction are perpendicular to each other.

在由第1A圖至第1B圖的佈局圖所指定的反或閘電路100中,兩相鄰的閘極導體(例如閘極導體152及158)間隔一間隔距離且該間隔距離等於接觸多晶間距(contacted poly pitch,CPP)。在反或閘電路100中,沿著X方向之垂直單元邊界111與垂直單元邊界119之 間的距離為接觸多晶間距(CPP)的三倍。 In the inverse-OR gate circuit 100 specified in the layout diagrams of Figures 1A-1B, two adjacent gate conductors (eg, gate conductors 152 and 158) are separated by a separation distance equal to the contact poly Pitch (contacted poly pitch, CPP). In the inverse-OR gate circuit 100, the relationship between the vertical cell boundary 111 and the vertical cell boundary 119 along the The distance between them is three times the contact polycrystalline spacing (CPP).

第1C圖為根據一些實施例的由第1A圖至第1B圖的佈局圖所指定的反或閘電路100之等效電路圖。第2A圖至第2E圖及第3A圖至第3C圖為根據一些實施例的由第1A圖至第1B圖的佈局圖所指定的反或閘電路100之剖視圖。 Figure 1C is an equivalent circuit diagram of the inverter circuit 100 specified by the layout diagrams of Figures 1A-1B, according to some embodiments. Figures 2A to 2E and Figures 3A to 3C are cross-sectional views of the inverter circuit 100 specified by the layout diagram of Figures 1A to 1B according to some embodiments.

在由第1A圖至第1B圖的佈局圖所指定的反或閘電路100中以及在第1C圖的等效電路圖中所示的反或閘電路100中,閘極導體152在p型電晶體pA1的通道區處與p型主動區結構80p相交,且在n型電晶體nA1的通道區處與n型主動區結構80n相交。閘極導體158在p型電晶體pA2的通道區處與p型主動區結構80p相交,且在n型電晶體nA2的通道區處與n型主動區結構80n相交。端子導體132p與135p在p型電晶體pA2與pA1的各個源極/汲極區處與p型主動區結構80p相交。端子導體132n與135n在n型電晶體nA2與nA1的各個源極/汲極區處與n型主動區結構80n相交。端子導體138在p型電晶體pA1的汲極區和n型電晶體nA1的汲極區對應地與p型主動區結構80p和n型主動區結構80n相交。p型電晶體(pA1和pA2)與n型電晶體(nA1和nA2)的非限制性示例包括鰭式場效電晶體(FinFETs)、奈米片(nano-sheet)電晶體和奈米線(nano-wire)電晶體。用於第1A圖至第1B圖中的虛置閘極導體151和159的佈局圖案指定了或反或閘電路100中的多個主動區(例如, 源極區、汲極區和通道區),所述多個主動區與相鄰單元中的主動區隔離。 In the inverter circuit 100 specified in the layout diagrams of Figures 1A-1B and in the inverter circuit 100 shown in the equivalent circuit diagram of Figure 1C, the gate conductor 152 is in the p-type transistor. The channel region of pA1 intersects with the p-type active region structure 80p, and intersects with the n-type active region structure 80n at the channel region of the n-type transistor nA1. Gate conductor 158 intersects p-type active region structure 80p at the channel region of p-type transistor pA2 and intersects n-type active region structure 80n at the channel region of n-type transistor nA2. Terminal conductors 132p and 135p intersect p-type active region structure 80p at respective source/drain regions of p-type transistors pA2 and pA1. Terminal conductors 132n and 135n intersect n-type active region structure 80n at respective source/drain regions of n-type transistors nA2 and nA1. The terminal conductor 138 intersects the p-type active region structure 80p and the n-type active region structure 80n at the drain region of the p-type transistor pA1 and the drain region of the n-type transistor nA1, respectively. Non-limiting examples of p-type transistors (pA1 and pA2) and n-type transistors (nA1 and nA2) include fin field effect transistors (FinFETs), nano-sheet transistors, and nanowires -wire) transistor. The layout patterns used for dummy gate conductors 151 and 159 in FIGS. 1A-1B designate multiple active regions in the NOR gate circuit 100 (e.g., source region, drain region and channel region), the plurality of active regions are isolated from active regions in adjacent cells.

在由第1A圖至第1B圖的佈局圖所指定的反或閘電路100中以及在第1C圖的等效電路圖中所示的反或閘電路100中,前側第一層導線(122、124及126)與電源軌(40及20)位於基板上方的第一連接層中。在一些實施例中,第一連接層是在生產線前段(front-end-of-line,FEOL)製程中製造的頂部絕緣層上方的第一金屬層(M0)。在反或閘電路100中,端子導體132p透過通孔連接器1VDdd與電源軌40導電連接,且電源軌40被配置為提供第一供應電壓VDD。端子導體135n透過通孔連接器1VDss與電源軌20導電連接,且電源軌20被配置為提供第二供應電壓VSS。前側第一層導線126透過通孔連接器1VD1與端子導體132n導電連接,且透過通孔連接器1VD2與端子導體138導電連接。 In the inverter circuit 100 specified in the layout diagrams of Figures 1A-1B and in the inverter circuit 100 shown in the equivalent circuit diagram of Figure 1C, the front side first layer conductors (122, 124 and 126) and the power rails (40 and 20) are located in the first connection layer above the substrate. In some embodiments, the first connection layer is a first metal layer (MO) above the top insulating layer fabricated in a front-end-of-line (FEOL) process. In the NOR circuit 100, the terminal conductor 132p is electrically connected to the power rail 40 through the through-hole connector 1VDdd, and the power rail 40 is configured to provide the first supply voltage VDD. The terminal conductor 135n is electrically connected to the power rail 20 through the through-hole connector 1VDss, and the power rail 20 is configured to provide the second supply voltage VSS. The front first layer wire 126 is electrically connected to the terminal conductor 132n through the through-hole connector 1VD1, and is electrically connected to the terminal conductor 138 through the through-hole connector 1VD2.

在反或閘電路100中,端子導體138(在第1A圖中)還透過穿過基板的通孔連接器1BVD1與背側水平導線182(在第1B圖中)導電連接。此外,閘極導體152(在第1A圖中)透過通孔連接器1BVG1與背側水平導線184(在第1B圖中)導電連接,且閘極導體158(在第1A圖中)透過通孔連接器1BVG2與背側水平導線186(在第1B圖中)導電連接。 In the NOR circuit 100, the terminal conductor 138 (in FIG. 1A) is also conductively connected to the backside horizontal conductor 182 (in FIG. 1B) through the through-substrate through-hole connector 1BVD1. Additionally, gate conductor 152 (in FIG. 1A ) is electrically connected to the backside horizontal conductor 184 (in FIG. 1B ) through through-hole connector 1BVG1 and gate conductor 158 (in FIG. 1A ) through the through-hole Connector 1BVG2 is electrically connected to the backside horizontal conductor 186 (in Figure 1B).

在反或閘電路100中,背側水平導線182透過通孔連接器1BV0A與背側垂直導線178導電連接。背側水 平導線184透過通孔連接器1BV0B與背側垂直導線172導電連接。背側水平導線186透過通孔連接器1BV0C與背側垂直導線175導電連接。 In the NOR gate circuit 100, the backside horizontal conductor 182 is electrically connected to the backside vertical conductor 178 through the through-hole connector 1BV0A. dorsal water The flat conductor 184 is electrically connected to the backside vertical conductor 172 through the through-hole connector 1BV0B. The backside horizontal conductor 186 is electrically connected to the backside vertical conductor 175 through the through-hole connector 1BV0C.

在反或閘電路100中,背側水平導線181、182、184及186位於基板下方的背側第一導電層中。背側垂直導線172、175及178位於背側第一導電層下方的背側第二導電層中。在一些實施例中,背側第一導電層為製作於基板的背側處的第一背側金屬層(BM0),且背側第二導電層為製作於基板的背側處的第二背側金屬層(BM1)。第一背側金屬層(BM0)夾設在基板與第二背側金屬層(BM1)之間。通孔連接器1BV0A、1BV0B及1BV0C之每一者是穿過層間介電質(interlayer dielectric,ILD)材料的通孔連接器BV0,所述層間介電質(ILD)材料將第二背側金屬層(BM1)和第一背側金屬層(BM0)隔開。 In the NOR gate circuit 100, the backside horizontal conductors 181, 182, 184 and 186 are located in the backside first conductive layer below the substrate. Backside vertical conductors 172, 175, and 178 are located in the backside second conductive layer below the backside first conductive layer. In some embodiments, the first backside conductive layer is a first backside metal layer (BM0) fabricated at the backside of the substrate, and the backside second conductive layer is a second backside metal layer (BM0) fabricated at the backside of the substrate. Side metal layer (BM1). The first backside metal layer (BM0) is sandwiched between the substrate and the second backside metal layer (BM1). Each of through-hole connectors 1BV0A, 1BV0B, and 1BV0C is a through-hole connector BV0 through an interlayer dielectric (ILD) material that connects the second backside metal layer (BM1) and the first backside metal layer (BM0) are separated.

在反或閘電路100中,背側垂直導線172、通孔連接器1BV0B及背側水平導線184導電連接在一起以承載反或閘電路100的輸入訊號「A1」。背側垂直導線175、通孔連接器1BV0C及背側水平導線186導電連接在一起以承載反或閘電路100的輸入訊號「A2」。背側垂直導線178、通孔連接器1BV0A及背側水平導線182導電連接在一起以承載反或閘電路100的輸出訊號「ZN」。 In the inverse-OR gate circuit 100, the backside vertical conductor 172, the through-hole connector 1BV0B and the backside horizontal conductor 184 are conductively connected together to carry the input signal “A1” of the inverse-OR gate circuit 100. The backside vertical conductor 175, the through-hole connector 1BV0C and the backside horizontal conductor 186 are conductively connected together to carry the input signal "A2" of the inverter circuit 100. The backside vertical conductor 178 , the through-hole connector 1BV0A and the backside horizontal conductor 182 are electrically connected together to carry the output signal “ZN” of the inverter circuit 100 .

在反或閘電路100中,通孔連接器1BV0A(如第1C圖所示)用作沿著Z方向延伸的接腳連接器(pin-connector),用於將背側垂直導線178連接到承 載反或閘電路100的輸出訊號「ZN」的背側水平導線182。在一些實施例中,如第1B圖所示,當背側水平導線182延伸跨越垂直單元邊界119時,為接腳連接器(即,通孔連接器1BV0A)的定位提供了更大的靈活性,而不會產生設計規則違例。在第1B圖中,背側水平導線182沿著X方向延伸而以距離「Δ」延伸跨越垂直單元邊界119。在一些實施例中,背側水平導線182延伸跨越垂直單元邊界119的距離「Δ」小於一個接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的八分之一。在一些實施例中,背側水平導線182延伸跨越垂直單元邊界119的距離「Δ」小於一個接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的四分之一。在一些實施例中,背側水平導線182延伸跨越垂直單元邊界119的距離「Δ」小於一個接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的一半。在一些實施例中,距離「Δ」被選擇為足夠大以減輕與背側垂直導線178與背側水平導線182之間的接腳連接器連接相關聯的設計規則違例。在一些實施例中,距離「Δ」被選擇為小於一個接觸多晶間距(CPP),使得從垂直單元邊界119到相鄰單元的垂直單元邊界的水平間隙(gap)距離減小到最小距離以減輕與接腳連接器連接相關聯的設計規則違例。 In the NOR circuit 100, the through-hole connector 1BV0A (shown in FIG. 1C) is used as a pin-connector extending along the Z direction for connecting the backside vertical conductor 178 to the socket. The backside horizontal conductor 182 carries the output signal "ZN" of the inverter circuit 100 . In some embodiments, as shown in Figure 1B, when the backside horizontal conductors 182 extend across the vertical cell boundary 119, greater flexibility is provided for the positioning of the pin connector (ie, through-hole connector 1BV0A) , without generating design rule violations. In FIG. 1B , the backside horizontal conductor 182 extends along the X direction across the vertical cell boundary 119 at a distance "Δ". In some embodiments, the backside horizontal conductor 182 extends across the vertical cell boundary 119 a distance "Δ" that is less than one contact poly pitch (CPP) but greater than one-eighth of the contact poly pitch (CPP). In some embodiments, the backside horizontal conductor 182 extends across the vertical cell boundary 119 a distance "Δ" that is less than one contact poly pitch (CPP) but greater than one quarter of the contact poly pitch (CPP). In some embodiments, the backside horizontal conductor 182 extends across the vertical cell boundary 119 by a distance "Δ" that is less than one contact poly pitch (CPP) but greater than half the contact poly pitch (CPP). In some embodiments, distance "Δ" is selected to be large enough to mitigate design rule violations associated with pin connector connections between backside vertical conductors 178 and backside horizontal conductors 182 . In some embodiments, distance "Δ" is selected to be less than one contact poly pitch (CPP) such that the horizontal gap distance from vertical cell boundary 119 to the vertical cell boundary of an adjacent cell is reduced to a minimum distance of Mitigates design rule violations associated with pin connector connections.

在第1B圖中,背側垂直導線178具有沿X方向延伸的寬度「W」。在一些實施例中,寬度「W」被選擇為減少背側垂直導線178中的電壓降(IR drops)。在一些實施例中,背側垂直導線178的寬度「W」大於接觸多 晶間距(CPP)的一半。在一些實施例中,背側垂直導線178的寬度「W」大於接觸多晶間距(CPP)的四分之三。一般而言,寬度「W」越大,背側垂直導線178中的電壓降(IR drops)越小。然而,如果單元中背側垂直導線的軌道(tracks)的數量是固定的,兩相鄰的背側垂直導線之間的間距要求(spacing requirements)限制了寬度「W」的最大值。減少軌道的數量可增加寬度「W」的最大值,但同時也會降低單元設計的佈線靈活性。在一些實施例中,佈線靈活性和電壓降(IR drops)要求之間的折衷(compromise)決定了寬度「W」的最大值。 In Figure 1B, the backside vertical conductor 178 has a width "W" extending in the X direction. In some embodiments, the width “W” is selected to reduce IR drops in the backside vertical conductors 178 . In some embodiments, the width "W" of the backside vertical conductor 178 is greater than the contact width half of the intercrystalline spacing (CPP). In some embodiments, the width "W" of the backside vertical conductors 178 is greater than three-quarters of the contact poly pitch (CPP). Generally speaking, the larger the width "W", the smaller the voltage drop (IR drops) in the backside vertical conductor 178. However, if the number of backside vertical conductor tracks in the unit is fixed, the spacing requirements between two adjacent backside vertical conductors limit the maximum value of the width "W". Reducing the number of tracks increases the maximum width "W" but also reduces the routing flexibility of the unit design. In some embodiments, a compromise between routing flexibility and IR drops requirements determines the maximum value of the width "W".

第2A圖為根據一些實施例的沿著切割平面A-A’之由第1A圖至第1B圖的佈局圖所指定的反或閘電路100的剖視圖。如第2A圖所示,p型主動區結構80p位於基板50上。端子導體132p、135p及138之每一者與p型主動區結構80p相交。閘極導體152及158之每一者也與p型主動區結構80p相交。在一些實施例中,p型主動區結構80p中的主動區(例如,源極區、通道區或汲極區),通過虛置閘極導體151下方的邊界隔離區151i以及虛置閘極導體159下方的邊界隔離區159i,與相鄰單元中的主動區隔開。前側第一層導線122覆蓋在絕緣層52,絕緣層52覆蓋閘極導體(152及158)與端子導體(132p、135p及138)。背側垂直導線172、175及178位於背側層間介電質56上,背側層間介電質56覆蓋在基板50的背側處的背側層間介電質54上。 Figure 2A is a cross-sectional view of the inverter circuit 100 along cutting plane A-A' as specified in the layout diagram of Figures 1A-1B, according to some embodiments. As shown in FIG. 2A, the p-type active region structure 80p is located on the substrate 50. Each of terminal conductors 132p, 135p, and 138 intersects p-type active region structure 80p. Each of gate conductors 152 and 158 also intersects p-type active region structure 80p. In some embodiments, the active region (eg, source region, channel region, or drain region) in the p-type active region structure 80p passes through the boundary isolation region 151i under the dummy gate conductor 151 and the dummy gate conductor 151 A boundary isolation area 159i below 159 is separated from the active areas in adjacent cells. The first layer of wires 122 on the front side is covered with the insulating layer 52, and the insulating layer 52 covers the gate conductors (152 and 158) and the terminal conductors (132p, 135p and 138). Backside vertical conductors 172 , 175 , and 178 are located on backside interlayer dielectric 56 covering backside interlayer dielectric 54 at the backside of substrate 50 .

第2B圖為根據一些實施例的沿著切割平面B-B,之由第1A圖至第1B圖的佈局圖所指定的反或閘電路100的剖視圖。如第2B圖所示,n型主動區結構80n位於基板50上。端子導體132n、135n及138之每一者與n型主動區結構80n相交。閘極導體152及158之每一者也與n型主動區結構80n相交。在一些實施例中,n型主動區結構80n中的主動區(例如,源極區、通道區或汲極區),通過虛置閘極導體151下方的邊界隔離區隔離151i以及虛置閘極導體159下方的邊界隔離區159i,與相鄰單元中的主動區隔開。前側第一層導線126覆蓋在絕緣層52,絕緣層52覆蓋閘極導體(152及158)與端子導體(132n、135n及138)。背側垂直導線172、175及178位於背側層間介電質56上,背側層間介電質56覆蓋在基板50的背側處的背側層間介電質54上。 FIG. 2B is a cross-sectional view of the inverter circuit 100 specified in the layout diagram of FIGS. 1A-1B along cutting plane B-B, according to some embodiments. As shown in Figure 2B, the n-type active region structure 80n is located on the substrate 50. Each of terminal conductors 132n, 135n, and 138 intersects n-type active region structure 80n. Each of gate conductors 152 and 158 also intersects n-type active region structure 80n. In some embodiments, the active region (eg, source region, channel region, or drain region) in the n-type active region structure 80n is isolated 151i by a boundary isolation region under the dummy gate conductor 151 and the dummy gate A boundary isolation region 159i beneath conductor 159 is separated from active regions in adjacent cells. The first layer of wires 126 on the front side covers the insulating layer 52, and the insulating layer 52 covers the gate conductors (152 and 158) and the terminal conductors (132n, 135n and 138). Backside vertical conductors 172 , 175 , and 178 are located on backside interlayer dielectric 56 covering backside interlayer dielectric 54 at the backside of substrate 50 .

第2C圖為根據一些實施例的沿著切割平面C-C’之由第1A圖至第1B圖的佈局圖所指定的反或閘電路100的剖視圖。如第2C圖所示,絕緣層52覆蓋閘極導體(152及158)、端子導體(132p、135p及138)以及虛置閘極導體(151及159)。背側水平導線181及182位於基板50的背側處。背側層間介電質54的部分將背側水平導線181與背側水平導線182分開。背側垂直導線172、175及178位於背側層間介電質56上,背側層間介電質56覆蓋背側層間介電質54與背側水平導線181及182。通孔連接器1BVD1穿過基板50並將端子導體138與背側 水平導線182導電連接。通孔連接器1BV0A穿過背側層間介電質56並將背側水平導線182與背側垂直導線178導電連接。 Figure 2C is a cross-sectional view of the inverter circuit 100 along cutting plane C-C' as specified in the layout diagram of Figures 1A-1B, according to some embodiments. As shown in Figure 2C, the insulating layer 52 covers the gate conductors (152 and 158), the terminal conductors (132p, 135p and 138) and the dummy gate conductors (151 and 159). Backside horizontal conductors 181 and 182 are located at the backside of the substrate 50 . Portions of backside interlayer dielectric 54 separate backside horizontal conductors 181 and backside horizontal conductors 182 . The backside vertical conductors 172, 175, and 178 are located on the backside interlayer dielectric 56, and the backside interlayer dielectric 56 covers the backside interlayer dielectric 54 and the backside horizontal conductors 181 and 182. Through-hole connector 1BVD1 passes through substrate 50 and connects terminal conductors 138 to the backside Horizontal wires 182 are electrically connected. Through-hole connector 1BV0A passes through the backside interlayer dielectric 56 and electrically connects the backside horizontal conductor 182 to the backside vertical conductor 178 .

第2D圖為根據一些實施例的沿著切割平面D-D’之由第1A圖至第1B圖的佈局圖所指定的反或閘電路100的剖視圖。如第2D圖所示,絕緣層52覆蓋閘極導體(152及158)、端子導體138以及虛置閘極導體(151及159)。背側水平導線184位於基板50的背側處。背側垂直導線172、175及178位於背側層間介電質56上,背側層間介電質56覆蓋背側層間介電質54與背側水平導線184。通孔連接器1BVG1穿過基板50並將閘極導體152與背側水平導線184導電連接。通孔連接器1BV0B穿過背側層間介電質56並將背側水平導線184與背側垂直導線172導電連接。 Figure 2D is a cross-sectional view of the inverter circuit 100 along cutting plane D-D' as specified in the layout diagram of Figures 1A-1B, according to some embodiments. As shown in Figure 2D, the insulating layer 52 covers the gate conductors (152 and 158), the terminal conductor 138 and the dummy gate conductors (151 and 159). Backside horizontal conductors 184 are located at the backside of substrate 50 . The backside vertical conductors 172, 175, and 178 are located on the backside interlayer dielectric 56, and the backside interlayer dielectric 56 covers the backside interlayer dielectric 54 and the backside horizontal conductors 184. Through-hole connector 1BVG1 passes through substrate 50 and electrically connects gate conductor 152 to backside horizontal conductor 184 . The through-hole connector 1BV0B passes through the backside interlayer dielectric 56 and conductively connects the backside horizontal conductor 184 and the backside vertical conductor 172 .

第2E圖為根據一些實施例的沿著切割平面E-E’之由第1A圖至第1B圖的佈局圖所指定的反或閘電路100的剖視圖。如第2E圖所示,絕緣層52覆蓋閘極導體(152及158)、端子導體(132n、135n及138)以及虛置閘極導體(151及159)。背側水平導線186位於基板50的背側處。背側垂直導線172、175及178位於背側層間介電質56上,背側層間介電質56覆蓋背側層間介電質54與背側水平導線186。通孔連接器1BVG2穿過基板50並將閘極導體158與背側水平導線186導電連接。通孔連接器1BV0C穿過背側層間介電質56並將背側水平導線186 與背側垂直導線175導電連接。 Figure 2E is a cross-sectional view of the inverter circuit 100 along cutting plane E-E' as specified in the layout diagram of Figures 1A-1B, according to some embodiments. As shown in Figure 2E, the insulating layer 52 covers the gate conductors (152 and 158), the terminal conductors (132n, 135n and 138) and the dummy gate conductors (151 and 159). Backside horizontal conductors 186 are located at the backside of substrate 50 . Backside vertical conductors 172, 175, and 178 are located on backside interlayer dielectric 56, which covers backside interlayer dielectric 54 and backside horizontal conductors 186. Through-hole connector 1BVG2 passes through substrate 50 and electrically connects gate conductor 158 to backside horizontal conductor 186 . Through-hole connector 1BV0C passes through the backside interlayer dielectric 56 and connects the backside horizontal conductors 186 It is electrically connected to the backside vertical wire 175 .

第3A圖為根據一些實施例的沿著切割平面P-P’之由第1A圖至第1B圖的佈局圖所指定的反或閘電路100的剖視圖。如第3A圖所示,端子導體132n與基板50上的n型主動區結構80n相交,且端子導體132p與基板50上的p型主動區結構80p相交。絕緣層52覆蓋端子導體132n及132p。電源軌(40及20)與前側第一層導線122及126位於絕緣層52上方的第一連接層中。通孔連接器1VDdd穿過絕緣層52並導電連接端子導體132p與電源軌40。背側水平導線181、184及186位於基板50的背側處。背側層間介電質54與背側水平導線181、184及186被背側層間介電質56覆蓋。背側垂直導線172覆蓋背側層間介電質56。通孔連接器1BV0B穿過背側層間介電質56並導電連接背側水平導線184與背側垂直導線172。 Figure 3A is a cross-sectional view of the inverter circuit 100 along the cutting plane P-P' as specified in the layout diagram of Figures 1A-1B, according to some embodiments. As shown in FIG. 3A , the terminal conductor 132n intersects the n-type active region structure 80n on the substrate 50, and the terminal conductor 132p intersects the p-type active region structure 80p on the substrate 50. Insulating layer 52 covers terminal conductors 132n and 132p. The power rails (40 and 20) and the front first layer conductors 122 and 126 are located in the first connection layer above the insulating layer 52. The through-hole connector 1VDdd passes through the insulating layer 52 and electrically connects the terminal conductor 132p to the power rail 40. Backside horizontal conductors 181 , 184 and 186 are located at the backside of substrate 50 . The backside interlayer dielectric 54 and the backside horizontal conductors 181 , 184 and 186 are covered by the backside interlayer dielectric 56 . Backside vertical conductors 172 cover the backside interlayer dielectric 56 . The through-hole connector 1BV0B passes through the backside interlayer dielectric 56 and conductively connects the backside horizontal conductor 184 and the backside vertical conductor 172 .

第3B圖為根據一些實施例的沿著切割平面Q-Q’之由第1A圖至第1B圖的佈局圖所指定的反或閘電路100的剖視圖。如第3B圖所示,端子導體135n與基板50上的n型主動區結構80n相交,且端子導體135p與基板50上的p型主動區結構80p相交。絕緣層52覆蓋端子導體135n及135p。電源軌(20及40)與前側第一層導線122、124及126位於絕緣層52上方的第一連接層中。通孔連接器1VDss穿過絕緣層52並導電連接端子導體135n與電源軌20。背側水平導線184及186位於基板50的背 側處。背側層間介電質54與背側水平導線184及186被背側層間介電質56覆蓋。背側垂直導線175覆蓋背側層間介電質56。通孔連接器1BV0C穿過背側層間介電質56並導電連接背側水平導線186與背側垂直導線175。 Figure 3B is a cross-sectional view of the inverter circuit 100 along cutting plane Q-Q' as specified in the layout diagram of Figures 1A-1B, according to some embodiments. As shown in FIG. 3B , the terminal conductor 135n intersects the n-type active region structure 80n on the substrate 50 , and the terminal conductor 135p intersects the p-type active region structure 80p on the substrate 50 . The insulating layer 52 covers the terminal conductors 135n and 135p. The power rails (20 and 40) and the front first layer conductors 122, 124 and 126 are located in the first connection layer above the insulating layer 52. The through-hole connector 1VDss passes through the insulating layer 52 and electrically connects the terminal conductor 135n to the power rail 20 . Backside horizontal conductors 184 and 186 are located on the backside of substrate 50 side. Backside interlayer dielectric 54 and backside horizontal conductors 184 and 186 are covered by backside interlayer dielectric 56 . Backside vertical conductors 175 cover the backside interlayer dielectric 56 . The through-hole connector 1BVOC passes through the backside interlayer dielectric 56 and conductively connects the backside horizontal conductor 186 and the backside vertical conductor 175 .

第3C圖為根據一些實施例的沿著切割平面R-R’之由第1A圖至第1B圖的佈局圖所指定的反或閘電路100的剖視圖。如第3C圖所示,端子導體138與基板50上的n型主動區結構80n與p型主動區結構80p相交。絕緣層52覆蓋端子導體138。電源軌(20及40)與前側第一層導線124及126位於絕緣層52上方的第一連接層中。背側水平導線182、184及186位於基板50的背側處。通孔連接器1BVD1穿過基板50並導電連接端子導體138與背側水平導線182。背側層間介電質54與背側水平導線182、184及186被背側層間介電質56覆蓋。背側垂直導線178覆蓋背側層間介電質56。通孔連接器1BV0A穿過背側層間介電質56並導電連接背側水平導線182與背側垂直導線178。 Figure 3C is a cross-sectional view of the inverter circuit 100 along cutting plane R-R' as specified in the layout diagram of Figures 1A-1B, according to some embodiments. As shown in FIG. 3C , the terminal conductor 138 intersects the n-type active region structure 80n and the p-type active region structure 80p on the substrate 50 . Insulating layer 52 covers terminal conductors 138 . The power rails (20 and 40) and the front first layer conductors 124 and 126 are located in the first connection layer above the insulating layer 52. Backside horizontal conductors 182 , 184 and 186 are located at the backside of substrate 50 . The through-hole connector 1BVD1 passes through the substrate 50 and electrically connects the terminal conductor 138 to the backside horizontal conductor 182 . The backside interlayer dielectric 54 and the backside horizontal conductors 182 , 184 and 186 are covered by the backside interlayer dielectric 56 . Backside vertical conductors 178 cover the backside interlayer dielectric 56 . The through-hole connector 1BV0A passes through the backside interlayer dielectric 56 and conductively connects the backside horizontal conductor 182 and the backside vertical conductor 178 .

在由第1A圖至第1B圖的佈局圖所指定的反或閘電路100中,背側垂直導線178在基板50的背側處具有均勻的寬度「W」。在一些替代實施例中,至少一個背側垂直導線包括具有第一寬度的第一部分與具有第二寬度的第二部分,且第一部分的第一寬度大於第二部分的第二寬度。作為示例,在由第4A圖至第4E圖的佈局圖所指定的反或閘電路(其在下文更詳細地描述)中,背側垂直導線 178的第一部分具有第一寬度「Wa」且背側垂直導線178的第二部分具有第二寬度「Wb」,其中第一寬度「Wa」大於第二寬度「Wb」。 In the NOR circuit 100 specified by the layout diagrams of FIGS. 1A-1B , the backside vertical conductors 178 have a uniform width "W" at the backside of the substrate 50 . In some alternative embodiments, at least one backside vertical conductor includes a first portion having a first width and a second portion having a second width, and the first width of the first portion is greater than the second width of the second portion. As an example, in the inverter circuit specified by the layout diagrams of Figures 4A through 4E (which are described in more detail below), the backside vertical conductors The first portion of backside vertical conductor 178 has a first width "Wa" and the second portion of backside vertical conductor 178 has a second width "Wb", where the first width "Wa" is greater than the second width "Wb".

第4A圖至第4E圖為根據一些實施例的反或閘電路400的佈局圖。第4A圖至第4E圖中的佈局圖的每一者包括多個佈局圖案用於指定背側水平導線(181、182、184及186)與背側垂直導線(172、175及178)。反或閘電路400在基板的背側處之多個元件的佈局(如第4A圖至第4E圖所示)不同於反或閘電路100在基板的背側處之多個元件的佈局(如第1B圖所示)。然而,反或閘電路400在基板的前側處之多個元件的佈局相同於反或閘電路100在基板的前側處之多個元件的佈局(如第1A圖所示)。因此,對於反或閘電路400而言,僅參考第4A圖至第4E圖的佈局圖來詳細描述基板的背側處之多個元件的佈局,而前側處之多個元件的佈局不再參照前側佈局圖進行說明。 Figures 4A to 4E are layout diagrams of an inverter circuit 400 according to some embodiments. Each of the layout diagrams in FIGS. 4A-4E includes a plurality of layout patterns for specifying backside horizontal conductors (181, 182, 184, and 186) and backside vertical conductors (172, 175, and 178). The layout of the multiple components of the inverse-OR circuit 400 on the back side of the substrate (as shown in FIGS. 4A to 4E ) is different from the layout of the multiple components of the inverse-OR circuit 100 on the back side of the substrate (as shown in FIGS. 4A to 4E ). (shown in Figure 1B). However, the layout of the components of the NOR circuit 400 at the front side of the substrate is the same as the layout of the components of the NOR circuit 100 at the front side of the substrate (as shown in FIG. 1A ). Therefore, for the inverse-OR gate circuit 400, the layout of the multiple components on the back side of the substrate is described in detail only with reference to the layout diagrams of FIGS. 4A to 4E, and the layout of the multiple components on the front side is no longer referred to. The front layout diagram explains.

如同第4A圖至第4E圖的佈局圖所指定的,反或閘電路400包括在基板下方的背側第一導電層中的背側水平導線181、182、184及186。反或閘電路400還包括在背側第一導電層下方的背側第二導電層中的背側垂直導線172、175及178。閘極導體152透過通孔連接器1BVG1與背側水平導線184導電連接,且背側水平導線184透過通孔連接器1BV0B與背側垂直導線172導電連接。閘極導體158透過通孔連接器1BVG2與背側水平導 線186導電連接,且背側水平導線186透過通孔連接器1BV0C與背側垂直導線175導電連接。端子導體138(在第1A圖中)透過通孔連接器1BVD1與背側水平導線182導電連接,且背側水平導線182透過通孔連接器1BV0A與背側垂直導線178導電連接。 As specified in the layout diagrams of Figures 4A-4E, the inverter circuit 400 includes backside horizontal conductors 181, 182, 184, and 186 in the backside first conductive layer beneath the substrate. The inverter circuit 400 also includes backside vertical conductors 172, 175, and 178 in the backside second conductive layer below the backside first conductive layer. The gate conductor 152 is electrically connected to the backside horizontal conductor 184 through the through-hole connector 1BVG1, and the backside horizontal conductor 184 is electrically connected to the backside vertical conductor 172 through the through-hole connector 1BV0B. Gate conductor 158 is connected to the backside horizontal conductor through through hole connector 1BVG2 The line 186 is electrically connected, and the backside horizontal wire 186 is electrically connected to the backside vertical wire 175 through the through-hole connector 1BV0C. Terminal conductor 138 (in FIG. 1A ) is electrically connected to backside horizontal conductor 182 through through-hole connector 1BVD1, and backside horizontal conductor 182 is electrically conductively connected to backside vertical conductor 178 through through-hole connector 1BV0A.

如同第4A圖至第4E圖的佈局圖所指定的,在反或閘電路400中,背側垂直導線178包括第一部分178A與第二部分178B。第一部分178A覆蓋背側水平導線182與背側垂直導線178之間的重疊區域,而第二部分178B在重疊區域之外。第一部分178A具有第一寬度「Wa」且第二部分具有第二寬度「Wb」。第一寬度「Wa」大於第二寬度「Wb」。在一些實施例中,第一寬度「Wa」比第二寬度「Wb」大超過一個接觸多晶間距(CPP)的八分之一的量。在一些實施例中,第一寬度「Wa」比第二寬度「Wb」大超過一個接觸多晶間距(CPP)的四分之一的量。在一些實施例中,第一寬度「Wa」比第二寬度「Wb」足夠大以允許接腳連接器1BV0A的定位而不會產生設計規則違例。在一些實施例中,電路單元具有的單元寬度小於或等於接觸多晶間距(CPP)的三倍,用於此電路單元之定位接腳連接器的靈活性改善了積體電路設計中的佈局區域覆蓋率(layout area coverages)。 As specified in the layout diagrams of Figures 4A-4E, in the inverter circuit 400, the backside vertical conductor 178 includes a first portion 178A and a second portion 178B. The first portion 178A covers the overlap area between the backside horizontal conductor 182 and the backside vertical conductor 178, while the second portion 178B is outside the overlap area. The first portion 178A has a first width "Wa" and the second portion has a second width "Wb." The first width "Wa" is greater than the second width "Wb". In some embodiments, the first width "Wa" is greater than the second width "Wb" by more than one eighth of a contact poly pitch (CPP). In some embodiments, the first width "Wa" is greater than the second width "Wb" by more than one quarter of a contact poly pitch (CPP). In some embodiments, the first width "Wa" is sufficiently larger than the second width "Wb" to allow positioning of pin connector 1BV0A without creating a design rule violation. In some embodiments, flexibility in locating pin connectors for circuit cells having a cell width less than or equal to three times the contact poly pitch (CPP) improves layout area in integrated circuit designs. Coverage (layout area coverages).

在一些實施例中,例如在第4A圖的反或閘電路400中,背側水平導線182沿著X方向延伸而以距離「Δ」延伸跨越垂直單元邊界119。在一些實施例中,背側水平 導線182延伸跨越垂直單元邊界119的距離「Δ」小於一個接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的八分之一。在一些實施例中,背側水平導線182延伸跨越垂直單元邊界119的距離「Δ」小於一個接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的四分之一。在一些實施例中,背側水平導線182延伸跨越垂直單元邊界119的距離「Δ」小於一個接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的一半。在一些實施例中,距離「Δ」被選擇為足夠大以減輕與背側垂直導線178與背側水平導線182之間的接腳連接器連接相關聯的設計規則違例。在一些實施例中,距離「Δ」被選擇為小於一個接觸多晶間距(CPP),使得從垂直單元邊界119到相鄰單元的垂直單元邊界的水平間隙(gap)距離減小到最小距離以減輕與接腳連接器連接相關聯的設計規則違例。 In some embodiments, such as in the NOR circuit 400 of FIG. 4A , the backside horizontal conductor 182 extends along the X direction across the vertical cell boundary 119 at a distance "Δ". In some embodiments, the dorsal level The distance "[Delta]" that the wires 182 extend across the vertical cell boundary 119 is less than one contact poly pitch (CPP) but greater than one-eighth of the contact poly pitch (CPP). In some embodiments, the backside horizontal conductor 182 extends across the vertical cell boundary 119 a distance "Δ" that is less than one contact poly pitch (CPP) but greater than one quarter of the contact poly pitch (CPP). In some embodiments, the backside horizontal conductor 182 extends across the vertical cell boundary 119 by a distance "Δ" that is less than one contact poly pitch (CPP) but greater than half the contact poly pitch (CPP). In some embodiments, distance "Δ" is selected to be large enough to mitigate design rule violations associated with pin connector connections between backside vertical conductors 178 and backside horizontal conductors 182 . In some embodiments, distance "Δ" is selected to be less than one contact poly pitch (CPP) such that the horizontal gap distance from vertical cell boundary 119 to the vertical cell boundary of an adjacent cell is reduced to a minimum distance of Mitigates design rule violations associated with pin connector connections.

在一些實施例中,例如在第4B圖的反或閘電路400中,背側水平導線182延伸跨越垂直單元邊界119。在一些實施例中,例如在第4C圖的反或閘電路400中,背側垂直導線178的第一部分178A延伸跨越垂直單元邊界119,而背側垂直導線178的第二部分178B不延伸跨越垂直單元邊界119。在一些實施例中,例如在第4D圖的反或閘電路400中,背側垂直導線178的第一部分178A和第二部分178B都延伸跨越垂直單元邊界119。 In some embodiments, such as in the inverter circuit 400 of Figure 4B, the backside horizontal conductors 182 extend across the vertical cell boundary 119. In some embodiments, such as in the NOR circuit 400 of FIG. 4C , the first portion 178A of the backside vertical conductor 178 extends across the vertical cell boundary 119 while the second portion 178B of the backside vertical conductor 178 does not extend across the vertical cell boundary 119 . Cell boundary 119. In some embodiments, such as in the inverter circuit 400 of FIG. 4D , both the first portion 178A and the second portion 178B of the backside vertical conductor 178 extend across the vertical cell boundary 119 .

在一些實施例中,例如在第4E圖的反或閘電路400中,雖然背側垂直導線178不延伸跨越垂直單元邊界 119,但第一部分178A的第一寬度「Wa」增加,為接腳連接器(即通孔連接器1BV0A)到背側水平導線182上的定位提供更大的靈活性。此外,背側垂直導線175(與背側垂直導線178相鄰)也被修改,以避免設計規則違例。 In some embodiments, such as in the inverter circuit 400 of Figure 4E, although the backside vertical conductors 178 do not extend across vertical cell boundaries 119, but the first width "Wa" of first portion 178A is increased to provide greater flexibility in positioning the pin connector (ie, through-hole connector 1BV0A) onto the backside horizontal conductor 182. Additionally, backside vertical conductor 175 (adjacent to backside vertical conductor 178) is also modified to avoid design rule violations.

第5A圖至第5B圖為根據一些實施例的反及閘(NAND gate)電路500的佈局圖。第5A圖至第5B圖的佈局圖包括多個佈局圖案,所述多個佈局圖案用於指定p型主動區結構80p、n型主動區結構80n、多個閘極導體(552及558)、端子導體(532p、532n、535p、535n及538)、以及虛置閘極導體(151及159)。反及閘電路500位於以單元邊界110為邊界的單元中,且單元寬度以兩個垂直單元邊界111及119為邊界。第5A圖的佈局圖還包括多個佈局圖案,所述多個佈局圖案用於指定電源軌(40及20)、前側第一層導線(522、524及526)、以及各種通孔連接器。第5B圖的佈局圖還包括多個佈局圖案,所述多個佈局圖案用於指定背側水平導線(581、582、584及586)、背側垂直導線(572、575及578)、以及各種通孔連接器。 Figures 5A to 5B are layout diagrams of a NAND gate circuit 500 according to some embodiments. The layout diagrams of Figures 5A to 5B include a plurality of layout patterns for specifying a p-type active region structure 80p, an n-type active region structure 80n, a plurality of gate conductors (552 and 558), Terminal conductors (532p, 532n, 535p, 535n and 538), and dummy gate conductors (151 and 159). The NAND gate circuit 500 is located in a cell bounded by a cell boundary 110, and the cell width is bounded by two vertical cell boundaries 111 and 119. The layout diagram of Figure 5A also includes multiple layout patterns that designate power rails (40 and 20), front side first layer conductors (522, 524, and 526), and various through-hole connectors. The layout diagram of Figure 5B also includes a plurality of layout patterns for specifying backside horizontal conductors (581, 582, 584, and 586), backside vertical conductors (572, 575, and 578), and various Through hole connector.

在由第5A圖至第5B圖的佈局圖所指定的反及閘電路500中,閘極導體552在p型電晶體pA1的通道區處與p型主動區結構80p相交,且在n型電晶體nA1的通道區處與n型主動區結構80n相交。閘極導體558在p型電晶體pA2的通道區處與p型主動區結構80p相交,且在n型電晶體nA2的通道區處與n型主動區結構80n 相交。端子導體532p與535p在p型電晶體pA2與pA1的各個源極/汲極區處與p型主動區結構80p相交。端子導體532n與535n在n型電晶體nA2與nA1的各個源極/汲極區處與n型主動區結構80n相交。端子導體538在p型電晶體pA1的汲極區和n型電晶體nA1的汲極區對應地與p型主動區結構80p和n型主動區結構80n相交。 In the NAND gate circuit 500 specified in the layout diagrams of Figures 5A-5B, the gate conductor 552 intersects the p-type active region structure 80p at the channel region of the p-type transistor pA1, and at the n-type transistor pA1 The channel region of crystal nA1 intersects with the n-type active region structure 80n. Gate conductor 558 intersects p-type active region structure 80p at the channel region of p-type transistor pA2 and intersects n-type active region structure 80n at the channel region of n-type transistor nA2 intersect. Terminal conductors 532p and 535p intersect p-type active region structure 80p at respective source/drain regions of p-type transistors pA2 and pA1. Terminal conductors 532n and 535n intersect n-type active region structure 80n at respective source/drain regions of n-type transistors nA2 and nA1. The terminal conductor 538 intersects the p-type active region structure 80p and the n-type active region structure 80n at the drain region of the p-type transistor pA1 and the drain region of the n-type transistor nA1, respectively.

在由第5A圖至第5B圖的佈局圖所指定的反及閘電路500中,前側第一層導線(522、524及526)與電源軌(40及20)位於基板上方的第一連接層中。在反及閘電路500中,端子導體535p透過通孔連接器5VDdd與電源軌40導電連接,且電源軌40被配置為提供第一供應電壓VDD。端子導體532n透過通孔連接器5VDss與電源軌20導電連接,且電源軌20被配置為提供第二供應電壓VSS。前側第一層導線522透過通孔連接器5VD1與端子導體532p導電連接,且透過通孔連接器5VD2與端子導體538導電連接。 In the NAND gate circuit 500 specified in the layout diagram of Figures 5A-5B, the first layer of front-side conductors (522, 524 and 526) and the power rails (40 and 20) are located on the first connection layer above the substrate middle. In the NAND gate circuit 500, the terminal conductor 535p is electrically connected to the power rail 40 through the through-hole connector 5VDdd, and the power rail 40 is configured to provide the first supply voltage VDD. The terminal conductor 532n is electrically connected to the power rail 20 through the through-hole connector 5VDss, and the power rail 20 is configured to provide the second supply voltage VSS. The front first layer wire 522 is electrically connected to the terminal conductor 532p through the through-hole connector 5VD1, and is electrically connected to the terminal conductor 538 through the through-hole connector 5VD2.

在反及閘電路500中,端子導體538(在第5A圖中)還透過穿過基板的通孔連接器5BVD1與背側水平導線582(在第5B圖中)導電連接。此外,閘極導體552(在第5A圖中)透過通孔連接器5BVG1與背側水平導線584(在第5B圖中)導電連接,且閘極導體558(在第5A圖中)透過通孔連接器5BVG2與背側水平導線586(在第5B圖中)導電連接。 In the NAND gate circuit 500, the terminal conductor 538 (in FIG. 5A) is also conductively connected to the backside horizontal conductor 582 (in FIG. 5B) through the through-substrate through-hole connector 5BVD1. Additionally, gate conductor 552 (in Figure 5A) is electrically connected to backside horizontal conductor 584 (in Figure 5B) through through-hole connector 5BVG1, and gate conductor 558 (in Figure 5A) through the through-hole Connector 5BVG2 is electrically connected to backside horizontal conductor 586 (in Figure 5B).

在反及閘電路500中,背側水平導線582透過通孔連接器5BV0A與背側垂直導線578導電連接。背側水平導線584透過通孔連接器5BV0B與背側垂直導線572導電連接。背側水平導線586透過通孔連接器5BV0C與背側垂直導線575導電連接。在反及閘電路500中,背側水平導線581、582、584及586位於基板下方的背側第一導電層中。背側垂直導線572、575及578位於背側第一導電層及基板50下方的背側第二導電層中。 In the NAND gate circuit 500, the backside horizontal conductor 582 is electrically connected to the backside vertical conductor 578 through the through-hole connector 5BV0A. The backside horizontal wire 584 is electrically connected to the backside vertical wire 572 through the through-hole connector 5BV0B. The backside horizontal wire 586 is electrically connected to the backside vertical wire 575 through the through-hole connector 5BV0C. In the NAND gate circuit 500, the backside horizontal conductors 581, 582, 584 and 586 are located in the backside first conductive layer under the substrate. The backside vertical conductors 572 , 575 and 578 are located in the backside first conductive layer and the backside second conductive layer below the substrate 50 .

在反及閘電路500中,背側垂直導線572、通孔連接器5BV0B及背側水平導線584導電連接在一起以承載反及閘電路500的輸入訊號「A1」。背側垂直導線575、通孔連接器5BV0C及背側水平導線586導電連接在一起以承載反及閘電路500的輸入訊號「A2」。背側垂直導線578、通孔連接器5BV0A及背側水平導線582導電連接在一起以承載反及閘電路500的輸出訊號「ZN」。 In the NAND gate circuit 500 , the backside vertical conductor 572 , the through-hole connector 5BV0B and the backside horizontal conductor 584 are conductively connected together to carry the input signal “A1” of the NAND gate circuit 500 . The backside vertical conductor 575, the through-hole connector 5BV0C and the backside horizontal conductor 586 are conductively connected together to carry the input signal "A2" of the NAND gate circuit 500. The backside vertical conductor 578, the through-hole connector 5BV0A and the backside horizontal conductor 582 are conductively connected together to carry the output signal "ZN" of the NAND gate circuit 500.

在反及閘電路500中,通孔連接器5BV0A用作沿著Z方向延伸的接腳連接器,用於將背側垂直導線578連接到承載反及閘電路500的輸出訊號「ZN」的背側水平導線582。在一些實施例中,當背側水平導線582延伸跨越垂直單元邊界119時,為接腳連接器(即,通孔連接器5BV0A)的定位提供了更大的靈活性,而不會產生設計規則違例。在第5B圖中,背側水平導線582沿著X方向延伸而以距離「Δ」延伸跨越垂直單元邊界119。在一些實施例中,背側水平導線582延伸跨越垂直單元邊界119 的距離「Δ」小於一個接觸多晶間距(CPP)。在一些實施例中,背側水平導線582延伸跨越垂直單元邊界119的距離「Δ」大於接觸多晶間距(CPP)的八分之一、接觸多晶間距(CPP)的四分之一、或接觸多晶間距(CPP)的一半。在一些實施例中,距離「Δ」被選擇為足夠大以減輕與背側垂直導線578與背側水平導線582之間的接腳連接器連接相關聯的設計規則違例。在一些實施例中,距離「Δ」被選擇為小於一個接觸多晶間距(CPP),使得從垂直單元邊界119到相鄰單元的垂直單元邊界的水平間隙(gap)距離減小到最小距離以減輕與接腳連接器連接相關聯的設計規則違例。 In the NAND gate circuit 500, the through-hole connector 5BV0A is used as a pin connector extending along the Z direction for connecting the backside vertical conductor 578 to the backside carrying the output signal "ZN" of the NAND gate circuit 500. Side horizontal wire 582. In some embodiments, when backside horizontal conductors 582 extend across vertical cell boundaries 119, greater flexibility is provided for the positioning of pin connectors (ie, through-hole connectors 5BV0A) without incurring design rules Violation. In Figure 5B, the backside horizontal conductor 582 extends along the X direction across the vertical cell boundary 119 at a distance "Δ". In some embodiments, backside horizontal conductors 582 extend across vertical cell boundaries 119 The distance "Δ" is less than one Contact Poly Pitch (CPP). In some embodiments, the backside horizontal conductor 582 extends across the vertical cell boundary 119 a distance "Δ" that is greater than one-eighth of the contact poly pitch (CPP), one-quarter of the contact poly pitch (CPP), or Contact half the polycrystalline spacing (CPP). In some embodiments, distance "Δ" is selected to be large enough to mitigate design rule violations associated with pin connector connections between backside vertical conductors 578 and backside horizontal conductors 582 . In some embodiments, distance "Δ" is selected to be less than one contact poly pitch (CPP) such that the horizontal gap distance from vertical cell boundary 119 to the vertical cell boundary of an adjacent cell is reduced to a minimum distance of Mitigates design rule violations associated with pin connector connections.

第6A圖至第6B圖為根據一些實施例的反向器(inverter)電路600的佈局圖。第6A圖至第6B圖的佈局圖包括多個佈局圖案,所述多個佈局圖案用於指定p型主動區結構80p、n型主動區結構80n、多個閘極導體(652及658)、多個端子導體(632、635p、635n及638)、以及虛置閘極導體(151及159)。反向器電路600位於以單元邊界110為邊界的單元中,且單元寬度以兩個垂直單元邊界111及119為邊界。第6A圖的佈局圖還包括多個佈局圖案,所述多個佈局圖案用於指定電源軌(40及20)、前側第一層導線(622、624及626)、以及各種通孔連接器。第6B圖的佈局圖還包括多個佈局圖案,所述多個佈局圖案用於指定背側水平導線(681、682、684及686)、背側垂直導線(672、675及678)、以及各種通孔連接器。 Figures 6A-6B are layout diagrams of an inverter circuit 600 according to some embodiments. The layout diagrams of FIGS. 6A to 6B include multiple layout patterns for specifying the p-type active region structure 80p, the n-type active region structure 80n, a plurality of gate conductors (652 and 658), A plurality of terminal conductors (632, 635p, 635n, and 638), and dummy gate conductors (151 and 159). The inverter circuit 600 is located in a cell bounded by cell boundary 110, and the cell width is bounded by two vertical cell boundaries 111 and 119. The layout diagram of Figure 6A also includes multiple layout patterns for specifying power rails (40 and 20), front side first layer conductors (622, 624, and 626), and various through-hole connectors. The layout diagram of Figure 6B also includes a plurality of layout patterns for specifying the backside horizontal conductors (681, 682, 684, and 686), the backside vertical conductors (672, 675, and 678), and various Through hole connector.

在由第6A圖至第6B圖的佈局圖所指定的反向器電路600中,閘極導體652在p型電晶體pA1的通道區處與p型主動區結構80p相交,且在n型電晶體nA1的通道區處與n型主動區結構80n相交。閘極導體658在p型電晶體pA2的通道區處與p型主動區結構80p相交,且在n型電晶體nA2的通道區處與n型主動區結構80n相交。端子導體635p在p型電晶體pA2與pA1的源極區處與p型主動區結構80p相交。端子導體635n在n型電晶體nA2與nA1的源極區處與n型主動區結構80n相交。端子導體632在p型電晶體pA1的汲極區和n型電晶體nA1的汲極區對應地與p型主動區結構80p和n型主動區結構80n相交。端子導體638在p型電晶體pA2的汲極區和n型電晶體nA2的汲極區對應地與p型主動區結構80p和n型主動區結構80n相交。 In the inverter circuit 600 specified in the layout diagram of Figures 6A-6B, the gate conductor 652 intersects the p-type active region structure 80p at the channel region of the p-type transistor pA1, and at the n-type transistor pA1 The channel region of crystal nA1 intersects with the n-type active region structure 80n. Gate conductor 658 intersects p-type active region structure 80p at the channel region of p-type transistor pA2 and intersects n-type active region structure 80n at the channel region of n-type transistor nA2. Terminal conductor 635p intersects p-type active region structure 80p at the source regions of p-type transistors pA2 and pA1. Terminal conductor 635n intersects n-type active region structure 80n at the source regions of n-type transistors nA2 and nA1. The terminal conductor 632 intersects the p-type active region structure 80p and the n-type active region structure 80n at the drain region of the p-type transistor pA1 and the drain region of the n-type transistor nA1, respectively. Terminal conductor 638 intersects p-type active region structure 80p and n-type active region structure 80n at the drain region of p-type transistor pA2 and the drain region of n-type transistor nA2, respectively.

在由第6A圖至第6B圖的佈局圖所指定的反向器電路600中,前側第一層導線(622、624及626)與電源軌(40及20)位於基板上方的第一連接層中。在反向器電路600中,端子導體635p透過通孔連接器6VDdd與電源軌40導電連接,且電源軌40被配置為提供第一供應電壓VDD。端子導體635n透過通孔連接器6VDss與電源軌20導電連接,且電源軌20被配置為提供第二供應電壓VSS。前側第一層導線626透過通孔連接器6VD1與端子導體632導電連接,且透過通孔連接器6VD2與端子導體638導電連接。 In the inverter circuit 600 specified in the layout diagram of Figures 6A-6B, the first layer of front-side conductors (622, 624, and 626) and the power rails (40 and 20) are located on the first connection layer above the substrate middle. In the inverter circuit 600, the terminal conductor 635p is electrically connected to the power rail 40 through the through-hole connector 6VDdd, and the power rail 40 is configured to provide the first supply voltage VDD. The terminal conductor 635n is electrically connected to the power rail 20 through the through-hole connector 6VDss, and the power rail 20 is configured to provide the second supply voltage VSS. The front first layer wire 626 is electrically connected to the terminal conductor 632 through the through-hole connector 6VD1, and is electrically connected to the terminal conductor 638 through the through-hole connector 6VD2.

在反向器電路600中,端子導體638(在第6A圖中)還透過穿過基板的通孔連接器6BVD1與背側水平導線682(在第6B圖中)導電連接。此外,背側水平導線684(在第6B圖中)透過通孔連接器6BVG1與閘極導體652導電連接,且透過通孔連接器6BVG2與閘極導體658導電連接。 In inverter circuit 600, terminal conductor 638 (in Figure 6A) is also conductively connected to backside horizontal conductor 682 (in Figure 6B) through through-substrate through-hole connector 6BVD1. Additionally, backside horizontal conductor 684 (in Figure 6B) is electrically connected to gate conductor 652 through via connector 6BVG1 and to gate conductor 658 through via connector 6BVG2.

在反向器電路600中,背側水平導線682透過通孔連接器6BV0A與背側垂直導線678導電連接。背側水平導線684透過通孔連接器6BV0B與背側垂直導線672導電連接。在反向器電路600中,背側水平導線681、682、684及686位於基板下方的背側第一導電層中。背側垂直導線672、675及678位於背側第一導電層下方的背側第二導電層中。在反向器電路600中,背側垂直導線672用作反向器電路600的輸入節點「IN」,背側垂直導線678用作反向器電路600的輸出節點「ZN」。 In the inverter circuit 600, the backside horizontal conductor 682 is electrically connected to the backside vertical conductor 678 through the through-hole connector 6BV0A. The backside horizontal conductor 684 is electrically connected to the backside vertical conductor 672 through the through-hole connector 6BV0B. In inverter circuit 600, backside horizontal conductors 681, 682, 684, and 686 are located in the backside first conductive layer beneath the substrate. Backside vertical conductors 672, 675, and 678 are located in the backside second conductive layer below the backside first conductive layer. In the inverter circuit 600 , the backside vertical conductor 672 serves as the input node “IN” of the inverter circuit 600 and the backside vertical conductor 678 serves as the output node “ZN” of the inverter circuit 600 .

在反向器電路600中,通孔連接器6BV0A用作沿著Z方向延伸的接腳連接器,用於將背側垂直導線678連接到承載反向器電路600的輸出訊號「ZN」的背側水平導線682。在一些實施例中,當背側水平導線682延伸跨越垂直單元邊界119時,為接腳連接器(即,通孔連接器6BV0A)的定位提供了更大的靈活性,而不會產生設計規則違例。在第6B圖中,背側水平導線682沿著X方向延伸而以距離「Δ」延伸跨越垂直單元邊界119。在一些實施例中,背側水平導線682延伸跨越垂直單元邊界119 的距離「Δ」小於一個接觸多晶間距(CPP)。在一些實施例中,背側水平導線682延伸跨越垂直單元邊界119的距離「Δ」大於接觸多晶間距(CPP)的八分之一、接觸多晶間距(CPP)的四分之一、或接觸多晶間距(CPP)的一半。在一些實施例中,距離「Δ」被選擇為足夠大以減輕與背側垂直導線678與背側水平導線682之間的接腳連接器連接相關聯的設計規則違例。在一些實施例中,距離「Δ」被選擇為小於一個接觸多晶間距(CPP),使得從垂直單元邊界119到相鄰單元的垂直單元邊界的水平間隙(gap)距離減小到最小距離以減輕與接腳連接器連接相關聯的設計規則違例。 In the inverter circuit 600, the through-hole connector 6BV0A serves as a pin connector extending along the Z direction for connecting the backside vertical conductor 678 to the backside carrying the output signal "ZN" of the inverter circuit 600. Side horizontal wire 682. In some embodiments, when backside horizontal conductors 682 extend across vertical cell boundary 119, greater flexibility is provided for the positioning of pin connectors (i.e., through-hole connectors 6BV0A) without incurring design rules Violation. In Figure 6B, the backside horizontal conductor 682 extends along the X direction across the vertical cell boundary 119 at a distance "Δ". In some embodiments, backside horizontal conductors 682 extend across vertical cell boundaries 119 The distance "Δ" is less than one Contact Poly Pitch (CPP). In some embodiments, the backside horizontal conductor 682 extends across the vertical cell boundary 119 by a distance "Δ" that is greater than one-eighth of the contact poly pitch (CPP), one-quarter of the contact poly pitch (CPP), or Contact half the polycrystalline spacing (CPP). In some embodiments, distance "Δ" is selected to be large enough to mitigate design rule violations associated with pin connector connections between backside vertical conductors 678 and backside horizontal conductors 682 . In some embodiments, distance "Δ" is selected to be less than one contact poly pitch (CPP) such that the horizontal gap distance from vertical cell boundary 119 to the vertical cell boundary of an adjacent cell is reduced to a minimum distance of Mitigates design rule violations associated with pin connector connections.

第7A圖至第7B圖為根據一些實施例的反向器電路700的佈局圖。第7A圖至第7B圖的佈局圖包括多個佈局圖案,所述多個佈局圖案用於指定p型主動區結構80p、n型主動區結構80n、閘極導體758、多個端子導體(735p、735n及738)、以及虛置閘極導體(151及159)反向器電路700位於以單元邊界110為邊界的單元中,且單元寬度以兩個垂直單元邊界111及119為邊界。第7A圖的佈局圖還包括多個佈局圖案,所述多個佈局圖案用於指定電源軌(40及20)、前側第一層導線(722、724及726)、以及各種通孔連接器。第7B圖的佈局圖還包括多個佈局圖案,所述多個佈局圖案用於指定背側水平導線(782、784及786)、背側垂直導線(775及778)、以及各種通孔連接器。 Figures 7A-7B are layout diagrams of an inverter circuit 700 according to some embodiments. The layout diagrams of Figures 7A-7B include a plurality of layout patterns for specifying a p-type active region structure 80p, an n-type active region structure 80n, a gate conductor 758, a plurality of terminal conductors (735p , 735n and 738), and dummy gate conductors (151 and 159). Inverter circuit 700 is located in a cell bounded by cell boundary 110, and the cell width is bounded by two vertical cell boundaries 111 and 119. The layout diagram of Figure 7A also includes multiple layout patterns that designate power rails (40 and 20), front side first layer conductors (722, 724, and 726), and various through-hole connectors. The layout diagram of Figure 7B also includes multiple layout patterns for specifying backside horizontal conductors (782, 784, and 786), backside vertical conductors (775 and 778), and various through-hole connectors .

在由第7A圖至第7B圖的佈局圖所指定的反向器電路700中,閘極導體758在p型電晶體Tp的通道區處與p型主動區結構80p相交,且在n型電晶體Tn的通道區處與n型主動區結構80n相交。端子導體735p在p型電晶體Tp的源極區處與p型主動區結構80p相交。端子導體735n在n型電晶體Tn的源極區處與n型主動區結構80n相交。端子導體738在p型電晶體Tp的汲極區和n型電晶體Tn的汲極區對應地與p型主動區結構80p和n型主動區結構80n相交。 In the inverter circuit 700 specified in the layout diagram of Figures 7A-7B, the gate conductor 758 intersects the p-type active region structure 80p at the channel region of the p-type transistor Tp, and at the n-type transistor Tp The channel region of crystal Tn intersects with the n-type active region structure 80n. Terminal conductor 735p intersects p-type active region structure 80p at the source region of p-type transistor Tp. Terminal conductor 735n intersects n-type active region structure 80n at the source region of n-type transistor Tn. Terminal conductor 738 intersects p-type active region structure 80p and n-type active region structure 80n at the drain region of p-type transistor Tp and the drain region of n-type transistor Tn, respectively.

在由第7A圖至第7B圖的佈局圖所指定的反向器電路700中,前側第一層導線(722、724及726)與電源軌(40及20)位於基板上方的第一連接層中。在反向器電路700中,端子導體735p透過通孔連接器7VDdd與電源軌40導電連接,且電源軌40被配置為提供第一供應電壓VDD。端子導體735n透過通孔連接器7VDss與電源軌20導電連接,且電源軌20被配置為提供第二供應電壓VSS。 In the inverter circuit 700 specified in the layout diagram of Figures 7A-7B, the first layer of front-side conductors (722, 724, and 726) and the power rails (40 and 20) are located on the first connection layer above the substrate middle. In the inverter circuit 700, the terminal conductor 735p is electrically connected to the power rail 40 through the through-hole connector 7VDdd, and the power rail 40 is configured to provide the first supply voltage VDD. The terminal conductor 735n is electrically connected to the power rail 20 through the through-hole connector 7VDss, and the power rail 20 is configured to provide the second supply voltage VSS.

在反向器電路700中,端子導體738(在第7A圖中)還透過穿過基板的通孔連接器7BVD1與背側水平導線782(在第7B圖中)導電連接。此外,閘極導體758透過通孔連接器7BVG1與背側水平導線784導電連接。 In inverter circuit 700, terminal conductor 738 (in Figure 7A) is also conductively connected to backside horizontal conductor 782 (in Figure 7B) through through-substrate through-hole connector 7BVD1. In addition, the gate conductor 758 is electrically connected to the backside horizontal conductor 784 through the through-hole connector 7BVG1.

在反向器電路700中,背側水平導線782透過通孔連接器7BV0A與背側垂直導線778導電連接。背側水平導線784透過通孔連接器7BV0B與背側垂直導線775 導電連接。在反向器電路700中,背側水平導線782、784及786位於基板下方的背側第一導電層中。背側垂直導線772、775及778位於背側第一導電層下方的背側第二導電層中。在反向器電路700中,背側垂直導線775用作反向器電路700的輸入節點「IN」,背側垂直導線778用作反向器電路700的輸出節點「ZN」。 In the inverter circuit 700, the backside horizontal conductor 782 is electrically connected to the backside vertical conductor 778 through the through-hole connector 7BV0A. The backside horizontal conductor 784 passes through the through-hole connector 7BV0B and the backside vertical conductor 775 Conductive connection. In inverter circuit 700, backside horizontal conductors 782, 784, and 786 are located in the backside first conductive layer beneath the substrate. Backside vertical conductors 772, 775, and 778 are located in the backside second conductive layer below the backside first conductive layer. In the inverter circuit 700, the backside vertical conductor 775 serves as the input node "IN" of the inverter circuit 700, and the backside vertical conductor 778 serves as the output node "ZN" of the inverter circuit 700.

在反向器電路700中,通孔連接器7BV0A用作沿著Z方向延伸的接腳連接器,用於將背側垂直導線778連接到承載反向器電路700的輸出訊號「ZN」的背側水平導線782。在一些實施例中,當背側水平導線782延伸跨越垂直單元邊界119時,為接腳連接器(即,通孔連接器7BV0A)的定位提供了更大的靈活性,而不會產生設計規則違例。在一些實施例中,電路單元具有的單元寬度小於或等於接觸多晶間距(CPP)的兩倍,用於此電路單元之定位接腳連接器的靈活性改善了積體電路設計中的佈局區域覆蓋率。在第7B圖中,背側水平導線782沿著X方向延伸而以距離「Δ」延伸跨越垂直單元邊界119。在一些實施例中,背側水平導線782延伸跨越垂直單元邊界119的距離「Δ」小於一個接觸多晶間距(CPP)。在一些實施例中,背側水平導線782延伸跨越垂直單元邊界119的距離「Δ」大於接觸多晶間距(CPP)的八分之一、接觸多晶間距(CPP)的四分之一、或接觸多晶間距(CPP)的一半。在一些實施例中,距離「Δ」被選擇為足夠大以減輕與背側垂直導線778與背側水平導線782之間的接腳連接器連 接相關聯的設計規則違例。在一些實施例中,距離「Δ」被選擇為小於一個接觸多晶間距(CPP),使得從垂直單元邊界119到相鄰單元的垂直單元邊界的水平間隙(gap)距離減小到最小距離以減輕與接腳連接器連接相關聯的設計規則違例。 In the inverter circuit 700, the through-hole connector 7BV0A is used as a pin connector extending along the Z direction for connecting the backside vertical conductor 778 to the backside carrying the output signal "ZN" of the inverter circuit 700. Side horizontal wire 782. In some embodiments, when backside horizontal conductors 782 extend across vertical cell boundaries 119, greater flexibility is provided for the positioning of pin connectors (i.e., through-hole connectors 7BV0A) without incurring design rules. Violation. In some embodiments, flexibility in locating pin connectors for circuit cells having a cell width less than or equal to twice the contact poly pitch (CPP) improves layout area in integrated circuit designs. Coverage. In Figure 7B, the backside horizontal conductor 782 extends along the X direction across the vertical cell boundary 119 at a distance "Δ". In some embodiments, the backside horizontal conductor 782 extends a distance "Δ" across the vertical cell boundary 119 that is less than one contact poly pitch (CPP). In some embodiments, the backside horizontal conductor 782 extends across the vertical cell boundary 119 a distance "Δ" that is greater than one-eighth of the contact poly pitch (CPP), one-fourth of the contact poly pitch (CPP), or Contact half the polycrystalline spacing (CPP). In some embodiments, the distance "Δ" is selected to be large enough to ease the connection between the backside vertical conductors 778 and the backside horizontal conductors 782. associated design rule violation. In some embodiments, distance "Δ" is selected to be less than one contact poly pitch (CPP) such that the horizontal gap distance from vertical cell boundary 119 to the vertical cell boundary of an adjacent cell is reduced to a minimum distance of Mitigates design rule violations associated with pin connector connections.

第8圖為根據一些實施例的設計積體電路的製程800的流程圖。參考第9A圖至第9C圖的佈局圖作為示例來解釋第8圖中的製程800。第9A圖至第9C圖是根據一些實施例的單元900的佈局圖。如第9A圖至第9C圖所示,單元900包括在第一背側金屬層(BM0)中的背側水平導線(981、982、984及986)以及在第二背側金屬層(BM1)中的背側垂直導線(972、975及978)。通孔連接器9BV0A(用作接腳連接器)將背側垂直導線978連接到背側水平導線982。 Figure 8 is a flow diagram of a process 800 for designing an integrated circuit according to some embodiments. The process 800 in FIG. 8 is explained with reference to the layout diagrams of FIGS. 9A to 9C as an example. Figures 9A-9C are layout diagrams of unit 900 according to some embodiments. As shown in Figures 9A-9C, cell 900 includes backside horizontal conductors (981, 982, 984, and 986) in a first backside metal layer (BM0) and a second backside metal layer (BM1) dorsal vertical wires (972, 975 and 978) in . Through-hole connector 9BV0A (acting as a pin connector) connects the backside vertical conductor 978 to the backside horizontal conductor 982 .

在第8圖中,製程800從設計流程的第一部分805開始。第一部分805包括在背側導線的佈局與佈線(placement and routing)之前的設計操作。設計流程的第一部分805中的示例操作包括基板的前側處的各種元件的佈局規劃(floor planning)、分區(partitioning)、電源規劃(power planning)以及佈局與佈線(placement and routing)。在製程800完成設計流程的第一部分805之後,製程800進行到操作810,其對各種背側導線進行自動佈局佈線(auto placement and routing,APR)。然後,在操作810之後,製程800進 行到操作820,其對背側導線的佈局設計進行設計規則檢查(design rule check,DRC)。佈局設計的設計規則是對佈局設計施加的幾何約束(geometric constraint),以確保基於佈局設計的相應電路能夠正常可靠地運行,並且相應的電路也可以以可接受的良率生產。進行設計規則檢查以確保佈局設計不違反設計規則。如果背側導線的佈局設計通過了設計規則檢查,則製程800進行到設計流程的剩餘部分895。另一方面,如果背側導線的佈局設計未能通過設計規則檢查,則製程800進行到操作832。設計規則檢查(DRC)失敗的一個示例是當兩條背側導線之間的間隔變得太小時。設計規則檢查(DRC)失敗的另一個示例是當接腳連接器的位置太靠近背側導線的邊緣時。 In Figure 8, process 800 begins with the first part 805 of the design flow. The first part 805 includes design operations prior to placement and routing of backside conductors. Example operations in the first part of the design flow 805 include floor planning, partitioning, power planning, and placement and routing of various components at the front side of the substrate. After the process 800 completes the first part 805 of the design flow, the process 800 proceeds to operation 810, which performs automatic placement and routing (APR) of various backside conductors. Then, after operation 810, process 800 proceeds Go to operation 820, which performs a design rule check (DRC) on the layout design of the backside conductors. The design rules of layout design are geometric constraints imposed on the layout design to ensure that the corresponding circuit based on the layout design can operate normally and reliably, and that the corresponding circuit can also be produced with an acceptable yield. Design rule checks are performed to ensure that the layout design does not violate design rules. If the layout design of the backside conductors passes the design rule check, the process 800 proceeds to the remainder of the design flow 895 . On the other hand, if the layout design of the backside conductors fails the design rule check, the process 800 proceeds to operation 832 . An example of a Design Rule Check (DRC) failure is when the separation between two backside wires becomes too small. Another example of a Design Rule Check (DRC) failure is when the pin connector is positioned too close to the edge of the backside conductor.

於操作832,分析單元900附近的佈局區域(在操作820發現其具有至少一個設計規則違例)以確定單元900的位置是否可移動。如果單元900的位置可移動,則製程800進行到操作838以修復設計規則違例,且單元900的位置在經修改的佈局設計中從原始位置移動到替代位置。例如,在如第9A圖所示的經修改的佈局設計中,單元900從原始位置902移動到替代位置908。另一方面,在操作832中,如果單元900的位置不能移動,則製程800進行到操作834。 At operation 832 , a layout area in the vicinity of unit 900 that was found to have at least one design rule violation at operation 820 is analyzed to determine whether the location of unit 900 is moveable. If the location of unit 900 is moveable, process 800 proceeds to operation 838 to repair the design rule violation and the location of unit 900 is moved from the original location to the alternate location in the modified layout design. For example, in the modified layout design shown in Figure 9A, unit 900 is moved from an original position 902 to an alternate position 908. On the other hand, in operation 832, if the location of the unit 900 cannot be moved, the process 800 proceeds to operation 834.

於操作834,分析單元900附近的佈局區域(在操作820發現其具有至少一個設計規則違例)以確定用於支持接腳存取(pin access)的背側水平導線是否可被延伸。 如果背側水平導線可被延伸,則製程800進行到操作838以修復設計規則違例,且背側水平導線被重新設計為在經修改的佈局設計中延伸跨越垂直單元邊界延伸的延伸導線。例如,在如第9B圖所示的經修改的佈局設計中,背側水平導線982(作為延伸導線)以距離「Δ」跨越延伸至垂直單元邊界119。另一方面,在操作834中,如果用於支持接腳存取的背側水平導線不能被延伸,則製程800進行到操作836。 At operation 834, the layout area near unit 900 (which was found to have at least one design rule violation at operation 820) is analyzed to determine whether backside horizontal conductors supporting pin access can be extended. If the backside horizontal wire can be extended, process 800 proceeds to operation 838 to fix the design rule violation and the backside horizontal wire is redesigned as an extension wire that extends across the vertical cell boundary in the modified layout design. For example, in the modified layout design shown in Figure 9B, the backside horizontal conductor 982 (as an extension conductor) extends across to the vertical cell boundary 119 at a distance "Δ". On the other hand, in operation 834, if the backside horizontal wires used to support pin access cannot be extended, the process 800 proceeds to operation 836.

於操作836,用於透過接腳連接器存取電路節點的背側垂直導線在經修改的佈局設計中被重新設計為區域(local)二維導線,並且製程800進行到操作838。區域二維導線具有第一部分與第二部分,第一部分具有第一寬度,第二部分具有不同於第一寬度的第二寬度。例如,在如第9C圖所示的經修改的佈局設計中,背側垂直導線978具有第一部分978A與第二部分978B。第一部分978A具有第一寬度「Wa」,且第二部分978B具有小於第一寬度「Wa」的第二寬度「Wb」。另外,在如第9C圖所示的經修改的佈局設計中,背側垂直導線975也被修改。在一些實施例中,背側垂直導線975被修改以避免由第一部分978A的增加的第一寬度「Wa」引起的設計規則違例。在一些替代實施例中,背側垂直導線975沒有被修改,而第一部分978A被設計為具有增加的第一寬度「Wa」。於操作836,當用於存取電路節點的背側垂直導線(例如第9C圖中的978)被重新設計為區域二維導線時,區域二維 導線有可能導致設計規則違例且可能需要修改兩相鄰的背側垂直導線(例如第9C圖中的975)以減輕設計規則違例。舉例來說,當背側垂直導線978改變為第9C圖中的區域二維導線時,第9C圖中的背側垂直導線975是由第9A圖中的背側垂直導線975縮短的。在第8圖的示例流程圖中,由於修改相鄰背側垂直導線的可能性,操作836在操作832和834之後的製程流程中。 At operation 836 , the backside vertical conductors used to access the circuit nodes through the pin connectors are redesigned as local two-dimensional conductors in the modified layout design, and the process 800 proceeds to operation 838 . The area two-dimensional conductor has a first part and a second part, the first part has a first width, and the second part has a second width different from the first width. For example, in the modified layout design shown in Figure 9C, the backside vertical conductor 978 has a first portion 978A and a second portion 978B. The first portion 978A has a first width "Wa" and the second portion 978B has a second width "Wb" that is less than the first width "Wa". Additionally, in the modified layout design shown in Figure 9C, the backside vertical conductors 975 are also modified. In some embodiments, backside vertical conductors 975 are modified to avoid design rule violations caused by the increased first width "Wa" of first portion 978A. In some alternative embodiments, the backside vertical conductors 975 are not modified and the first portion 978A is designed with an increased first width "Wa". At operation 836, when the backside vertical conductors (eg, 978 in FIG. 9C) used to access the circuit nodes are redesigned as regional 2D conductors, the regional 2D conductors The wire has the potential to cause a design rule violation and two adjacent backside vertical wires (eg, 975 in Figure 9C) may need to be modified to mitigate the design rule violation. For example, when the backside vertical conductor 978 is changed to the area two-dimensional conductor in FIG. 9C, the backside vertical conductor 975 in FIG. 9C is shortened from the backside vertical conductor 975 in FIG. 9A. In the example flow diagram of FIG. 8, operation 836 is in the process flow after operations 832 and 834 due to the possibility of modifying adjacent backside vertical conductors.

在第8圖中,在製程800完成操作838之後,製程800返回到操作810,並對各種背側導線進行自動佈局佈線(auto placement and routing,APR)。然後,製程800進行到操作820,再次檢查經修改的佈局設計是否有設計規則違例。重複包括操作838、810和820的迭代,直到佈局設計通過設計規則檢查,然後,製程800進行到設計流程的剩餘部分895。設計流程的剩餘部分895中的示例操作包括時脈樹合成(clock tree synthesis)、電阻電容值萃取(RC extraction)、時序分析(timing analysis)、訊號完整性分析(signal integrity analysis)、驗證(verifications)等。 In Figure 8, after process 800 completes operation 838, process 800 returns to operation 810 and performs auto placement and routing (APR) on various backside conductors. The process 800 then proceeds to operation 820 to check the modified layout design again for design rule violations. The iterations including operations 838, 810, and 820 are repeated until the layout design passes the design rule check, and then the process 800 proceeds to the remainder of the design flow 895. Example operations in the remainder of the design flow 895 include clock tree synthesis, RC extraction, timing analysis, signal integrity analysis, verifications )wait.

第10圖為根據一些實施例的製造積體電路的方法1000的流程圖。第10圖中繪示的方法1000的操作順序僅用於說明;方法1000的操作能夠以與第10圖中繪示的操作順序不同的順序執行。應當理解,可在第10圖中繪示的方法1000之前、期間和/或之後執行額外的操作,並且一些其他製程可在本文中僅簡要描述。 Figure 10 is a flowchart of a method 1000 of manufacturing an integrated circuit in accordance with some embodiments. The sequence of operations of method 1000 illustrated in FIG. 10 is for illustration only; the operations of method 1000 can be performed in a different order than the sequence of operations illustrated in FIG. 10 . It should be understood that additional operations may be performed before, during, and/or after the method 1000 illustrated in Figure 10, and some other processes may be only briefly described herein.

在方法1000的操作1010中,製造第一型主動區結構與第二型主動區結構。在一些實施例中,第一型主動區結構為p型主動區結構,且第二型主動區結構為n型主動區結構。在一些實施例中,第一型主動區結構為n型主動區結構,且第二型主動區結構為p型主動區結構。在如第2A圖至第2E圖與第3A圖至第3C圖的示例實施例中,在基板50的頂上製造p型主動區結構80p與n型主動區結構80n。在操作1010中製造的主動區結構的例子包括鰭式結構、奈米片結構與奈米線結構。 In operation 1010 of method 1000, a first type active area structure and a second type active area structure are fabricated. In some embodiments, the first type active region structure is a p-type active region structure, and the second type active region structure is an n-type active region structure. In some embodiments, the first type active region structure is an n-type active region structure, and the second type active region structure is a p-type active region structure. In the exemplary embodiments shown in FIGS. 2A to 2E and 3A to 3C, a p-type active region structure 80p and an n-type active region structure 80n are fabricated on top of the substrate 50. Examples of active region structures fabricated in operation 1010 include fin structures, nanosheet structures, and nanowire structures.

在方法1000的操作1022與操作1024中,製造閘極導體與端子導體。閘極導體與端子導體之每一者與基板上方的第一型主動區結構和/或第二型主動區結構相交。在第2A圖至第2E圖與第3A圖至第3C圖所示的示例實施例中,在操作1022製造的閘極導體包括與p型主動區結構80p及n型主動區結構80n相交的閘極導體152及158。在示例實施例中,在操作1022製造的端子導體包括與p型主動區結構80p相交的端子導體132p、135p及138以及與n型主動區結構80n相交的端子導體132n、135n和138。在操作1022與操作1024之後,方法1000進行到操作1030。 In operations 1022 and 1024 of method 1000, gate conductors and terminal conductors are fabricated. Each of the gate conductor and the terminal conductor intersects the first type active region structure and/or the second type active region structure above the substrate. In the example embodiments shown in FIGS. 2A-2E and 3A-3C, the gate conductor fabricated at operation 1022 includes a gate intersecting the p-type active region structure 80p and the n-type active region structure 80n. pole conductors 152 and 158. In the example embodiment, the terminal conductors fabricated at operation 1022 include terminal conductors 132p, 135p, and 138 intersecting p-type active region structure 80p and terminal conductors 132n, 135n, and 138 intersecting n-type active region structure 80n. After operations 1022 and 1024, method 1000 proceeds to operation 1030.

在方法1000的操作1030中,製造前側第一層導線。在第2A圖至第2E圖與第3A圖至第3C圖所示的示例實施例中,在生產線前段(front-end-of-line,FEOL)製程中製造頂部絕緣層之後,在操作1030中,在覆蓋頂 部絕緣層的第一連接層(例如第一金屬層(M0))中製造前側第一層導線122、124及126。 In operation 1030 of method 1000, front side first layer conductors are fabricated. In the example embodiments shown in FIGS. 2A to 2E and 3A to 3C, after the top insulating layer is fabricated in a front-end-of-line (FEOL) process, in operation 1030 , on top of covering The front-side first layer conductors 122, 124 and 126 are fabricated in the first connecting layer of the partial insulating layer, such as the first metal layer (M0).

在操作1010、1022及1030之後,在操作1040翻轉包含基板的晶圓。然後,方法1000進行到操作1050。在方法1000的操作1050中,製造穿過基板的通孔連接器。在操作1050製造的通孔連接器的一個示例是用於將基板的前側處的閘極導體連接到基板的背側處的導線的通孔連接器。在操作1050製造的通孔連接器的另一個示例是用於將基板的前側處的端子導體連接到基板的背側處的導線的通孔連接器。在第2A圖至第2E圖與第3A圖至第3C圖所示的示例實施例中,在操作1050製造穿過基板50的通孔連接器1BVG1、1BVG2及1BVD1。在操作1050之後,方法1000進行到操作1060。 After operations 1010, 1022, and 1030, the wafer including the substrate is flipped at operation 1040. Method 1000 then proceeds to operation 1050. In operation 1050 of method 1000, a through-hole connector is fabricated through the substrate. One example of a through-hole connector fabricated at operation 1050 is a through-hole connector used to connect gate conductors at the front side of the substrate to wires at the back side of the substrate. Another example of a through-hole connector fabricated at operation 1050 is a through-hole connector used to connect terminal conductors at the front side of the substrate to wires at the back side of the substrate. In the example embodiments shown in FIGS. 2A-2E and 3A-3C , through-hole connectors 1BVG1 , 1BVG2 , and 1BVD1 are fabricated through the substrate 50 at operation 1050 . After operation 1050, method 1000 proceeds to operation 1060.

在方法1000的操作1060中,在基板的背側處製造背側水平導線。在一些實施例中,背側水平導線之一者被製造為延伸導線,延伸導線以小於接觸多晶間距(CPP)的距離延伸跨越電路單元的垂直邊界。在第2A圖至第2E圖與第3A圖至第3C圖所示的示例實施例中,在基板50的背側處的背側第一導電層中(例如在第一背側金屬層(BM0)中)製造背側水平導線181、182、184及186。在示例實施例中,背側水平導線182以小於接觸多晶間距(CPP)的距離「Δ」延伸跨越垂直單元邊界119。在操作1060之後,方法1000進行到操作1070。 In operation 1060 of method 1000, backside horizontal conductors are fabricated at the backside of the substrate. In some embodiments, one of the backside horizontal conductors is fabricated as an extension conductor that extends across the vertical boundary of the circuit cell at a distance less than the contact poly pitch (CPP). In the example embodiments shown in FIGS. 2A-2E and 3A-3C, in the backside first conductive layer at the backside of the substrate 50 (eg, in the first backside metal layer (BM0) )) to manufacture the backside horizontal conductors 181, 182, 184 and 186. In the example embodiment, the backside horizontal conductive lines 182 extend across the vertical cell boundary 119 at a distance "Δ" that is less than the contact poly pitch (CPP). After operation 1060, method 1000 proceeds to operation 1070.

在方法1000的操作1070中,製造通孔連接器。 在操作1070製造的通孔連接器的一個示例是用於連接背側水平導線與背側垂直導線的通孔連接器。在第2A圖至第2E圖與第3A圖至第3C圖所示的示例實施例中,在操作1070中製造穿過背側層間介電質56的通孔連接器1BV0A、1BV0B及1BV0C。在操作1070之後,方法1000進行到操作1080。 In operation 1070 of method 1000, a through-hole connector is fabricated. One example of a through-hole connector fabricated at operation 1070 is a through-hole connector used to connect a backside horizontal conductor to a backside vertical conductor. In the example embodiments shown in FIGS. 2A-2E and 3A-3C , through-hole connectors 1BV0A, 1BV0B, and 1BV0C are fabricated through the backside interlayer dielectric 56 in operation 1070 . After operation 1070, method 1000 proceeds to operation 1080.

在方法1000的操作1080中,製造背側垂直導線。在一些實施例中,背側垂直導線之一者與電路單元的垂直邊界對齊且透過接腳連接器直接地連接到背側水平導線之一者。在一些實施例中,與電路單元的垂直邊界對齊的背側垂直導線是區域二維導線,區域二維導線具有第一部分與第二部分,第一部分具有第一寬度,第二部分具有不同於第一寬度的第二寬度。在第2A圖至第2E圖與第3A圖至第3C圖所示的示例實施例中,在背側第一導電層中(例如在第一背側金屬層(BM0)中)製造背側垂直導線172、175及178。在示例實施例中,背側垂直導線178與垂直單元邊界119對齊。背側垂直導線178透過通孔連接器1BV0A(用作接腳連接器)導電連接到背側水平導線182。在第4A圖至第4E圖所示的示例實施例中,背側垂直導線178包括具有第一寬度「Wa」的第一部分178A與具有第二寬度「Wb」的第二部分178B。 In operation 1080 of method 1000, backside vertical conductors are fabricated. In some embodiments, one of the backside vertical conductors is aligned with a vertical boundary of the circuit cell and is connected directly to one of the backside horizontal conductors through a pin connector. In some embodiments, the backside vertical conductor aligned with the vertical boundary of the circuit cell is a regional two-dimensional conductor having a first portion and a second portion, the first portion having a first width and the second portion having a width different from that of the first portion. One width to a second width. In the example embodiments shown in Figures 2A-2E and 3A-3C, the backside vertical Wires 172, 175 and 178. In the example embodiment, backside vertical conductors 178 are aligned with vertical cell boundaries 119 . Backside vertical conductor 178 is conductively connected to backside horizontal conductor 182 through through-hole connector 1BV0A (used as a pin connector). In the example embodiment shown in FIGS. 4A-4E , the backside vertical conductor 178 includes a first portion 178A having a first width “Wa” and a second portion 178B having a second width “Wb”.

在第2A圖至第2E圖與第3A圖至第3C圖所示的示例實施例中,背側垂直導線(在第二背側金屬層(BM1)中)與閘極導體的接觸多晶節距(CPP)之間的齒輪比 (gear ratio)為一比一(即1:1)。在一些替代實施例中,背側垂直導線(在第二背側金屬層(BM1)中)與閘極導體的接觸多晶節距(CPP)之間的齒輪比為二比三(即,2:3)。在又一些替代實施例中,背側垂直導線(在第二背側金屬層(BM1)中)與閘極導體的接觸多晶節距(CPP)之間的齒輪比為一比二(即,1:2)。齒輪比的其他選擇也在本揭露的預期範圍內。 In the example embodiments shown in Figures 2A-2E and 3A-3C, the contact poly node of the backside vertical conductor (in the second backside metal layer (BM1)) to the gate conductor Gear ratio between pitch (CPP) (gear ratio) is one to one (i.e. 1:1). In some alternative embodiments, the gear ratio between the backside vertical conductors (in the second backside metal layer (BM1)) and the contact poly pitch (CPP) of the gate conductors is two to three (i.e., 2 :3). In yet other alternative embodiments, the gear ratio between the backside vertical conductors (in the second backside metal layer (BM1)) and the contact poly pitch (CPP) of the gate conductors is one to two (i.e., 1:2). Other choices for gear ratios are also contemplated by this disclosure.

第11圖為根據一些實施例的電子設計自動化(electronic design automation,EDA)系統1100的方塊圖。 Figure 11 is a block diagram of an electronic design automation (EDA) system 1100 according to some embodiments.

在一些實施例中,EDA系統1100包括自動佈局佈線(auto placement and routing,APR)系統。在本文描述的設計佈局圖的方法表示根據一或多個實施例的佈線佈置,例如,根據一些實施例,使用EDA系統1100是可實現的。 In some embodiments, EDA system 1100 includes an automatic placement and routing (APR) system. The methods of designing floorplans described herein represent routing arrangements in accordance with one or more embodiments, such as may be implemented using EDA system 1100 in accordance with some embodiments.

在一些實施例中,EDA系統1100是包括硬體處理器1102與非暫態電腦可讀取儲存媒體1104的通用用途計算裝置。儲存媒體1104,除其他外,編碼有(即存儲)電腦程式代碼1106,即一組可執行指令。硬體處理器1102對指令1106的執行代表(至少部分地)一種EDA工具,其根據一或多個實施例(在下文中,提到的過程和/或方法)實現本文所述的方法的一部分或全部。 In some embodiments, EDA system 1100 is a general-purpose computing device including a hardware processor 1102 and a non-transitory computer-readable storage medium 1104. Storage medium 1104 is, among other things, encoded with (i.e., stores) computer program code 1106, which is a set of executable instructions. Execution of instructions 1106 by hardware processor 1102 represents (at least in part) an EDA tool that implements a portion of the methods described herein or all.

處理器1102透過匯流排1108電性耦接到電腦可讀取儲存媒體1104。處理器1102還透過匯流排1108電 性耦接到輸入輸出(I/O)介面1110。網絡介面1112也透過匯流排1108電性連接至處理器1102。網絡介面1112連接至網路1114,使得處理器1102與電腦可讀取儲存媒體1104能夠透過網路1114連接到外部元件。處理器1102被配置為執行在電腦可讀取儲存媒體1104中編碼的電腦程式代碼1106,以便使系統1100可用於執行部分或全部所述過程和/或方法。在一或多個實施例中,處理器1102是中央處理單元(central processing unit,CPU)、多處理器(multi-processor)、分散式(distributed)處理系統、特殊應用積體電路(application specific integrated circuit,ASIC)和/或合適的處理單元。 The processor 1102 is electrically coupled to the computer-readable storage medium 1104 through the bus 1108 . Processor 1102 also provides electrical power via bus 1108 is coupled to an input/output (I/O) interface 1110. The network interface 1112 is also electrically connected to the processor 1102 through the bus 1108 . The network interface 1112 is connected to the network 1114, allowing the processor 1102 and the computer-readable storage medium 1104 to connect to external components through the network 1114. Processor 1102 is configured to execute computer program code 1106 encoded in computer-readable storage medium 1104 to enable system 1100 to perform some or all of the described processes and/or methods. In one or more embodiments, the processor 1102 is a central processing unit (CPU), a multi-processor, a distributed processing system, an application specific integrated circuit circuit, ASIC) and/or suitable processing unit.

在一或多個實施例中,電腦可讀取儲存媒體1104是電子、磁式、光學、電磁、紅外線和/或半導體系統(或設備或裝置)。例如,電腦可讀取儲存媒體1104包括半導體或固態記憶體、磁帶、可攜式電腦磁碟、隨機存取記憶體(random access memory,RAM)、唯讀記憶體(read-only memory,ROM)、剛式磁性磁片和/或光碟。在使用光碟的一或多個實施例中,電腦可讀取儲存媒體1104包括唯讀記憶光碟(compact disk-read only memory,CD-ROM)、讀/寫光碟(compact disk-read/write,CD-R/W)和/或數位視訊光碟(digital video disc,DVD)。 In one or more embodiments, computer readable storage medium 1104 is an electronic, magnetic, optical, electromagnetic, infrared, and/or semiconductor system (or device or device). For example, the computer-readable storage media 1104 includes semiconductor or solid-state memory, magnetic tape, portable computer disk, random access memory (RAM), and read-only memory (ROM). , rigid magnetic discs and/or optical discs. In one or more embodiments using optical disks, the computer-readable storage medium 1104 includes compact disk-read only memory (CD-ROM), compact disk-read/write (CD-ROM), and compact disk-read/write (CD-ROM). -R/W) and/or digital video disc (DVD).

在一或多個實施例中,儲存媒體1104儲存電腦程 式代碼1106,此電腦程式代碼1106經配置以致使系統1100(其中此種執行表示(至少部分地)EDA工具)可用於執行所述製程及/或方法之部分或全部。在一或多個實施例中,儲存媒體1104亦儲存促進執行所述製程及/或方法的部分或全部的資訊。在一或多個實施例中,儲存媒體1104儲存包括如本文揭示之此種標準單元之標準單元庫1107。在一或多個實施例中,儲存媒體1104儲存如本文揭示之與一或多個佈局對應的一或多個佈局圖1109。 In one or more embodiments, storage media 1104 stores computer programs Computer program code 1106 is configured such that system 1100 (in which such execution represents (at least in part) an EDA tool) may be used to execute some or all of the processes and/or methods. In one or more embodiments, storage medium 1104 also stores information that facilitates performing some or all of the processes and/or methods. In one or more embodiments, storage medium 1104 stores a standard cell library 1107 including such standard cells as disclosed herein. In one or more embodiments, storage medium 1104 stores one or more layout diagrams 1109 corresponding to one or more layouts as disclosed herein.

EDA系統1100包括I/O介面1110。I/O介面1110耦接至外部電路。在一或多個實施例中,I/O介面1110包括鍵盤、數字小鍵盤、滑鼠、軌跡球、軌跡板、觸控螢幕及/或游標方向鍵以用於與處理器1102傳送資訊及命令。 EDA system 1100 includes I/O interface 1110 . I/O interface 1110 is coupled to external circuitry. In one or more embodiments, I/O interface 1110 includes a keyboard, numeric keypad, mouse, trackball, trackpad, touch screen, and/or cursor direction keys for communicating information and commands with processor 1102 .

EDA系統1100亦包括耦接至處理器1102的網路介面1112。網路介面1112允許系統1100與網路1114通訊,一或多個其他電腦系統連接至網路1114。網路介面1112包括無線網路介面,諸如藍芽(BLUETOOTH)、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,諸如ETHERNET、USB或IEEE-1364。在一或多個實施例中,在兩個或兩個以上系統1100中實施所述製程及/或方法的部分或全部。 EDA system 1100 also includes a network interface 1112 coupled to processor 1102 . Network interface 1112 allows system 1100 to communicate with network 1114 to which one or more other computer systems are connected. The network interface 1112 includes a wireless network interface, such as BLUETOOTH, WIFI, WIMAX, GPRS or WCDMA; or a wired network interface, such as ETHERNET, USB or IEEE-1364. In one or more embodiments, some or all of the processes and/or methods are implemented in two or more systems 1100 .

系統1100經配置以經由I/O介面1110接收資訊。經由I/O介面1110接收的資訊包括指令、資料、設計規則、標準單元庫及/或由處理器1102處理的其他參數 的一或多者。資訊經由匯流排1108傳遞至處理器1102。EDA系統1100經配置以經由I/O介面1110接收有關使用者介面(user interface,UI)之資訊。資訊儲存在作為使用者介面(user interface,UI)1142的電腦可讀取媒體1104中。 System 1100 is configured to receive information via I/O interface 1110 . Information received via I/O interface 1110 includes instructions, data, design rules, standard cell libraries, and/or other parameters processed by processor 1102 one or more of. Information is passed to processor 1102 via bus 1108 . EDA system 1100 is configured to receive user interface (UI) related information via I/O interface 1110 . The information is stored in computer-readable media 1104 as user interface (UI) 1142 .

在一些實施例中,所述製程及/或方法的部分或全部實施為藉由處理器執行的獨立軟體應用。在一些實施例中,所述製程及/或方法的部分或全部實施為一軟體應用,此軟體應用為附加軟體應用的部分。在一些實施例中,所述製程及/或方法的部分或全部實施為一軟體應用的外掛程式。在一些實施例中,所述製程及/或方法的至少一個實施為一軟體應用,此軟體應用為EDA工具的部分。在一些實施例中,所述製程及/或方法之部分或全部實施為由EDA系統1100使用之軟體應用。在一些實施例中,使用諸如VIRTUOSO®的工具或另一適當佈局產生工具來產生包括標準單元的佈局圖,VIRTUOSO®可從CADENCE DESIGN SYSTEMS公司購得。 In some embodiments, some or all of the processes and/or methods are implemented as stand-alone software applications executed by a processor. In some embodiments, part or all of the process and/or method is implemented as a software application that is part of an additional software application. In some embodiments, part or all of the process and/or method is implemented as a plug-in for a software application. In some embodiments, at least one implementation of the process and/or method is a software application that is part of an EDA tool. In some embodiments, some or all of the processes and/or methods are implemented as software applications used by EDA system 1100 . In some embodiments, a layout drawing including standard cells is generated using a tool such as VIRTUOSO®, available from CADENCE DESIGN SYSTEMS, Inc., or another suitable layout generation tool.

在一些實施例中,製程作為在非暫態電腦可讀取媒體中儲存的程式的函數實現。非暫時性電腦可讀取記錄媒體的實例包括但不限制於,外部的/可攜的及/或層間的/嵌入的儲存器或記憶體單元,例如,諸如DVD的光碟、諸如硬碟的磁片、諸如ROM、RAM、記憶體卡等的半導體記憶體的一或多者。 In some embodiments, a process is implemented as a function of a program stored on a non-transitory computer-readable medium. Examples of non-transitory computer-readable recording media include, but are not limited to, external/portable and/or interlayer/embedded storage or memory units, for example, optical disks such as DVDs, magnetic disks such as hard drives. One or more chips, semiconductor memories such as ROM, RAM, memory cards, etc.

第12圖為根據一些實施例的積體電路 (integrated circuit,IC)製造系統1200及與其關聯的IC製造流程的方塊圖。在一些實施例中,基於佈局圖,使用製造系統1200製造(A)一或多個半導體遮罩或(B)半導體積體電路的層中之至少一個部件的至少一者。 Figure 12 illustrates an integrated circuit according to some embodiments A block diagram of an integrated circuit (IC) manufacturing system 1200 and its associated IC manufacturing process. In some embodiments, fabrication system 1200 is used to fabricate at least one of (A) one or more semiconductor masks or (B) at least one component of a layer of a semiconductor integrated circuit based on the layout diagram.

在第12圖中,IC製造系統1200包括實體,諸如設計室(design house)1220、遮罩室(mask house)1230及IC製造商/製造者(fabricator,fab)1250,其與製造IC裝置1260相關的設計、開發及製造循環及/或服務彼此相互作用。系統1200中的實體由通訊網路連接。在一些實施例中,通訊網路為單一網路。在一些實施例中,通訊網路為各種不同網路,諸如層間網路(intranet)及網際網路。通訊網路包括有線及/或無線通訊通道。每個實體與一或多個其他實體相互作用並且提供服務至一或多個其他實體及/或從一或多個其他實體接收服務。在一些實施例中,設計室1220、遮罩室1230及IC fab 1250的兩個或多個由單個更大公司所擁有。在一些實施例中,設計室1220、遮罩室1230及IC fab 1250的兩個或多個共存於共用設施中且使用共用資源。 In Figure 12, IC manufacturing system 1200 includes entities such as a design house 1220, a mask house 1230, and an IC fabricator/fab 1250, which are involved in manufacturing IC devices 1260 Related design, development and manufacturing cycles and/or services interact with each other. Entities in system 1200 are connected by communications networks. In some embodiments, the communication network is a single network. In some embodiments, the communication network is a variety of different networks, such as intranets and the Internet. Communication networks include wired and/or wireless communication channels. Each entity interacts with and provides services to and/or receives services from one or more other entities. In some embodiments, two or more of design room 1220, mask room 1230, and IC fab 1250 are owned by a single larger company. In some embodiments, two or more of the design room 1220, the mask room 1230, and the IC fab 1250 co-exist in a shared facility and use shared resources.

設計室(或設計組)1220產生IC設計佈局圖1222。IC設計佈局圖1222包括為IC裝置1260設計的各種幾何圖案。幾何圖案對應於組成待製造的IC裝置1260的各種部件的金屬、氧化物或半導體層的圖案。各種層組合以形成各種IC特徵。例如,IC設計佈局圖1222的部分包括各種IC特徵,諸如主動區、閘電極、源極及汲 極、層間互連的金屬線或通孔、及用於接合墊的開口,此等IC特徵形成於半導體基板(諸如矽晶圓)中及各種材料層(設置於此半導體基板上)中。設計室1220實施適合的設計程序以形成IC設計佈局圖1222。設計程序包括邏輯設計、實體設計及/或放置及佈局的一者或多者。IC設計佈局圖1222存在於具有幾何圖案的資訊的一或多個資料檔中。例如,IC設計佈局圖1222可以GDSII檔格式或DFII檔格式表示之。 The design office (or design group) 1220 generates an IC design layout 1222. IC design layout 1222 includes various geometric patterns designed for IC device 1260 . The geometric pattern corresponds to the pattern of metal, oxide, or semiconductor layers that make up the various components of the IC device 1260 to be fabricated. Various layers combine to form various IC features. For example, portions of IC design layout diagram 1222 include various IC features such as active regions, gate electrodes, source and drain These IC features are formed in a semiconductor substrate, such as a silicon wafer, and in various material layers disposed on the semiconductor substrate, such as electrodes, metal lines or vias for inter-layer interconnection, and openings for bonding pads. Design room 1220 implements appropriate design procedures to form IC design layout 1222 . The design process includes one or more of logical design, physical design, and/or placement and layout. IC design layout 1222 exists in one or more data files with geometric pattern information. For example, the IC design layout diagram 1222 can be represented in GDSII file format or DFII file format.

遮罩室1230包括資料準備1232及遮罩製造1244。遮罩室1230使用IC設計佈局圖1222製造一或多個遮罩1245,遮罩1245待用於根據IC設計佈局圖1222製造IC裝置1260的各種層。遮罩室1230執行遮罩資料準備1232,其中IC設計佈局圖1222轉換成代表性資料檔(representative datAfile,RDF)。遮罩資料準備1232提供RDF至遮罩製造1244。遮罩製造1244包括遮罩寫入器。遮罩寫入器將RDF轉換成基板上的影像,基板諸如遮罩(主光罩(reticle))1245或半導體晶圓1253。設計佈局圖1222由遮罩資料準備1232操縱以符合遮罩寫入器的特定特性及/或IC fab 1250的要求。在第12圖中,將遮罩資料準備1232及遮罩製造1244圖示為分離元件。在一些實施例中,遮罩資料準備1232及遮罩製造1244可統稱為遮罩資料準備。 Mask room 1230 includes data preparation 1232 and mask manufacturing 1244. Mask chamber 1230 uses IC design layout 1222 to fabricate one or more masks 1245 that are to be used to fabricate various layers of IC device 1260 based on IC design layout 1222 . The mask room 1230 performs mask data preparation 1232, in which the IC design layout diagram 1222 is converted into a representative data file (RDF). Mask data preparation 1232 provides RDF to mask fabrication 1244 . Mask fabrication 1244 includes a mask writer. The mask writer converts the RDF into an image on a substrate, such as a mask (reticle) 1245 or a semiconductor wafer 1253. The design layout 1222 is manipulated by the mask data preparation 1232 to conform to the specific characteristics of the mask writer and/or the requirements of the IC fab 1250 . In Figure 12, mask data preparation 1232 and mask manufacturing 1244 are shown as separate components. In some embodiments, mask data preparation 1232 and mask manufacturing 1244 may be collectively referred to as mask data preparation.

在一些實施例中,遮罩資料準備1232包括光學鄰近校正(optical proximity correction,OPC),其 使用微影增強技術以補償像差,諸如可能由繞射、干涉、其他製程效應等引起的像差。OPC調整IC設計佈局圖1222。在一些實施例中,遮罩資料準備1232包括另外解析度增強技術(resolution enhancement techniques,RET),諸如離軸照明(off-axis illumination)、亞解析度輔助特徵(sub-resolution assist features)、相變遮罩(phase-shifting masks)、其他適合技術等或其組合。在一些實施例中,亦使用反相微影技術(inverse lithography technology,ILT),其將OPC處理為逆像(inverse imaging)問題。 In some embodiments, mask data preparation 1232 includes optical proximity correction (OPC), which Use lithography enhancement techniques to compensate for aberrations, such as those that may be caused by diffraction, interference, other process effects, etc. OPC adjustment IC design layout diagram 1222. In some embodiments, mask data preparation 1232 includes additional resolution enhancement techniques (RET), such as off-axis illumination, sub-resolution assist features, phase Phase-shifting masks, other suitable techniques, etc. or combinations thereof. In some embodiments, inverse lithography technology (ILT) is also used, which treats OPC as an inverse imaging problem.

在一些實施例中,遮罩資料準備1232包括遮罩規則檢查器(mask rule checker,MRC),其利用一組遮罩產生規則檢查已經在OPC中經受製程的IC設計佈局圖1222,此等規則包括某些幾何及/或連接性限制以確保充足餘量,以解決半導體製造製程中的變化性等等。在一些實施例中,MRC修改IC設計佈局圖1222以補償遮罩製造1244期間的限制,其可取消由OPC執行的修改的部分以滿足遮罩產生規則。 In some embodiments, mask data preparation 1232 includes a mask rule checker (MRC) that checks the IC design layout 1222 that has undergone processing in OPC using a set of mask generation rules. Certain geometric and/or connectivity constraints are included to ensure sufficient margin to account for variability in semiconductor manufacturing processes, etc. In some embodiments, the MRC modifies the IC design layout 1222 to compensate for constraints during mask fabrication 1244, which may cancel portions of the modifications performed by OPC to satisfy mask generation rules.

在一些實施例中,遮罩資料準備1232包括微影製程檢查(lithography process checking,LPC),其模擬將由IC fab 1250實施的處理以製造IC裝置1260。LPC基於IC設計佈局圖1222模擬此過程以創造模擬製造裝置,諸如IC裝置1260。LPC模擬中的處理參數可包括與IC製造週期的各種製程關聯的參數、與用於製造IC 的工具關聯的參數、及/或製造製程的其他態樣。LPC考慮了各種因素,諸如空間成像對比(aerial image contrast)、焦深(depth of focus,DOF)、遮罩錯誤增強因素(mask error enhancement factor,MEEF)、其他適當因素等或其組合。在一些實施例中,在由LPC已經創造模擬製造的裝置後,若模擬裝置不足夠接近形狀以滿足設計規則,則重複OPC及/或MRC以進一步改進IC設計佈局圖1222。 In some embodiments, mask data preparation 1232 includes lithography process checking (LPC), which simulates the processes to be performed by IC fab 1250 to fabricate IC device 1260 . LPC simulates this process based on IC design layout 1222 to create a simulated manufacturing device, such as IC device 1260 . Processing parameters in LPC simulations may include parameters associated with various processes of the IC manufacturing cycle, parameters associated with the processes used to manufacture the IC. parameters associated with the tool, and/or other aspects of the manufacturing process. LPC considers various factors, such as aerial image contrast (aerial image contrast), depth of focus (DOF), mask error enhancement factor (MEEF), other appropriate factors, etc. or a combination thereof. In some embodiments, after the simulated device has been created by LPC, if the simulated device is not close enough to the shape to satisfy the design rules, OPC and/or MRC are repeated to further refine the IC design layout 1222.

應理解的是,為了簡明的目的,遮罩資料準備1232的以上描述已經簡化。在一些實施例中,資料準備1232包括諸如邏輯運算(logic operation,LOP)的附加特徵以根據製造規則更改IC設計佈局圖1222。另外,在資料準備1232期間應用於IC設計佈局圖1222的製程可以各種不同順序執行。 It should be understood that the above description of mask data preparation 1232 has been simplified for the sake of simplicity. In some embodiments, data preparation 1232 includes additional features such as logic operations (LOPs) to alter the IC design layout 1222 according to manufacturing rules. Additionally, the processes applied to the IC design layout 1222 during data preparation 1232 may be performed in various orders.

在遮罩資料準備1232之後及光罩製造1244期間,基於修改的IC設計佈局圖1222來製造遮罩1245或遮罩組1245。在一些實施例中,遮罩製造1244包括基於IC設計佈局圖1222執行一或多次微影曝光。在一些實施例中,使用電子束(electron-beam,e-beam)或多個電子束的機構以基於修改的IC設計佈局圖1222在遮罩(光罩(photomask)或主光罩(reticle))1245上形成圖案。遮罩1245可以各種技術形成。在一些實施例中,使用二元技術形成遮罩1245。在一些實施例中,遮罩圖案包括不透明區及透明區。用於曝光已經塗覆在晶圓上的影像敏感材 料層(例如,光阻劑)的輻射束,諸如紫外線(ultraviolet,UV)束,由不透明區阻斷及透射穿過透明區。在一個實例中,遮罩1245的二元遮罩版本包括透明基板(例如,熔凝石英)、及塗覆在二元光罩的不透明區中的不透明材料(例如,鉻)。在另一實例中,使用相轉移技術(phase shift technology)形成遮罩1245。在遮罩1245的相轉移遮罩(phase shift mask,PSM)版本中,形成於相轉移遮罩上的圖案中的各種特徵,經配置以具有適當的相位差以提高解析度及成像品質。在各種實例中,相轉移遮罩可為衰減PSM或交替PSM。由遮罩製造1244產生的遮罩用於各種製程中。例如,此種遮罩用於離子注入製程(ion implantation process)中以在半導體晶圓1253中形成各種摻雜區,此種遮罩用於蝕刻製程中以在半導體晶圓1253中形成各種蝕刻區,及/或此種遮罩用於其他適當製程中。 After mask data preparation 1232 and during mask fabrication 1244 , a mask 1245 or mask group 1245 is fabricated based on the modified IC design layout 1222 . In some embodiments, mask fabrication 1244 includes performing one or more lithography exposures based on the IC design layout 1222 . In some embodiments, an electron-beam (e-beam) or mechanism of multiple e-beams is used to create a photomask or reticle based on a modified IC design layout 1222 )1245 to form a pattern. Mask 1245 can be formed using various techniques. In some embodiments, mask 1245 is formed using binary techniques. In some embodiments, the mask pattern includes opaque areas and transparent areas. Used to expose image-sensitive materials that have been coated on the wafer Radiation beams from the material layer (eg, photoresist), such as ultraviolet (UV) beams, are blocked by the opaque regions and transmitted through the transparent regions. In one example, a binary mask version of mask 1245 includes a transparent substrate (eg, fused quartz), and an opaque material (eg, chromium) coated in the opaque regions of the binary mask. In another example, mask 1245 is formed using phase shift technology. In the phase shift mask (PSM) version of mask 1245, various features in the pattern formed on the phase shift mask are configured to have appropriate phase differences to improve resolution and imaging quality. In various examples, the phase shift mask may be a decaying PSM or an alternating PSM. The masks produced by mask fabrication 1244 are used in various processes. For example, such a mask is used in an ion implantation process to form various doped regions in the semiconductor wafer 1253, and this kind of mask is used in an etching process to form various etched regions in the semiconductor wafer 1253. , and/or such masks are used in other appropriate processes.

IC fab 1250為IC製造公司,包括用於製造各種不同IC產品的一或多個製造設施。在一些實施例中,IC fab 1250為半導體製造廠。例如,可能存在用於多個IC產品的前段製造(生產線前段(front-end-of-line,FEOL))製造的製造設施,而第二製造設施可為IC產品的互連及包裝提供後段製造(生產線後段(back-end-of-line,BEOL)),且第三製造設施可為製造公司提供其他服務。 An IC fab 1250 is an IC manufacturing company that includes one or more manufacturing facilities used to manufacture a variety of different IC products. In some embodiments, IC fab 1250 is a semiconductor fabrication fab. For example, there may be a manufacturing facility used for front-end-of-line (FEOL) manufacturing of multiple IC products, while a second manufacturing facility may provide back-end manufacturing for interconnection and packaging of the IC products. (back-end-of-line (BEOL)), and the third manufacturing facility can provide other services to the manufacturing company.

IC fab 1250包括用以在半導體晶圓1253執行 各種製造操作使得根據遮罩(例如,遮罩1245)製造IC裝置1260的製造工具1252。在各種實施例中,製造工具1252包括以下各項之一或多者:晶圓步進器(wafer stepper)、離子植入器(ion implanter)、光阻塗佈器(photoresist coater)、製程腔室(例如CVD腔室或LPCVD熔爐)、CMP系統、電漿蝕刻系統、晶圓清洗系統,或能夠執行如本文所述之一或多個製造製程的其他製造設備。 IC fab 1250 includes components for executing on semiconductor wafer 1253 Various fabrication operations enable fabrication tool 1252 to fabricate IC device 1260 according to a mask (eg, mask 1245). In various embodiments, the manufacturing tool 1252 includes one or more of the following: a wafer stepper, an ion implanter, a photoresist coater, a process chamber chamber (such as a CVD chamber or LPCVD furnace), CMP system, plasma etch system, wafer cleaning system, or other manufacturing equipment capable of performing one or more manufacturing processes as described herein.

IC fab 1250使用由遮罩室1230製造的遮罩1245來製造IC裝置1260。因而,IC fab 1250至少間接地使用IC設計佈局圖1222來製造IC裝置1260。在一些實施例中,IC fab 1250使用遮罩1245形成IC裝置1260來製造半導體晶圓1253。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖1222來執行一或多個微影曝光。半導體晶圓1253包括矽基板或具有形成於其上的材料層的其他適合基板。半導體晶圓1253進一步包括各種摻雜區、介電特徵、多級互連等(在後續製造步驟中形成)的一者或多者。 IC fab 1250 uses masks 1245 produced by mask chamber 1230 to fabricate IC devices 1260 . Thus, IC fab 1250 uses IC design layout 1222 , at least indirectly, to fabricate IC device 1260 . In some embodiments, IC fab 1250 uses mask 1245 to form IC device 1260 to fabricate semiconductor wafer 1253 . In some embodiments, IC fabrication includes performing one or more lithographic exposures based at least indirectly on IC design layout 1222 . Semiconductor wafer 1253 includes a silicon substrate or other suitable substrate with layers of materials formed thereon. Semiconductor wafer 1253 further includes one or more of various doped regions, dielectric features, multi-level interconnects, etc. (formed in subsequent fabrication steps).

關於積體電路(IC)製造系統(例如,第12圖的系統1200)的細節及與其關聯的IC製造流程在以下檔中找到:例如,2016年2月9日授權的美國專利第9,256,709號;2015年10月1日公開的美國預授權公開案第20150278429號;2014年2月6日公開的美國預授權公開案第20140040838號;及2007年8月21日授權 的美國專利第7,260,442號,以上各者的內容以引用方式整個併入本文中。 Details regarding integrated circuit (IC) manufacturing systems (e.g., system 1200 of FIG. 12) and their associated IC manufacturing processes are found in: e.g., U.S. Patent No. 9,256,709, issued February 9, 2016; U.S. Pre-Authorization Publication No. 20150278429, published on October 1, 2015; U.S. Pre-Authorization Publication No. 20140040838, published on February 6, 2014; and Authorized on August 21, 2007 No. 7,260,442, the contents of each of which are incorporated herein by reference in their entirety.

本揭露的一個態樣涉及積體電路。積體電路包括第一型主動區結構、一第二型主動區結構、前側第一層導線、多個閘極導體、電路單元、背側水平導線、背側垂直導線及接腳連接器。第一型主動區結構與一第二型主動區結構在基板上沿著第一方向延伸。前側第一層導線在基板上方的第一連接層中。多個閘極導體在第一連接層下方沿著第二方向延伸,多個閘極導體之兩相鄰者間隔一間隔距離且該間隔距離等於接觸多晶間距(contacted poly pitch,CPP)。電路單元具有沿著垂直於第一方向的第二方向延伸的第一垂直邊界與第二垂直邊界,第一垂直邊界與第二垂直邊界之每一者跨越至少一邊界隔離區,沿著第一方向之第一垂直邊界與第二垂直邊界之間的距離小於或等於接觸多晶間距(CPP)的三倍。背側水平導線在基板下方的背側第一導電層中沿著第一方向延伸,背側水平導線延伸跨越電路單元的第一垂直邊界。背側垂直導線在背側第一導電層下方的背側第二導電層中沿著第二方向延伸,背側垂直導線對齊於第一垂直邊界。接腳連接器用於電路單元。接腳連接器直接地連接於背側水平導線與背側垂直導線之間。在一些實施例中,背側水平導線以小於接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的八分之一之距離延伸跨越電路單元的第一垂直邊界。在一些實施例中,背側水平導線以小於接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的 四分之一之距離延伸跨越電路單元的第一垂直邊界。在一些實施例中,背側水平導線以小於接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的二分之一之距離延伸跨越電路單元的第一垂直邊界。在一些實施例中,背側垂直導線沿著第一方向的寬度大於接觸多晶間距(CPP)的四分之三。在一些實施例中,背側垂直導線沿著第一方向的寬度大於接觸多晶間距(CPP)的二分之一。在一些實施例中,沿著第一方向之第一垂直邊界與第二垂直邊界之間的距離小於或等於接觸多晶間距(CPP)的兩倍。 One aspect of the present disclosure relates to integrated circuits. The integrated circuit includes a first-type active area structure, a second-type active area structure, a first-layer wire on the front side, a plurality of gate conductors, a circuit unit, a back-side horizontal wire, a back-side vertical wire and a pin connector. The first type active area structure and a second type active area structure extend along the first direction on the substrate. The first layer of wires on the front side is in the first connection layer above the substrate. A plurality of gate conductors extend along the second direction below the first connection layer, and two adjacent gate conductors are spaced apart by a distance equal to a contact poly pitch (CPP). The circuit unit has a first vertical boundary and a second vertical boundary extending along a second direction perpendicular to the first direction. Each of the first vertical boundary and the second vertical boundary spans at least one boundary isolation area along the first The distance between the first vertical boundary and the second vertical boundary of the direction is less than or equal to three times the contact poly pitch (CPP). The backside horizontal conductive line extends along the first direction in the backside first conductive layer under the substrate, and the backside horizontal conductive line extends across the first vertical boundary of the circuit unit. The backside vertical conductive lines extend along the second direction in the backside second conductive layer below the backside first conductive layer, and the backside vertical conductors are aligned with the first vertical boundary. Pin connectors are used in circuit units. The pin connector is directly connected between the backside horizontal conductor and the backside vertical conductor. In some embodiments, the backside horizontal conductors extend across the first vertical boundary of the circuit cell at a distance less than one-eighth of the contact poly pitch (CPP) but greater than one-eighth of the contact poly pitch (CPP). In some embodiments, the backside horizontal conductors are formed with a spacing less than the contact poly pitch (CPP) but greater than the contact poly pitch (CPP). One quarter of the distance extends across the first vertical boundary of the circuit cell. In some embodiments, the backside horizontal conductors extend across the first vertical boundary of the circuit cell at a distance less than one-half but greater than the contact poly pitch (CPP). In some embodiments, the width of the backside vertical conductors along the first direction is greater than three-quarters of the contact poly pitch (CPP). In some embodiments, the width of the backside vertical conductors along the first direction is greater than one-half the contact poly pitch (CPP). In some embodiments, the distance between the first vertical boundary and the second vertical boundary along the first direction is less than or equal to twice the contact poly pitch (CPP).

本揭露的另一個態樣仍涉及積體電路。積體電路包括第一型主動區結構、一第二型主動區結構、前側第一層導線、多個閘極導體、電路單元、背側水平導線、背側垂直導線及接腳連接器。第一型主動區結構與一第二型主動區結構在基板上沿著第一方向延伸。前側第一層導線在基板上方的第一連接層中。多個閘極導體在第一連接層下方沿著第二方向延伸,多個閘極導體之兩相鄰者間隔一間隔距離且該間隔距離等於接觸多晶間距(contacted poly pitch,CPP)。電路單元具有沿著垂直於第一方向的第二方向延伸的第一垂直邊界與第二垂直邊界,第一垂直邊界與第二垂直邊界之每一者跨越至少一邊界隔離區。背側水平導線在基板下方的背側第一導電層中沿著第一方向延伸。背側垂直導線在背側第一導電層下方的背側第二導電層中沿著第二方向延伸。接腳連接器用於電路單元。接腳連接器在背側水平導線與背側垂直導線之間的重疊區域處直接 地連接於背側水平導線與背側垂直導線之間。背側水平導線具有覆蓋重疊區域的第一部分且背側水平導線在重疊區域外具有第二部分,第一部分沿著第一方向的第一寬度大於第二部分沿著第一方向的第二寬度。在一些實施例中,第一寬度比第二寬度大超過接觸多晶間距(CPP)的八分之一。在一些實施例中,第一寬度比第二寬度大超過接觸多晶間距(CPP)的四分之一。在一些實施例中,第一垂直邊界與第二垂直邊界沿著第一方向間隔一距離,且該距離小於或等於接觸多晶間距(CPP)的三倍。在一些實施例中,第一垂直邊界與第二垂直邊界沿著第一方向間隔一距離,且該距離小於或等於接觸多晶間距(CPP)的兩倍。在一些實施例中,背側水平導線以小於接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的八分之一之距離延伸跨越電路單元的第一垂直邊界。在一些實施例中,背側水平導線以小於接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的四分之一之距離延伸跨越電路單元的第一垂直邊界。 Another aspect of the disclosure still involves integrated circuits. The integrated circuit includes a first-type active area structure, a second-type active area structure, a first-layer wire on the front side, a plurality of gate conductors, a circuit unit, a back-side horizontal wire, a back-side vertical wire and a pin connector. The first type active area structure and a second type active area structure extend along the first direction on the substrate. The first layer of wires on the front side is in the first connection layer above the substrate. A plurality of gate conductors extend along the second direction below the first connection layer, and two adjacent gate conductors are spaced apart by a distance equal to a contact poly pitch (CPP). The circuit unit has a first vertical boundary and a second vertical boundary extending along a second direction perpendicular to the first direction, and each of the first vertical boundary and the second vertical boundary spans at least one boundary isolation region. The backside horizontal wire extends along the first direction in the backside first conductive layer below the substrate. The backside vertical conductive lines extend along the second direction in the backside second conductive layer below the backside first conductive layer. Pin connectors are used in circuit units. Pin connectors are directly located at the overlap area between the backside horizontal conductors and the backside vertical conductors The ground is connected between the horizontal conductor on the back side and the vertical conductor on the back side. The backside horizontal conductor has a first portion covering the overlapping area and the backside horizontal conductor has a second portion outside the overlapping area, and a first width of the first portion along the first direction is greater than a second width of the second portion along the first direction. In some embodiments, the first width is greater than the second width by more than one-eighth of the contact poly pitch (CPP). In some embodiments, the first width is greater than the second width by more than one quarter of the contact poly pitch (CPP). In some embodiments, the first vertical boundary and the second vertical boundary are separated by a distance along the first direction, and the distance is less than or equal to three times the contact poly pitch (CPP). In some embodiments, the first vertical boundary and the second vertical boundary are separated by a distance along the first direction, and the distance is less than or equal to twice the contact poly pitch (CPP). In some embodiments, the backside horizontal conductors extend across the first vertical boundary of the circuit cell at a distance less than one-eighth of the contact poly pitch (CPP) but greater than one-eighth of the contact poly pitch (CPP). In some embodiments, the backside horizontal conductors extend across the first vertical boundary of the circuit cell at a distance less than one-quarter of the contact poly pitch (CPP) but greater than one-quarter of the contact poly pitch (CPP).

本揭露的又一個態樣涉及方法。方法包含:在基板上製造沿著第一方向延伸之第一型主動區結構與第二型主動區結構;製造沿著垂直於第一方向的第二方向延伸之多個閘極導體,每個閘極導體與基板上方的第一型主動區結構和/或第二型主動區結構相交,多個閘極導體之兩相鄰者間隔一間隔距離且該間隔距離等於接觸多晶間距(contacted poly pitch,CPP);在基板下方的背側第一導電層中製造沿著第一方向延伸之背側水平導線;製造 連接背側水平導線的接腳連接器;及在背側第一導電層下方的背側第二導電層中製造沿著第二方向延伸之背側垂直導線,其中背側垂直導線對齊於電路單元的第一垂直邊界,其中接腳連接器在背側水平導線與背側垂直導線之間的重疊區域處直接地連接於背側水平導線與背側垂直導線之間;其中製造背側水平導線包括將背側水平導線製造為延伸導線,該延伸導線以小於接觸多晶間距(CPP)的距離延伸跨越電路單元的第一垂直邊界。在一些實施例中,所述方法更包括:製造背側垂直導線以形成覆蓋重疊區域的第一部分且形成在重疊區域外的第二部分,第一部分沿著第一方向的第一寬度大於第二部分沿著第一方向的第二寬度。在一些實施例中,所述方法更包括:在基板上方的第一連接層中且在所述多個閘極導體上方製造前側第一層導線。在一些實施例中,製造背側水平導線包括:將背側水平導線製造為延伸導線,該延伸導線以小於接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的八分之一之距離延伸跨越電路單元的第一垂直邊界。在一些實施例中,製造背側水平導線包括:將背側水平導線製造為延伸導線,該延伸導線以小於接觸多晶間距(CPP)但大於接觸多晶間距(CPP)的四分之一之距離延伸跨越電路單元的第一垂直邊界。在一些實施例中,製造背側水平導線包括:將背側水平導線製造為一導線,該導線具有大於接觸多晶間距(CPP)的一半之均勻寬度。 Yet another aspect of the present disclosure involves methods. The method includes: manufacturing a first-type active region structure and a second-type active region structure extending along a first direction on a substrate; manufacturing a plurality of gate conductors extending along a second direction perpendicular to the first direction, each The gate conductor intersects the first-type active region structure and/or the second-type active region structure above the substrate. Two adjacent gate conductors are spaced apart by a spacing distance, and the spacing distance is equal to the contact poly spacing. pitch, CPP); manufacturing backside horizontal conductors extending along the first direction in the backside first conductive layer below the substrate; manufacturing Pin connectors connecting the backside horizontal conductors; and manufacturing backside vertical conductors extending along the second direction in the backside second conductive layer below the backside first conductive layer, wherein the backside vertical conductors are aligned with the circuit unit a first vertical boundary, wherein the pin connector is directly connected between the backside horizontal conductor and the backside vertical conductor at an overlap region between the backside horizontal conductor and the backside vertical conductor; wherein manufacturing the backside horizontal conductor includes The backside horizontal conductors are fabricated as extension conductors that extend across the first vertical boundary of the circuit cell at a distance less than the contact poly pitch (CPP). In some embodiments, the method further includes: fabricating the backside vertical conductive lines to form a first portion covering the overlapping area and forming a second portion outside the overlapping area, the first portion having a first width along the first direction greater than the second portion. A second width of the portion along the first direction. In some embodiments, the method further includes fabricating a front-side first layer of wires in a first connection layer above the substrate and above the plurality of gate conductors. In some embodiments, fabricating the backside horizontal conductor includes fabricating the backside horizontal conductor as an extension conductor that is less than one-eighth of the contact poly pitch (CPP) but greater than one-eighth of the contact poly pitch (CPP). The distance extends across a first vertical boundary of the circuit cell. In some embodiments, fabricating the backside horizontal conductor includes fabricating the backside horizontal conductor as an extension conductor with a conductor that is less than a contact poly pitch (CPP) but greater than one-quarter of the contact poly pitch (CPP). The distance extends across a first vertical boundary of the circuit cell. In some embodiments, fabricating the backside horizontal conductor includes fabricating the backside horizontal conductor as a conductor having a uniform width greater than half the contact poly pitch (CPP).

以上概述了數個實施例的特徵,因此熟習此技藝者 可以更了解本揭露的態樣。熟習此技藝者應了解到,其可輕易地把本揭露當作基礎來設計或修改其他的製程與結構,藉此實現和在此所介紹的這些實施例相同的目標及/或達到相同的優點。熟習此技藝者也應可明白,這些等效的建構並未脫離本揭露的精神與範圍,並且他們可以在不脫離本揭露精神與範圍的前提下做各種的改變、替換與變動。 The above has summarized the features of several embodiments, so that those skilled in the art You can learn more about this disclosure. Those skilled in the art should appreciate that they can easily use this disclosure as a basis to design or modify other processes and structures to achieve the same goals and/or achieve the same advantages as the embodiments introduced herein. . Those skilled in this art should also understand that these equivalent constructions do not deviate from the spirit and scope of the disclosure, and they can make various changes, substitutions and changes without departing from the spirit and scope of the disclosure.

20,40:電源軌 20,40:Power rail

80n:n型主動區結構 80n: n-type active area structure

80p:p型主動區結構 80p: p-type active area structure

100:反或閘電路 100: Inverse OR gate circuit

110:單元邊界 110:Unit boundary

111,119:垂直單元邊界 111,119: Vertical cell boundary

122,124,126:前側第一層導線 122,124,126: First layer of wires on the front side

132n,132p,135n,135p,138:端子導體 132n, 132p, 135n, 135p, 138: terminal conductor

151,159:虛置閘極導體 151,159: Dummy gate conductor

152,158:閘極導體 152,158: Gate conductor

1BVD1,1BVG1,1BVG2,1VD1,1VD2,1VDdd,1VDss:通孔連接器 1BVD1, 1BVG1, 1BVG2, 1VD1, 1VD2, 1VDdd, 1VDss: through hole connector

A-A’,B-B’,C-C’,D-D’,E-E’,P-P’,Q-Q’,R-R’:切割平面 A-A’, B-B’, C-C’, D-D’, E-E’, P-P’, Q-Q’, R-R’: cutting plane

CPP:接觸多晶間距 CPP: contact polycrystalline spacing

X,Y:方向 X,Y: direction

Claims (10)

一種積體電路,包括:一第一型主動區結構與一第二型主動區結構,在一基板上沿著一第一方向延伸;一前側第一層導線,在該基板上方的一第一連接層中;複數個閘極導體,在該第一連接層下方沿著一第二方向延伸,其中該些閘極導體之兩相鄰者間隔一間隔距離且該間隔距離等於一接觸多晶間距;一電路單元,具有沿著垂直於該第一方向的該第二方向延伸的一第一垂直邊界與一第二垂直邊界,其中該第一垂直邊界與該第二垂直邊界之每一者跨越至少一邊界隔離區,其中沿著該第一方向之該第一垂直邊界與該第二垂直邊界之間的一距離小於或等於該接觸多晶間距的三倍;一背側水平導線,在該基板下方的一背側第一導電層中沿著該第一方向延伸,其中該背側水平導線延伸跨越該電路單元的該第一垂直邊界;一背側垂直導線,在該背側第一導電層下方的一背側第二導電層中沿著該第二方向延伸,其中該背側垂直導線對齊於該第一垂直邊界;及一接腳連接器,用於該電路單元,直接地連接於該背側水平導線與該背側垂直導線之間。 An integrated circuit includes: a first-type active area structure and a second-type active area structure extending along a first direction on a substrate; a first-layer conductor on the front side, a first layer above the substrate In the connection layer; a plurality of gate conductors extend along a second direction below the first connection layer, wherein two adjacent gate conductors are spaced apart by a spacing distance and the spacing distance is equal to a contact polycrystalline spacing ; A circuit unit having a first vertical boundary and a second vertical boundary extending along the second direction perpendicular to the first direction, wherein each of the first vertical boundary and the second vertical boundary spans At least one boundary isolation region, wherein a distance between the first vertical boundary and the second vertical boundary along the first direction is less than or equal to three times the contact poly pitch; a backside horizontal conductor on the A backside first conductive layer below the substrate extends along the first direction, wherein the backside horizontal conductor extends across the first vertical boundary of the circuit unit; a backside vertical conductor, in the backside first conductive a backside second conductive layer below the layer extending along the second direction, wherein the backside vertical conductors are aligned with the first vertical boundary; and a pin connector for the circuit unit directly connected to between the backside horizontal wire and the backside vertical wire. 如請求項1所述之積體電路,其中該背側水平導線以小於該接觸多晶間距但大於該接觸多晶間距的八 分之一之距離延伸跨越該電路單元的該第一垂直邊界。 The integrated circuit as claimed in claim 1, wherein the backside horizontal conductor has an eight-dimensional conductor that is smaller than the contact polycrystal pitch but larger than the contact polycrystalline pitch. One-half of the distance extends across the first vertical boundary of the circuit unit. 如請求項1所述之積體電路,其中該背側水平導線以小於該接觸多晶間距但大於該接觸多晶間距的四分之一之距離延伸跨越該電路單元的該第一垂直邊界。 The integrated circuit of claim 1, wherein the backside horizontal conductor extends across the first vertical boundary of the circuit unit at a distance smaller than the contact poly pitch but greater than a quarter of the contact poly pitch. 如請求項1所述之積體電路,其中該背側水平導線以小於該接觸多晶間距但大於該接觸多晶間距的二分之一之距離延伸跨越該電路單元的該第一垂直邊界。 The integrated circuit of claim 1, wherein the backside horizontal conductor extends across the first vertical boundary of the circuit unit at a distance smaller than the contact poly pitch but greater than half of the contact poly pitch. 一種積體電路,包括:一第一型主動區結構與一第二型主動區結構,在一基板上沿著一第一方向延伸;一前側第一層導線,在該基板上方的一第一連接層中;複數個閘極導體,在該第一連接層下方沿著一第二方向延伸,其中該些閘極導體之兩相鄰者間隔一間隔距離且該間隔距離等於一接觸多晶間距;一電路單元,具有沿著垂直於該第一方向的該第二方向延伸的一第一垂直邊界與一第二垂直邊界,其中該第一垂直邊界與該第二垂直邊界之每一者跨越至少一邊界隔離區;一背側水平導線,在該基板下方的一背側第一導電層中沿著該第一方向延伸;一背側垂直導線,在該背側第一導電層下方的一背側第 二導電層中沿著該第二方向延伸;及一接腳連接器,用於該電路單元,在該背側水平導線與該背側垂直導線之間的一重疊區域處直接地連接於該背側水平導線與該背側垂直導線之間;其中該背側水平導線具有覆蓋該重疊區域的一第一部分且該背側水平導線在該重疊區域外具有一第二部分,其中該第一部分沿著該第一方向的一第一寬度大於該第二部分沿著該第一方向的一第二寬度。 An integrated circuit includes: a first-type active area structure and a second-type active area structure extending along a first direction on a substrate; a first-layer conductor on the front side, a first layer above the substrate In the connection layer; a plurality of gate conductors extend along a second direction below the first connection layer, wherein two adjacent gate conductors are spaced apart by a spacing distance and the spacing distance is equal to a contact polycrystalline spacing ; A circuit unit having a first vertical boundary and a second vertical boundary extending along the second direction perpendicular to the first direction, wherein each of the first vertical boundary and the second vertical boundary spans At least one boundary isolation area; a backside horizontal conductor extending along the first direction in a backside first conductive layer below the substrate; a backside vertical conductor in a backside first conductive layer below the substrate dorsal Two conductive layers extend along the second direction; and a pin connector for the circuit unit is directly connected to the back at an overlap area between the back horizontal conductor and the back vertical conductor. between the side horizontal conductor and the backside vertical conductor; wherein the backside horizontal conductor has a first portion covering the overlapping area and the backside horizontal conductor has a second portion outside the overlapping area, wherein the first portion is along A first width in the first direction is greater than a second width of the second portion along the first direction. 如請求項5所述之積體電路,其中該第一寬度比該第二寬度大超過該接觸多晶間距的八分之一。 The integrated circuit of claim 5, wherein the first width is greater than the second width by more than one-eighth of the contact poly pitch. 如請求項5所述之積體電路,其中該第一寬度比該第二寬度大超過該接觸多晶間距的四分之一。 The integrated circuit of claim 5, wherein the first width is larger than the second width by more than a quarter of the contact poly pitch. 如請求項5所述之積體電路,其中該第一垂直邊界與該第二垂直邊界沿著該第一方向間隔一距離,且該距離小於或等於該接觸多晶間距的三倍。 The integrated circuit of claim 5, wherein the first vertical boundary and the second vertical boundary are separated by a distance along the first direction, and the distance is less than or equal to three times the contact poly pitch. 一種製造積體電路的方法,包括:在一基板上製造沿著一第一方向延伸之一第一型主動區結構與一第二型主動區結構;製造沿著垂直於該第一方向的一第二方向延伸之複數個閘極導體,其中每一該些閘極導體與該基板上方的該第一 型主動區結構和/或該第二型主動區結構相交,其中該些閘極導體之兩相鄰者間隔一間隔距離且該間隔距離等於一接觸多晶間距;在該基板下方的一背側第一導電層中製造沿著該第一方向延伸之一背側水平導線;製造連接該背側水平導線的一接腳連接器;及在該背側第一導電層下方的一背側第二導電層中製造沿著該第二方向延伸之一背側垂直導線,其中該背側垂直導線對齊於一電路單元的一第一垂直邊界,其中該接腳連接器在該背側水平導線與該背側垂直導線之間的一重疊區域處直接地連接於該背側水平導線與該背側垂直導線之間;其中製造該背側水平導線包括將該背側水平導線製造為一延伸導線,該延伸導線以小於該接觸多晶間距的距離延伸跨越該電路單元的該第一垂直邊界。 A method of manufacturing an integrated circuit, including: manufacturing a first type active area structure and a second type active area structure extending along a first direction on a substrate; manufacturing a first type active area structure extending along a first direction; A plurality of gate conductors extending in the second direction, wherein each of the gate conductors is connected to the first conductor above the substrate Type active region structure and/or the second type active region structure intersects, wherein two adjacent ones of the gate conductors are spaced apart by a spacing distance and the spacing distance is equal to a contact poly pitch; on a back side under the substrate A backside horizontal conductor extending along the first direction is fabricated in the first conductive layer; a pin connector connected to the backside horizontal conductor is fabricated; and a backside second conductive layer is formed under the backside first conductive layer. A backside vertical conductor extending along the second direction is fabricated in the conductive layer, wherein the backside vertical conductor is aligned with a first vertical boundary of a circuit unit, wherein the pin connector has a connection between the backside horizontal conductor and the An overlapping area between the backside vertical conductors is directly connected between the backside horizontal conductor and the backside vertical conductor; wherein manufacturing the backside horizontal conductor includes manufacturing the backside horizontal conductor as an extended conductor, the Extension wires extend across the first vertical boundary of the circuit cell at a distance less than the contact poly pitch. 如請求項9所述之方法,更包括:製造該背側垂直導線以形成覆蓋該重疊區域的一第一部分且形成在該重疊區域外的一第二部分,其中該第一部分沿著該第一方向的一第一寬度大於該第二部分沿著該第一方向的一第二寬度。 The method of claim 9, further comprising: manufacturing the backside vertical conductor to form a first portion covering the overlapping area and a second portion formed outside the overlapping area, wherein the first portion is along the first A first width in a direction is greater than a second width of the second portion along the first direction.
TW110131916A 2021-02-08 2021-08-27 Integrated circuits and method for manufacturing the same TWI813010B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163147065P 2021-02-08 2021-02-08
US63/147,065 2021-02-08
US17/344,411 US11942469B2 (en) 2021-02-08 2021-06-10 Backside conducting lines in integrated circuits
US17/344,411 2021-06-10

Publications (2)

Publication Number Publication Date
TW202232614A TW202232614A (en) 2022-08-16
TWI813010B true TWI813010B (en) 2023-08-21

Family

ID=81672331

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110131916A TWI813010B (en) 2021-02-08 2021-08-27 Integrated circuits and method for manufacturing the same

Country Status (3)

Country Link
US (2) US11942469B2 (en)
CN (1) CN114551472A (en)
TW (1) TWI813010B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115312518A (en) * 2021-07-02 2022-11-08 台湾积体电路制造股份有限公司 Circuit arrangement with reduced dependency on layout environment
US20240070364A1 (en) * 2022-08-23 2024-02-29 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit cells having power grid stubs

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201208017A (en) * 2010-08-04 2012-02-16 Nanya Technology Corp Integrated circuit structure with through via for heat evacuating
TW202105689A (en) * 2019-03-20 2021-02-01 美商綠芯智慧財產有限責任公司 Process for manufacturing nor memory cell with vertical floating gate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US11139241B2 (en) * 2016-12-07 2021-10-05 Intel Corporation Integrated circuit device with crenellated metal trace layout
US10447274B2 (en) * 2017-07-11 2019-10-15 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells
US10957679B2 (en) * 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
US11309334B2 (en) * 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11276677B1 (en) * 2020-02-12 2022-03-15 Cadence Design Systems, Inc. Concurrent optimization of 3D-IC with asymmetrical routing layers
US11557583B2 (en) * 2020-09-10 2023-01-17 Arm Limited Cell architecture
US11404374B2 (en) * 2020-09-30 2022-08-02 Qualcomm Incorporated Circuits employing a back side-front side connection structure for coupling back side routing to front side routing, and related complementary metal oxide semiconductor (CMOS) circuits and methods
US11836432B2 (en) * 2020-11-06 2023-12-05 Arm Limited Cell architecture with backside power rails
US11901290B2 (en) * 2021-01-14 2024-02-13 Arm Limited Bitcell architecture using buried metal
US11328750B1 (en) * 2021-01-22 2022-05-10 Arm Limited Bitcell architecture with buried ground rail

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201208017A (en) * 2010-08-04 2012-02-16 Nanya Technology Corp Integrated circuit structure with through via for heat evacuating
TW202105689A (en) * 2019-03-20 2021-02-01 美商綠芯智慧財產有限責任公司 Process for manufacturing nor memory cell with vertical floating gate

Also Published As

Publication number Publication date
US20220254770A1 (en) 2022-08-11
US11942469B2 (en) 2024-03-26
TW202232614A (en) 2022-08-16
CN114551472A (en) 2022-05-27
US20240266345A1 (en) 2024-08-08

Similar Documents

Publication Publication Date Title
US20200042668A1 (en) Power structure with power pick-up cell connecting to buried power rail
US11138362B2 (en) Integrated circuit layout method and system
US11275885B2 (en) Engineering change order cell structure having always-on transistor
US10878161B2 (en) Method and structure to reduce cell width in integrated circuits
US11562953B2 (en) Cell having stacked pick-up region
US11296070B2 (en) Integrated circuit with backside power rail and backside interconnect
US11664311B2 (en) Method and structure to reduce cell width in semiconductor device
TWI813010B (en) Integrated circuits and method for manufacturing the same
TW202013066A (en) Method of designing an integrated circuit
US20230067311A1 (en) Integrated circuits having stacked transistors and backside power nodes
US20230402374A1 (en) Signal conducting line arrangements in integrated circuits
TWI846509B (en) Integrated circuit and method for producing the same
US11967596B2 (en) Power rail and signal conducting line arrangement
US11699015B2 (en) Circuit arrangements having reduced dependency on layout environment
US20230307386A1 (en) Boundary cells adjacent to keep-out zones
US20240355707A1 (en) Power rail arrangements in integrated circuits having stacked transistors
US20240070364A1 (en) Circuit cells having power grid stubs
CN115036304A (en) Integrated circuit device and method of manufacturing the same
TW202343569A (en) Integrated circuit and fabricating method thereof