TWI796114B - Semiconductor die package and method for forming the same - Google Patents

Semiconductor die package and method for forming the same Download PDF

Info

Publication number
TWI796114B
TWI796114B TW111103062A TW111103062A TWI796114B TW I796114 B TWI796114 B TW I796114B TW 111103062 A TW111103062 A TW 111103062A TW 111103062 A TW111103062 A TW 111103062A TW I796114 B TWI796114 B TW I796114B
Authority
TW
Taiwan
Prior art keywords
semiconductor die
substrate
package
semiconductor
cover
Prior art date
Application number
TW111103062A
Other languages
Chinese (zh)
Other versions
TW202245197A (en
Inventor
葉書伸
楊哲嘉
許佳桂
游明志
林柏堯
鄭心圃
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202245197A publication Critical patent/TW202245197A/en
Application granted granted Critical
Publication of TWI796114B publication Critical patent/TWI796114B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4885Wire-like parts or pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4824Pads with extended contours, e.g. grid structure, branch structure, finger structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60225Arrangement of bump connectors prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/111Manufacture and pre-treatment of the bump connector preform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other

Abstract

A semiconductor die package and a method of forming the same are provided. The semiconductor die package includes a package substrate, an interposer substrate over the package substrate, semiconductor dies over the interposer substrate, and an underfill element over the interposer substrate and between the semiconductor dies and interposer substrate. The semiconductor die package also includes a ring structure and one or more lid structures separated from the ring structure. The ring structure is coupled to the package substrate to control warpage. The lid structures are coupled to the top surfaces of the semiconductor dies to control warpage and help heat dissipation. In addition, the lid structures define a gap to allow a portion of the underfill element between the adjacent semiconductor dies to be exposed, so that stress concentration on that portion can be avoided or reduced. Accordingly, the reliability of the semiconductor die package is improved.

Description

半導體晶粒封裝及其形成方法Semiconductor die package and method for forming the same

本發明實施例係關於一種半導體製造技術,特別係有關於一種包括多蓋結構的半導體晶粒封裝及其形成方法。Embodiments of the present invention relate to a semiconductor manufacturing technology, in particular to a semiconductor die package including a multi-lid structure and a method for forming the same.

半導體裝置被用於各式電子應用中,例如個人電腦、手機、數位相機以及其他電子設備。半導體裝置是通過在半導體基板之上依序地沉積絕緣或介電層、導電層和半導體層,並使用微影及蝕刻製程對各個材料層執行圖案化以在其上形成電路部件和元件來製造。一般來說,許多積體電路(integrated circuits,ICs)是在單個半導體晶圓上製造,且晶圓上的各個晶粒通過沿著劃線在積體電路之間執行鋸切而被分割。各個晶粒一般被單獨封裝在例如多晶片模組(multi-chip modules)或其他類型的封裝中。Semiconductor devices are used in various electronic applications such as personal computers, mobile phones, digital cameras, and other electronic equipment. Semiconductor devices are manufactured by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers on a semiconductor substrate, and patterning the individual material layers using lithography and etching processes to form circuit components and elements thereon . Generally, many integrated circuits (ICs) are fabricated on a single semiconductor wafer, and individual die on the wafer are singulated by performing sawing between the integrated circuits along scribe lines. The individual dies are typically individually packaged in, for example, multi-chip modules or other types of packages.

一種較小的半導體封裝類型是覆晶晶片級封裝(flip chip chip-scale package,FcCSP),其中半導體晶粒被倒置放在封裝基板上並使用導電凸塊連接到封裝基板。底部填充元件通常被施加到由導電凸塊形成的間隙中,以將半導體晶粒固定到封裝基板。基板具有佈線以將半導體晶粒上的凸塊連接到封裝基板上具有較大佔位面積(footprint)的接觸墊。焊球陣列形成在封裝基板的另一側,用於將封裝的半導體晶粒電連接到終端應用。A smaller type of semiconductor package is flip chip chip-scale package (FcCSP), in which a semiconductor die is placed upside down on a package substrate and connected to the package substrate using conductive bumps. Underfill elements are typically applied into the gaps formed by the conductive bumps to secure the semiconductor die to the packaging substrate. The substrate has wiring to connect bumps on the semiconductor die to contact pads on the packaging substrate with a larger footprint. An array of solder balls is formed on the other side of the package substrate for electrically connecting the packaged semiconductor die to the end application.

雖然現有的封裝結構及製造封裝結構的方法通常已經足以滿足其預計目的,但它們仍不是在所有方面都完全令人滿意的。While existing package structures and methods of making package structures have generally been adequate for their intended purposes, they have not been entirely satisfactory in all respects.

本揭露一些實施例提供一種半導體晶粒封裝。所述半導體晶粒封裝包括封裝基板、中介層基板、第一半導體晶粒及第二半導體晶粒、底部填充元件、環結構、第一蓋結構以及第二蓋結構。封裝基板具有第一表面。中介層基板設置在第一表面之上。第一半導體晶粒及第二半導體晶粒設置在中介層基板之上。底部填充元件設置在中介層基板之上並圍繞第一半導體晶粒及第二半導體晶粒,其中底部填充元件的一部分位於第一半導體晶粒與第二半導體晶粒之間。環結構附接到封裝基板的第一表面並沿著封裝基板的周邊佈置。第一蓋結構附接到第一半導體晶粒的第一頂表面。第二蓋結構附接到第二半導體晶粒的第二頂表面,其中第二蓋結構與第一蓋結構分離,且一間隙形成在第一蓋結構與第二蓋結構之間並位於底部填充元件的該部分之上。Some embodiments of the present disclosure provide a semiconductor die package. The semiconductor die package includes a package substrate, an interposer substrate, a first semiconductor die and a second semiconductor die, an underfill element, a ring structure, a first cap structure, and a second cap structure. The packaging substrate has a first surface. The interposer substrate is disposed on the first surface. The first semiconductor crystal grain and the second semiconductor crystal grain are disposed on the interposer substrate. The underfill element is disposed on the interposer substrate and surrounds the first semiconductor die and the second semiconductor die, wherein a part of the underfill element is located between the first semiconductor die and the second semiconductor die. The ring structure is attached to the first surface of the package substrate and arranged along the perimeter of the package substrate. A first cap structure is attached to the first top surface of the first semiconductor die. A second cap structure is attached to the second top surface of the second semiconductor die, wherein the second cap structure is separated from the first cap structure, and a gap is formed between the first cap structure and the second cap structure at the underfill over that part of the component.

本揭露一些實施例提供一種半導體晶粒封裝。所述半導體晶粒封裝包括封裝基板、中介層基板、第一半導體晶粒及第二半導體晶粒、底部填充元件、環結構以及蓋結構。封裝基板具有第一表面。中介層基板設置在第一表面之上。第一半導體晶粒及第二半導體晶粒設置在中介層基板之上。底部填充元件設置在中介層基板之上並圍繞第一半導體晶粒及第二半導體晶粒,其中底部填充元件的一部分位於第一半導體晶粒與第二半導體晶粒之間。環結構附接到封裝基板的第一表面並沿著封裝基板的周邊佈置。蓋結構包括第一蓋部及第二蓋部,其中第一蓋部覆蓋第一半導體晶粒的第一頂表面,第二蓋部覆蓋第二半導體晶粒的第二頂表面,且一間隙形成在該第一蓋部與第二蓋部之間以暴露底部填充元件的該部分。Some embodiments of the present disclosure provide a semiconductor die package. The semiconductor die package includes a package substrate, an interposer substrate, a first semiconductor die and a second semiconductor die, an underfill element, a ring structure, and a cap structure. The packaging substrate has a first surface. The interposer substrate is disposed on the first surface. The first semiconductor crystal grain and the second semiconductor crystal grain are disposed on the interposer substrate. The underfill element is disposed on the interposer substrate and surrounds the first semiconductor die and the second semiconductor die, wherein a part of the underfill element is located between the first semiconductor die and the second semiconductor die. The ring structure is attached to the first surface of the package substrate and arranged along the perimeter of the package substrate. The cover structure includes a first cover portion and a second cover portion, wherein the first cover portion covers the first top surface of the first semiconductor die, the second cover portion covers the second top surface of the second semiconductor die, and a gap is formed The part of the underfill element is exposed between the first cover part and the second cover part.

本揭露一些實施例提供一種形成半導體晶粒封裝的方法。所述方法包括在中介層基板之上放置第一半導體晶粒及第二半導體晶粒。所述方法也包括在中介層基板之上形成底部填充元件以圍繞第一半導體晶粒及第二半導體晶粒,其中底部填充元件的一部分位於第一半導體晶粒與第二半導體晶粒之間。所述方法還包括將中介層基板連同第一半導體晶粒、第二半導體晶粒以及底部填充元件堆疊在封裝基板之上。所述方法還包括在封裝基板上並沿著封裝基板的周邊安裝環結構。此外,所述方法包括附接第一蓋結構到第一半導體晶粒的第一頂表面以及附接第二蓋結構到第二半導體晶粒的第二頂表面,其中第一蓋結構與第二蓋結構之間形成一間隙以暴露底部填充元件的部分。Some embodiments of the present disclosure provide a method of forming a semiconductor die package. The method includes placing a first semiconductor die and a second semiconductor die over an interposer substrate. The method also includes forming an underfill feature over the interposer substrate to surround the first semiconductor die and the second semiconductor die, wherein a portion of the underfill feature is located between the first semiconductor die and the second semiconductor die. The method also includes stacking the interposer substrate along with the first semiconductor die, the second semiconductor die, and the underfill element over the packaging substrate. The method also includes mounting a ring structure on the packaging substrate and along a perimeter of the packaging substrate. In addition, the method includes attaching a first cap structure to a first top surface of the first semiconductor die and attaching a second cap structure to a second top surface of the second semiconductor die, wherein the first cap structure and the second A gap is formed between the cap structures to expose a portion of the underfill element.

以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下描述具體的構件及其排列方式的實施例以闡述本揭露。當然,這些實施例僅作為範例,而不該以此限定本揭露的範圍。例如,在說明書中敘述了一第一特徵形成在一第二特徵之上或上方,其可能包含第一特徵與第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成在第一特徵與第二特徵之間,而使得第一特徵與第二特徵可能未直接接觸的實施例。另外,在本揭露不同範例中可能使用重複的參考符號及/或標記,此重複係為了簡化與清晰的目的,並非用以限定所討論的各個實施例及/或結構之間有特定的關係。The following disclosure provides many different embodiments or examples for implementing different features of the present invention. Examples of specific components and their arrangements are described below to illustrate the present disclosure. Of course, these embodiments are only examples, and should not limit the scope of the present disclosure. For example, it is described in the description that a first feature is formed on or over a second feature, which may include an embodiment in which the first feature is in direct contact with the second feature, and may also include an embodiment in which additional features are formed on the first feature. An embodiment between a feature and a second feature such that the first feature and the second feature may not be in direct contact. In addition, repeated reference symbols and/or signs may be used in different examples of the present disclosure. This repetition is for the purpose of simplification and clarity, and is not intended to limit the specific relationship between the various embodiments and/or structures discussed.

再者,空間相關用語,例如「在…下方」、「下方」、「較低的」、「在…上方」、「較高的」及類似的用語,是為了便於描述圖式中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用語意欲包含使用中或操作中的裝置之不同方位。設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。Furthermore, spatially relative terms such as "below", "beneath", "lower", "above", "higher" and similar terms are intended for convenience in describing an element or The relationship between a feature and another element(s) or feature. These spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be turned in different orientations (rotated 90 degrees or other orientations), and the spatially related words used herein may be interpreted accordingly.

說明書中的用語「基本上(substantially)」,例如「基本上平坦」或「基本上共平面」等為本領域技術人員所能理解的。在一些實施例中,形容詞「基本上」可被去除。在適用的情況下,用語「基本上」也可以包括「全部(entirely)」、「完全(completely)」、「所有(all)」等的實施例。在適用的情況下,用語「基本上」也可以表示90%或更高,例如95%或更高,特別是99%或更高,包括100%。此外,例如「基本上平行」或「基本上垂直」之類的用語應解釋成不排除相較於特定佈置的微小偏差,例如可以包括高達10°的偏差。用語「基本上」不排除「完全」,例如「基本上不含(substantially free)」Y的組合物可以是完全不含Y。The terms "substantially" in the specification, such as "substantially flat" or "substantially coplanar", are understood by those skilled in the art. In some embodiments, the adjective "substantially" can be removed. Where applicable, the term "substantially" may also include embodiments of "entirely", "completely", "all", etc. Where applicable, the term "substantially" may also mean 90% or higher, such as 95% or higher, especially 99% or higher, including 100%. Furthermore, terms such as "substantially parallel" or "substantially perpendicular" should be interpreted as not excluding minor deviations from a particular arrangement, eg deviations of up to 10° may be included. The term "substantially" does not exclude "completely", for example, a composition "substantially free" of Y may be completely free of Y.

與特定距離或尺寸結合使用的用語,例如「約」,應解釋成不排除相較於特定距離或尺寸的微小偏差,例如可以包括高達10%的偏差。用於數值x的用語「約」可能表示x±5或10%。Terms used in conjunction with a specific distance or size, such as "about", should be interpreted as not excluding minor deviations from the specific distance or size, for example deviations of up to 10% may be included. The term "about" applied to a value x may mean x ± 5 or 10%.

根據本揭露各個實施例提供一種半導體晶粒封裝及其形成方法。一些實施例的一些變體(variations)也將進行討論。在各個視圖和說明性實施例中,相同的參考符號用於表示相同的元件。根據本揭露一些實施例,一種半導體晶粒封裝包括用於控制翹曲及用於減少應力集中在位於相鄰的半導體晶粒之間的底部填充元件的一部分上的多蓋結構(multi-lid structures)。一些剛性蓋結構(或部分)耦接到封裝基板,而一些其他剛性蓋結構(或部分)分別附接到封裝基板之上的半導體晶粒的頂表面,同時暴露位於相鄰的半導體晶粒之間的底部填充元件的一部分。如此一來,可以減少封裝基板及半導體晶粒的翹曲,並減少應力集中在位於相鄰的半導體晶粒之間的底部填充元件的部分上及其破裂或分層的風險,這將在後面進一步描述。Various embodiments according to the present disclosure provide a semiconductor die package and a method for forming the same. Some variations of some embodiments will also be discussed. Like reference numerals are used to refer to like elements throughout the various views and illustrative embodiments. According to some embodiments of the present disclosure, a semiconductor die package includes multi-lid structures for controlling warpage and for reducing stress concentration on a portion of an underfill element located between adjacent semiconductor dies. ). Some rigid cover structures (or portions) are coupled to the package substrate, while some other rigid cover structures (or portions) are respectively attached to the top surfaces of the semiconductor dies above the package substrate while exposing the Part of the underfill element between. In this way, the warpage of the package substrate and semiconductor die can be reduced, and the stress concentration and the risk of cracking or delamination at the portion of the underfill component located between adjacent semiconductor dies will be reduced, which will be discussed later described further.

將針對特定的背景(context)來描述實施例,即,在二維半積體電路(two and a half dimensional integrated circuit,2.5DIC)結構或三維積體電路(three dimensional IC,3DIC)結構中具有中介層基板或其他主動晶片的封裝技術。在此討論的實施例是提供示例以使得能夠製造或使用本揭露的發明標的,本領域普通技術人員將容易理解到在不同實施例的預期範圍內所可作出的修改。儘管下面討論的方法實施例可以特定順序來執行,但其他方法實施例也可設想以任何邏輯順序執行的步驟。Embodiments will be described in a specific context, namely, in a two and a half dimensional integrated circuit (2.5DIC) structure or in a three dimensional integrated circuit (3DIC) structure with Packaging technology for interposer substrates or other active chips. The embodiments discussed herein are provided as examples to enable making or using the disclosed subject matter, and those of ordinary skill in the art will readily appreciate modifications that may be made within the intended scope of the various embodiments. Although the method embodiments discussed below may be performed in a particular order, other method embodiments also contemplate steps performed in any logical order.

第1A圖至第1F圖顯示根據本揭露一些實施例之形成一半導體晶粒封裝的中間階段的剖面圖。一些對應的製程也示意性地反映在第4圖所示的製程流程中。1A-1F show cross-sectional views of intermediate stages of forming a semiconductor die package according to some embodiments of the present disclosure. Some corresponding processes are also schematically reflected in the process flow shown in FIG. 4 .

如第1A圖所示,根據一些實施例,在載體基板100之上形成中介層基板102。載體基板100用於在後續處理步驟中為建構(build-up)層或結構的處理提供暫時的機械和結構支撐。載體基板100可為玻璃基板、半導體基板或其他合適的基板。封裝基板102用於在第1D圖所示的後續階段中去除載體基板100之後為封裝在封裝結構中的半導體裝置(將在後面描述)與封裝基板(將在後面描述)之間提供電連接。As shown in FIG. 1A , an interposer substrate 102 is formed over a carrier substrate 100 in accordance with some embodiments. The carrier substrate 100 is used to provide temporary mechanical and structural support for the handling of build-up layers or structures during subsequent processing steps. The carrier substrate 100 may be a glass substrate, a semiconductor substrate or other suitable substrates. The package substrate 102 is used to provide an electrical connection between a semiconductor device (to be described later) packaged in the package structure and the package substrate (to be described later) after the carrier substrate 100 is removed in a subsequent stage shown in FIG. 1D .

在一些實施例中,中介層基板102是中介層晶圓,不含有主動裝置(例如,電晶體和二極體)及被動裝置(例如,電阻器、電容器、電感器等)。在一些替代實施例中,中介層基板102是在其上或其中包括主動及/或被動裝置的裝置晶圓。在一些實施例中,中介層基板102可為介電基板,其包括重分佈層(redistribution layer,RDL)結構。如第1A圖所示,重分佈層結構包括多個層疊的絕緣層104以及由絕緣層104圍繞的多個導電特徵106。導電特徵106可以包括導電線路、導電通孔(vias)及/或導電墊(pads)。在一些實施例中,一些導電通孔是相互堆疊的,上方的導電通孔與下方的導電通孔基本上對準,從而具有較短的佈線長度。然而,在某些佈線受限的情況下,一些導電通孔為交錯排列的(staggered)通孔,上方的導電通孔與下方的導電通孔未對準。In some embodiments, the interposer substrate 102 is an interposer wafer, free of active devices (eg, transistors and diodes) and passive devices (eg, resistors, capacitors, inductors, etc.). In some alternative embodiments, the interposer substrate 102 is a device wafer including active and/or passive devices thereon or therein. In some embodiments, the interposer substrate 102 may be a dielectric substrate including a redistribution layer (RDL) structure. As shown in FIG. 1A , the RDL structure includes a plurality of stacked insulating layers 104 and a plurality of conductive features 106 surrounded by the insulating layers 104 . The conductive features 106 may include conductive lines, vias and/or pads. In some embodiments, some conductive vias are stacked on top of each other, and the upper conductive via is substantially aligned with the lower conductive via, thereby having a shorter wiring length. However, in some routing-constrained situations, some conductive vias are staggered vias, with upper conductive vias misaligned with lower conductive vias.

絕緣層104可以包括或由一或多種聚合物材料製成。聚合物材料可以包括聚苯噁唑(polybenzoxazole,PBO)、聚醯亞胺(polyimide,PI)、環氧基樹脂(epoxy-based resin)、一或多種其他合適的聚合物材料或其組合。在一些實施例中,聚合物材料是光敏性的。因此,可以使用光微影製程在絕緣層104中形成具有期望圖案的開口。The insulating layer 104 may include or be made of one or more polymeric materials. The polymer material may include polybenzoxazole (PBO), polyimide (PI), epoxy-based resin, one or more other suitable polymer materials, or a combination thereof. In some embodiments, the polymeric material is photosensitive. Therefore, an opening having a desired pattern can be formed in the insulating layer 104 using a photolithography process.

在一些其他實施例中,一些或全部的絕緣層104包括或由聚合物材料以外的介電材料製成。介電材料可以包括氧化矽、碳化矽、氮化矽、氮氧化矽、一或多種其他合適的材料或其組合。In some other embodiments, some or all of insulating layer 104 includes or is made of a dielectric material other than a polymer material. The dielectric material may include silicon oxide, silicon carbide, silicon nitride, silicon oxynitride, one or more other suitable materials, or combinations thereof.

導電特徵106可以包括在水平方向上提供電連接的導電線路,以及在垂直方向上提供電連接的導電通孔。導電特徵106可以包括或由銅、鋁、金、鈷、鈦、鎳、銀、石墨烯、一或多種其他合適的導電材料或其組合製成。在一些實施例中,導電特徵106包括多個子層。舉例來說,每個導電特徵106包含多個子層,包括Ti/Cu、Ti/Ni/Cu、Ti/Cu/Ti、Al/Ti/Ni/Ag、其他合適的多個子層或其組合。Conductive features 106 may include conductive traces that provide electrical connections in the horizontal direction, and conductive vias that provide electrical connections in the vertical direction. Conductive features 106 may include or be made of copper, aluminum, gold, cobalt, titanium, nickel, silver, graphene, one or more other suitable conductive materials, or combinations thereof. In some embodiments, conductive feature 106 includes multiple sub-layers. For example, each conductive feature 106 includes multiple sublayers including Ti/Cu, Ti/Ni/Cu, Ti/Cu/Ti, Al/Ti/Ni/Ag, other suitable multiple sublayers, or combinations thereof.

(中介層基板102的)重分佈層結構的形成可以涉及多個沉積或塗布製程、多個圖案化製程及/或多個平坦化製程。Formation of the redistribution layer structure (of the interposer substrate 102 ) may involve multiple deposition or coating processes, multiple patterning processes, and/or multiple planarization processes.

沉積或塗布製程可用於形成絕緣層及/或導電層。沉積或塗布製程可以包括旋轉塗布製程、電鍍製程、化學鍍製程、化學氣相沉積(chemical vapor deposition,CVD)製程、物理氣相沉積(physical vapor deposition,PVD)製程、原子層沉積(atomic layer deposition,ALD)製程、一或多種其他適用的製程或其組合。Deposition or coating processes may be used to form insulating and/or conductive layers. The deposition or coating process may include spin coating process, electroplating process, chemical plating process, chemical vapor deposition (chemical vapor deposition, CVD) process, physical vapor deposition (physical vapor deposition, PVD) process, atomic layer deposition (atomic layer deposition) , ALD) process, one or more other applicable processes, or a combination thereof.

圖案化製程可用於圖案化形成的絕緣層及/或形成的導電層。圖案化製程可以包括光微影製程、能量束鑽孔製程(例如,雷射束鑽孔製程、離子束鑽孔製程或電子束鑽孔製程)、蝕刻製程、機械鑽孔製程、一或多種其他適用的製程或其組合。The patterning process may be used to pattern the formed insulating layer and/or the formed conductive layer. The patterning process may include a photolithography process, an energy beam drilling process (e.g., a laser beam drilling process, an ion beam drilling process, or an electron beam drilling process), an etching process, a mechanical drilling process, one or more other Applicable process or combination thereof.

平坦化製程可用於為形成的絕緣層及/或形成的導電層提供平坦的頂表面,以利於後續的製程。平坦化製程可以包括機械磨削(grinding)製程、化學機械研磨(chemical mechanical polishing ,CMP)製程、一或多種其他適用的製程或其組合。The planarization process can be used to provide a flat top surface for the formed insulating layer and/or the formed conductive layer, so as to facilitate subsequent processes. The planarization process may include a mechanical grinding (grinding) process, a chemical mechanical polishing (CMP) process, one or more other suitable processes, or a combination thereof.

在一些替代實施例中(未示出),中介層基板102可為半導體基板,其可以是體型(bulk)半導體基板、絕緣層上覆矽(silicon-on-insulator,SOI)基板、多層半導體基板等。中介層基板102的半導體材料可為矽、鍺、化合物半導體(包括矽鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、合金半導體(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP)或其組合。也可以使用其他基板,例如多層或梯度基板。中介層基板102可為摻雜的(doped)或未摻雜的(undoped)。In some alternative embodiments (not shown), the interposer substrate 102 may be a semiconductor substrate, which may be a bulk semiconductor substrate, a silicon-on-insulator (SOI) substrate, or a multilayer semiconductor substrate. wait. The semiconductor material of the interposer substrate 102 can be silicon, germanium, compound semiconductor (including silicon germanium, silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide), alloy semiconductor ( Including SiGe, GaAsP, AlInAs, AlGaAs, GalnAs, GaInP, and/or GaInAsP) or combinations thereof. Other substrates, such as multilayer or gradient substrates, may also be used. The interposer substrate 102 can be doped or undoped.

在一些實施例中,數個貫通孔(through-vias,TVs)形成在上述半導體基板中並貫穿半導體基板,以為安裝在中介層基板102兩相反側上的裝置提供電連接。形成貫通孔的製程為本領域所熟知,因此在此不再贅述。在另一些實施例中,可以在中介層基板102的半導體基板的一側或兩側上形成一或多個互連結構層(類似於第1A圖所示的中介層基板102的重分佈層結構),以便於佈線。In some embodiments, a plurality of through-vias (TVs) are formed in the semiconductor substrate and pass through the semiconductor substrate to provide electrical connections for devices mounted on opposite sides of the interposer substrate 102 . The process of forming the through hole is well known in the art, so it will not be repeated here. In other embodiments, one or more interconnect structure layers (similar to the RDL structure of the interposer substrate 102 shown in FIG. 1A ) may be formed on one or both sides of the semiconductor substrate of the interposer substrate 102. ) for ease of wiring.

如第1B圖所示,根據一些實施例,在中介層基板102之上設置數個半導體晶粒110及半導體晶粒112(為了說明,僅示出一個半導體晶粒110及一個半導體晶粒112)。相應的製程在第4圖所示的製程流程400中被示為製程401。可以使用例如拾取和放置工具(pick-and-place tool)將半導體晶粒110及半導體晶粒112放置在中介層基板102的第一側102A(例如,上側)之上。在一些實施例中,如第1B圖所示,半導體晶粒110及半導體晶粒112在中介層基板102之上並排佈置(例如,一個半導體晶粒110與一個半導體晶粒112相鄰),其中相鄰的半導體晶粒110與半導體晶粒112之間形成間隙G1。As shown in FIG. 1B , according to some embodiments, several semiconductor die 110 and semiconductor die 112 are disposed on interposer substrate 102 (only one semiconductor die 110 and one semiconductor die 112 are shown for illustration) . The corresponding process is shown as process 401 in the process flow 400 shown in FIG. 4 . Semiconductor die 110 and semiconductor die 112 may be placed over first side 102A (eg, upper side) of interposer substrate 102 using, for example, a pick-and-place tool. In some embodiments, as shown in FIG. 1B , semiconductor die 110 and semiconductor die 112 are arranged side-by-side on interposer substrate 102 (eg, one semiconductor die 110 is adjacent to one semiconductor die 112 ), wherein A gap G1 is formed between the adjacent semiconductor die 110 and the semiconductor die 112 .

在一些實施例中,半導體晶粒110及半導體晶粒112中的每一者可為積體電路晶片或晶粒,其包括在其上具有複數個半導體裝置(例如,電晶體、二極體、被動裝置等)的半導體基板,以形成功能性積體電路。功能性積體電路可以包括處理器、邏輯電路、記憶體、類比電路、數位電路、混合信號電路等。在一些實施例中,半導體晶粒110及半導體晶粒112中的每一者為系統單晶片(System on a Chip,SoC),其包括半導體基板C1、設置在半導體基板C1上的多個具有不同功能的電子部件C2(例如,主動部件及/或被動部件)、以及設置在半導體基板C1上並圍繞電子部件C2的由模製材料(例如,環氧基樹脂)製成的保護層C3,如第1F-1圖所示。半導體晶粒110及半導體晶粒112中的每一者可以例如通過沿著劃線鋸切或切割半導體晶圓(其上形成有多個積體電路晶粒)以將半導體晶圓分成多個單獨的半導體晶粒來獲得。In some embodiments, each of semiconductor die 110 and semiconductor die 112 may be an integrated circuit chip or die including a plurality of semiconductor devices (e.g., transistors, diodes, Passive devices, etc.) semiconductor substrates to form functional integrated circuits. Functional integrated circuits may include processors, logic circuits, memories, analog circuits, digital circuits, mixed-signal circuits, and the like. In some embodiments, each of the semiconductor die 110 and the semiconductor die 112 is a system on a chip (System on a Chip, SoC), which includes a semiconductor substrate C1, a plurality of chips with different Functional electronic components C2 (for example, active components and/or passive components), and a protective layer C3 made of a molding material (for example, epoxy resin) disposed on the semiconductor substrate C1 and surrounding the electronic components C2, such as Figure 1F-1. Each of semiconductor die 110 and semiconductor die 112 may be divided into a plurality of individual semiconductor wafers, for example, by sawing or dicing the semiconductor wafer (on which a plurality of integrated circuit dies are formed) along scribe lines. obtained from semiconductor grains.

在一些實施例中,半導體晶粒110(在此也被稱為第一半導體晶粒)及半導體晶粒112(在此也被稱為第二半導體晶粒)是提供不同功能的不同類型的電子裝置。舉例來說,在一些情況下,半導體晶粒110為處理器裝置,而半導體晶粒112為記憶體裝置。然而,也可以使用半導體晶粒110及半導體晶粒112的其他組合。在一些替代實施例中,半導體晶粒110及半導體晶粒112是相同類型的電子裝置。在各個實施例中,半導體晶粒110及半導體晶粒112在垂直方向(例如,第1B圖中所示的方向Z)上可以具有相同或不同的高度,及/或在垂直於垂直方向的水平截面中具有相同或不同的尺寸。In some embodiments, semiconductor die 110 (also referred to herein as a first semiconductor die) and semiconductor die 112 (also referred to herein as a second semiconductor die) are different types of electrons that serve different functions. device. For example, in some cases, semiconductor die 110 is a processor device and semiconductor die 112 is a memory device. However, other combinations of semiconductor die 110 and semiconductor die 112 may also be used. In some alternative embodiments, semiconductor die 110 and semiconductor die 112 are the same type of electronic device. In various embodiments, semiconductor die 110 and semiconductor die 112 may have the same or different heights in a vertical direction (eg, direction Z shown in FIG. Sections have the same or different dimensions.

在一些實施例中,在將半導體晶粒110及半導體晶粒112設置在中介層基板102之上之後,它們可以通過覆晶接合(flip-chip bonding)與中介層基板102接合,例如通過每個半導體晶粒110/112上的導電元件107與中介層基板102上的導電結構108形成導電接點,如第1B圖所示。In some embodiments, after the semiconductor die 110 and the semiconductor die 112 are disposed on the interposer substrate 102, they may be bonded to the interposer substrate 102 by flip-chip bonding, for example, through each The conductive elements 107 on the semiconductor die 110 / 112 form conductive contacts with the conductive structures 108 on the interposer substrate 102 , as shown in FIG. 1B .

在一些實施例中,在接合製程之前,導電元件107(例如,導電柱)可形成在暴露於每個半導體晶粒110/112的主動面(例如,第1B圖中所示的下表面)的接觸墊(未示出)上。導電元件107可以包括或由銅、鋁、金、鈷、鈦、錫、一或多種其他合適的材料或其組合製成。導電元件107可以使用電鍍製程、化學鍍製程、放置製程(placement process)、印刷製程、物理氣相沉積製程、化學氣相沉製程、一或多種其他適用的製程或其組合來形成。In some embodiments, conductive elements 107 (eg, conductive pillars) may be formed on the active surface (eg, the lower surface shown in FIG. 1B ) exposed to each semiconductor die 110 / 112 prior to the bonding process. contact pad (not shown). Conductive element 107 may include or be made of copper, aluminum, gold, cobalt, titanium, tin, one or more other suitable materials, or combinations thereof. The conductive element 107 may be formed using an electroplating process, an electroless plating process, a placement process, a printing process, a physical vapor deposition process, a chemical vapor deposition process, one or more other suitable processes, or a combination thereof.

在一些實施例中,如第1B圖所示,每個導電結構108可以包括金屬柱108A以及在金屬柱108A之上的金屬蓋層(例如,焊料蓋)108B。包括金屬柱108A及金屬蓋層108B的導電結構108有時被稱為微凸塊(micro bumps)。在接合製程之前,導電結構108可形成在暴露於中介層基板102的第一側102A的接觸墊(未示出)上。金屬柱108A可以包括例如銅、鋁、金、鎳、鈀、其類似物或其組合的導電材料,並可以通過濺鍍、印刷、電鍍、化學鍍、化學氣相沉積等製程來形成。金屬柱108A可以是無焊料的(solder-free)並具有基本上垂直的側壁。根據一些實施例,金屬蓋層108B形成在金屬柱108A的頂部上。金屬蓋層108B可以包括鎳、錫、錫鉛、金、銅、銀、鈀、銦、鎳-鈀-金、鎳-金、其類似物或其組合,並可以通過例如電鍍製程的鍍覆(plating)製程來形成。本領域普通技術人員將可以理解,提供上述導電結構108示例是出於說明的目的,而導電結構108的其他結構也可被使用。In some embodiments, as shown in FIG. 1B , each conductive structure 108 may include a metal pillar 108A and a metal capping layer (eg, a solder cap) 108B over the metal pillar 108A. Conductive structures 108 including metal pillars 108A and metal caps 108B are sometimes referred to as micro bumps. Conductive structures 108 may be formed on contact pads (not shown) exposed on the first side 102A of the interposer substrate 102 prior to the bonding process. The metal pillars 108A may include conductive materials such as copper, aluminum, gold, nickel, palladium, the like, or combinations thereof, and may be formed by processes such as sputtering, printing, electroplating, electroless plating, and chemical vapor deposition. Metal pillars 108A may be solder-free and have substantially vertical sidewalls. According to some embodiments, metal capping layer 108B is formed on top of metal pillars 108A. The metal capping layer 108B may include nickel, tin, tin-lead, gold, copper, silver, palladium, indium, nickel-palladium-gold, nickel-gold, the like, or combinations thereof, and may be plated by, for example, an electroplating process ( plating) process to form. Those of ordinary skill in the art will appreciate that the above-described examples of conductive structures 108 are provided for illustrative purposes, and other configurations of conductive structures 108 may also be used.

半導體晶粒110及半導體晶粒112與中介層基板102之間的接合可以是焊料接合或直接金屬對金屬(例如,銅對銅)接合。在一些實施例中,半導體晶粒110及半導體晶粒112通過回焊製程而接合到中介層基板102。在回焊期間,導電接點(即,導電元件107及導電結構108)分別與半導體晶粒110及半導體晶粒112的暴露接觸墊和中介層基板102的暴露接觸墊(由一些導電特徵106構成)接觸,以將半導體晶粒110及半導體晶粒112物理和電耦接到中介層基板102。The bonding between the semiconductor die 110 and the semiconductor die 112 and the interposer substrate 102 may be a solder bond or a direct metal-to-metal (eg, copper-to-copper) bond. In some embodiments, the semiconductor die 110 and the semiconductor die 112 are bonded to the interposer substrate 102 by a reflow process. During reflow, the conductive contacts (i.e., conductive elements 107 and conductive structures 108) are connected to the exposed contact pads of semiconductor die 110 and semiconductor die 112 and the exposed contact pads of interposer substrate 102 (consisting of some conductive features 106), respectively. ) contacts to physically and electrically couple the semiconductor die 110 and the semiconductor die 112 to the interposer substrate 102 .

在一些實施例中,如第1B圖所示,進一步在中介層基板102之上形成底部填充元件114以圍繞和保護上述導電節點,並增強半導體晶粒110及半導體晶粒112與中介層基板102之間的連接。相應的製程在第4圖所示的製程流程400中被示為製程402。底部填充元件114可以包括或由絕緣材料製成,例如底部填充材料(underfill material)。底部填充材料可以包括環氧樹脂、樹脂、填料材料、應力釋放劑(stress release agent,SRA)、助黏劑、其他合適的材料或其組合。在一些實施例中,液態的底部填充材料被分配到每個半導體晶粒110/112與中介層基板102之間的間隙中,以強化導電接點乃至整體封裝結構的強度。在分配之後,固化底部填充材料以形成底部填充元件114。In some embodiments, as shown in FIG. 1B , an underfill element 114 is further formed on the interposer substrate 102 to surround and protect the above-mentioned conductive nodes, and to strengthen the relationship between the semiconductor die 110 and the semiconductor die 112 and the interposer substrate 102. the connection between. A corresponding process is shown as process 402 in process flow 400 shown in FIG. 4 . The underfill element 114 may include or be made of an insulating material, such as an underfill material. The underfill material may include epoxy, resin, filler material, stress release agent (SRA), adhesion promoter, other suitable materials or combinations thereof. In some embodiments, a liquid underfill material is dispensed into the gap between each semiconductor die 110 / 112 and the interposer substrate 102 to strengthen the conductive contacts and the overall package structure. After dispensing, the underfill material is cured to form underfill elements 114 .

在本實施例中,如第1B圖所示(也參照第2圖),底部填充元件114填充每個半導體晶粒110/112與中介層基板102之間的全部間隙。舉例來說,底部填充元件114的一部分1140位於相鄰的半導體晶粒110與半導體晶粒112之間的間隙G1中。而且,底部填充元件114圍繞半導體晶粒110及半導體晶粒112(例如,延伸超出半導體晶粒110及半導體晶粒112的周邊)。In this embodiment, as shown in FIG. 1B (see also FIG. 2 ), the underfill element 114 fills the entire gap between each semiconductor die 110 / 112 and the interposer substrate 102 . For example, a portion 1140 of the underfill element 114 is located in the gap G1 between adjacent semiconductor die 110 and semiconductor die 112 . Also, underfill feature 114 surrounds semiconductor die 110 and semiconductor die 112 (eg, extends beyond the perimeter of semiconductor die 110 and semiconductor die 112 ).

在一些實施例中,如第1C圖所示,還在中介層基板102之上形成密封層116以圍繞和保護半導體晶粒110及半導體晶粒112以及底部填充元件114。密封層116可以通過底部填充元件114與半導體晶粒110及半導體晶粒112下方的導電接點分離。在一些實施例中,密封層116包括或由絕緣材料製成,例如模製材料(molding material)。模製材料可包括聚合物材料,例如其中散布有填料的環氧基樹脂。在一些實施例中,模製材料(例如,液態的模製材料)被分配在中介層基板102之上及/或半導體晶粒110及半導體晶粒112之上。在一些實施例中,然後使用熱處理來固化液態的模製材料,並將其轉化為密封層116。In some embodiments, as shown in FIG. 1C , an encapsulation layer 116 is further formed over the interposer substrate 102 to surround and protect the semiconductor die 110 and the semiconductor die 112 and the underfill element 114 . The encapsulation layer 116 may be separated from the conductive contacts beneath the semiconductor die 110 and the semiconductor die 112 by the underfill element 114 . In some embodiments, sealing layer 116 includes or is made of an insulating material, such as a molding material. The molding material may comprise a polymeric material, such as an epoxy-based resin having filler dispersed therein. In some embodiments, a molding material (eg, a liquid molding material) is dispensed over interposer substrate 102 and/or over semiconductor die 110 and semiconductor die 112 . In some embodiments, a heat treatment is then used to cure the liquid molding material and convert it into the sealing layer 116 .

在一些實施例中,對密封層116施加平坦化製程以部分地去除密封層116,直到每個(第一)半導體晶粒110的頂表面110A及每個(第二)半導體晶粒112的頂表面112A從密封層116暴露出來(例如,頂表面110A及頂表面112A與密封層116的頂表面116A基本上齊平),如第1C圖所示。這有利於散發在操作期間從半導體晶粒110及半導體晶粒112產生的熱量。平坦化製程可以包括磨削製程、化學機械研磨製程、蝕刻製程、乾式研磨製程、一或多種其他適用的製程或其組合。In some embodiments, a planarization process is applied to the sealing layer 116 to partially remove the sealing layer 116 until the top surface 110A of each (first) semiconductor die 110 and the top surface of each (second) semiconductor die 112 Surface 112A is exposed from sealing layer 116 (eg, top surface 110A and top surface 112A are substantially flush with top surface 116A of sealing layer 116 ), as shown in FIG. 1C . This facilitates dissipating heat generated from semiconductor die 110 and semiconductor die 112 during operation. The planarization process may include a grinding process, a chemical mechanical polishing process, an etching process, a dry grinding process, one or more other suitable processes, or a combination thereof.

隨後,如第1C圖所示,根據一些實施例,去除載體基板100以暴露中介層基板102的第二側102B(例如,下側)。雖然未示出,剩餘的結構可被顛倒放置,並使得半導體裝置側貼在切割膠帶上(未示出)。隨後,根據一些實施例,沿著第1C圖所示的切割槽C執行分割製程(也被稱為鋸切製程)以形成多個單獨的封裝結構。在第1C圖中示出了其中一個封裝結構,其包括中介層基板102及其上的半導體晶粒110、半導體晶粒112、底部填充元件114以及密封層116。隨後,可以使用例如拾取和放置工具(未示出)將每個封裝結構自切割膠帶(未示出)取下。Subsequently, as shown in FIG. 1C, the carrier substrate 100 is removed to expose the second side 102B (eg, the lower side) of the interposer substrate 102, according to some embodiments. Although not shown, the remaining structure may be placed upside down with the semiconductor device side attached to the dicing tape (not shown). Subsequently, according to some embodiments, a singulation process (also referred to as a sawing process) is performed along the dicing grooves C shown in FIG. 1C to form a plurality of individual package structures. One of the package structures is shown in FIG. 1C , which includes an interposer substrate 102 and a semiconductor die 110 thereon, a semiconductor die 112 , an underfill element 114 and an encapsulation layer 116 . Each package structure may then be removed from the dicing tape (not shown) using, for example, a pick and place tool (not shown).

如第1D圖所示,根據一些實施例,將第1C圖中的所得封裝結構設置或堆疊(例如,通過拾取和放置工具)在置於載體基板200(類似於上述載體基板100)上的封裝基板120之上,其中中介層基板102的第二側102B面對封裝基板120的第一表面120A(例如,上表面)。相應的製程在第4圖所示的製程流程400中被示為製程403。封裝基板120可用於在後續階段中去除載體基板200之後(顯示於第1F圖中)為封裝在封裝結構中的半導體裝置與外部電子裝置之間提供電連接,這將在後面進一步描述。封裝基板120可以包括核心(core)或者可以是無核心基板。在一些實施例中,封裝基板120可為印刷電路板(printed circuit board,PCB)、陶瓷基板或其他合適的封裝基板。As shown in FIG. 1D, according to some embodiments, the resulting package structure in FIG. 1C is disposed or stacked (e.g., by a pick-and-place tool) on a package disposed on a carrier substrate 200 (similar to carrier substrate 100 described above). On the substrate 120 , wherein the second side 102B of the interposer substrate 102 faces the first surface 120A (eg, the upper surface) of the package substrate 120 . The corresponding process is shown as process 403 in process flow 400 shown in FIG. 4 . The package substrate 120 may be used to provide electrical connection between the semiconductor device packaged in the package structure and external electronic devices after the carrier substrate 200 is removed in a subsequent stage (shown in FIG. 1F ), which will be further described later. The package substrate 120 may include a core or may be a coreless substrate. In some embodiments, the packaging substrate 120 may be a printed circuit board (printed circuit board, PCB), a ceramic substrate or other suitable packaging substrates.

在一些實施例中,在將中介層基板102堆疊在封裝基板120之上之後,它可以通過覆晶接合與封裝基板120接合,例如通過形成在暴露於中介層基板102的第二側102B的接觸墊(未示出)上的導電元件121(例如,導電柱)與形成在暴露於封裝基板120的第一表面120A的接觸墊(未示出)上的導電結構122(例如,微凸塊,每個微凸塊包括金屬柱122A以及在金屬柱122A之上的金屬蓋層122B)形成導電接點,如第1D圖所示。中介層基板102與封裝基板120之間的接合可以是焊料接合或直接金屬對金屬(例如,銅對銅)接合。在一些實施例中,中介層基板102通過回焊製程(如前所述)而接合到封裝基板120。導電元件121及導電結構122的材料和形成方法可以分別與第1B圖所示的導電元件107及導電結構108的材料和形成方法相同或相似,因此在此不再贅述。導電接點(即,導電元件121及導電結構122)使中介層基板102(及其上的裝置)與封裝基板120之間能夠達到電連接。在一些實施例中,如第1D圖所示,在封裝基板120之上形成底部填充元件118以圍繞和保護上述導電接點,並增強中介層基板102與封裝基板120之間的連接。底部填充元件118的材料和形成方法可以與第1B圖所示的底部填充元件114的材料和形成方法相同或相似,因此在此不再贅述。底部填充元件118存在但並未在第2圖中示出。In some embodiments, after the interposer substrate 102 is stacked on the packaging substrate 120, it may be bonded to the packaging substrate 120 by flip-chip bonding, for example by forming contacts exposed on the second side 102B of the interposer substrate 102. The conductive elements 121 (for example, conductive pillars) on the pads (not shown) and the conductive structures 122 (for example, microbumps, Each micro-bump includes a metal pillar 122A and a metal cap layer 122B) on the metal pillar 122A to form a conductive contact, as shown in FIG. 1D. The bond between the interposer substrate 102 and the package substrate 120 may be a solder bond or a direct metal-to-metal (eg, copper-to-copper) bond. In some embodiments, the interposer substrate 102 is bonded to the package substrate 120 by a reflow process (as previously described). The materials and formation methods of the conductive element 121 and the conductive structure 122 may be the same or similar to those of the conductive element 107 and the conductive structure 108 shown in FIG. 1B , so details will not be repeated here. The conductive contacts (ie, the conductive element 121 and the conductive structure 122 ) enable electrical connection between the interposer substrate 102 (and the devices thereon) and the packaging substrate 120 . In some embodiments, as shown in FIG. 1D , an underfill element 118 is formed on the package substrate 120 to surround and protect the conductive contacts and enhance the connection between the interposer substrate 102 and the package substrate 120 . The material and forming method of the underfill element 118 may be the same or similar to the material and forming method of the underfill element 114 shown in FIG. 1B , so details will not be repeated here. Underfill element 118 is present but not shown in FIG. 2 .

如第1E圖所示,根據一些實施例,在封裝基板120上安裝環結構130。相應的製程在第4圖所示的製程流程400中被示為製程404。在一些實施例中,製程404是在製程401、製程402及製程403之後(即,在將中介層基板102連同半導體晶粒110、半導體晶粒112以及底部填充元件114堆疊在封裝基板120之上之後)執行。然而,本揭露不以此為限,稍後將描述具有不同處理順序的一些替代實施例。在製程404中,可以使用例如拾取和放置工具將環結構130放置在封裝基板120之上。As shown in FIG. 1E , ring structure 130 is mounted on package substrate 120 according to some embodiments. A corresponding process is shown as process 404 in process flow 400 shown in FIG. 4 . In some embodiments, process 404 follows process 401, process 402, and process 403 (i.e., after stacking interposer substrate 102 together with semiconductor die 110, semiconductor die 112, and underfill components 114 on package substrate 120 after) executes. However, the present disclosure is not limited thereto, and some alternative embodiments with different processing orders will be described later. In process 404, the ring structure 130 may be placed over the packaging substrate 120 using, for example, a pick and place tool.

環結構130可以具有比封裝基板120大的剛性,並可被配置作為加強環(stiffener ring),用於約束(constraining)封裝基板120以減輕其翹曲及/或增強封裝基板120的堅固性。在一些實施例中,環結構130安裝在封裝基板120的第一表面120A上,並圍繞中介層基板102及其上的半導體晶粒110和半導體晶粒112。取決於封裝基板120的形狀,環結構130在俯視圖中可以具有基本上矩形或方形的環形狀(參照第2圖)。在一些實施例中,環結構130沿著封裝基板120的周邊佈置(例如,環結構130的外緣130A與封裝基板120的周邊120C對齊,如第1E圖所示),且環結構130與中介層基板102之間形成一定距離X(如第2圖所示)。環結構130基本上為平坦結構,具有面對第一表面120A的底表面130B以及與底表面130B相對的頂表面130C。The ring structure 130 may have greater rigidity than the package substrate 120 , and may be configured as a stiffener ring for constraining the package substrate 120 to reduce its warpage and/or enhance the robustness of the package substrate 120 . In some embodiments, ring structure 130 is mounted on first surface 120A of package substrate 120 and surrounds interposer substrate 102 and semiconductor die 110 and semiconductor die 112 thereon. Depending on the shape of the package substrate 120, the ring structure 130 may have a substantially rectangular or square ring shape in plan view (refer to FIG. 2). In some embodiments, the ring structure 130 is arranged along the perimeter of the package substrate 120 (eg, the outer edge 130A of the ring structure 130 is aligned with the perimeter 120C of the package substrate 120, as shown in FIG. 1E ), and the ring structure 130 is aligned with the interposer. A certain distance X is formed between the layered substrates 102 (as shown in FIG. 2 ). The ring structure 130 is a substantially planar structure having a bottom surface 130B facing the first surface 120A and a top surface 130C opposite the bottom surface 130B.

環結構130的材料可以包括例如銅、不鏽鋼、不鏽鋼/鎳等的金屬,但不以此為限。在一些實施例中,選擇環結構130的材料,使得環結構130的熱膨脹係數(coefficient of thermal expansion,CTE)與封裝基板120的熱膨脹係數相近,以減少兩者之間的熱膨脹係數不匹配(CTE mismatch),從而可減少環結構130對封裝基板120造成的應力(或變形)。The material of the ring structure 130 may include metals such as copper, stainless steel, stainless steel/nickel, etc., but is not limited thereto. In some embodiments, the material of the ring structure 130 is selected such that the coefficient of thermal expansion (CTE) of the ring structure 130 is similar to that of the packaging substrate 120, so as to reduce the mismatch of the coefficient of thermal expansion (CTE) between the two. mismatch), thereby reducing the stress (or deformation) caused by the ring structure 130 to the package substrate 120 .

在一些實施例中,如第1E圖所示,環結構130使用不導電的黏著層132附接到封裝基板120的第一表面120A。黏著層132可以是任何合適的黏著劑、環氧樹脂、晶粒附接膜(die attach film,DAF)等。在一些實施例中,黏著層132可施加在環結構130的底表面130B或者可施加在封裝基板120的第一表面120A之上。In some embodiments, as shown in FIG. 1E , the ring structure 130 is attached to the first surface 120A of the package substrate 120 using a non-conductive adhesive layer 132 . The adhesive layer 132 can be any suitable adhesive, epoxy resin, die attach film (DAF) and the like. In some embodiments, the adhesive layer 132 may be applied on the bottom surface 130B of the ring structure 130 or may be applied over the first surface 120A of the package substrate 120 .

在一些其他實施例中,黏著層132由附接結構(attaching structure)代替,該附接結構包括具有將環結構130接合到封裝基板120的金屬柱以及金屬蓋層(有時被稱為微凸塊)。微凸塊可以形成在環結構130的底表面130B、封裝基板120的第一表面120A或兩者上。在一些實施例中,微凸塊可以在封裝基板120上形成微凸塊(例如,導電結構122)的同時形成。在一些實施例中,環結構130的微凸塊(例如,附接結構)可與導電結構122一起進行回焊。In some other embodiments, the adhesive layer 132 is replaced by an attaching structure that includes metal posts and a metal cap layer (sometimes referred to as dimples) that bond the ring structure 130 to the package substrate 120 . piece). Microbumps may be formed on the bottom surface 130B of the ring structure 130 , the first surface 120A of the package substrate 120 , or both. In some embodiments, the microbumps may be formed at the same time as the microbumps (eg, conductive structures 122 ) are formed on the packaging substrate 120 . In some embodiments, the microbumps (eg, attachment structures) of ring structure 130 may be reflowed with conductive structure 122 .

如第1E圖所示,根據一些實施例,將一蓋結構140(也被稱為第一蓋結構)進一步附接到(第一)半導體晶粒110,並將另一蓋結構142(也被稱為第二蓋結構)進一步附接到(第二)半導體晶粒112。相應的製程在第4圖所示的製程流程400中被示為製程405。在一些實施例中,製程405也在製程401、製程402及製程403之後(即,在將中介層基板102連同半導體晶粒110、半導體晶粒112以及底部填充元件114堆疊在封裝基板120之上之後)執行。製程405(附接蓋結構140及蓋結構142)與製程404(安裝環結構130)可以同時執行。在一些其他實施例中,製程405可以在製程404之前或之後執行。在製程405中,可以使用例如拾取和放置工具將蓋結構140及蓋結構142分別放置在半導體晶粒110及半導體晶粒112之上。As shown in FIG. 1E , according to some embodiments, a cap structure 140 (also referred to as a first cap structure) is further attached to the (first) semiconductor die 110 and another cap structure 142 (also referred to as referred to as a second cap structure) is further attached to the (second) semiconductor die 112 . The corresponding process is shown as process 405 in process flow 400 shown in FIG. 4 . In some embodiments, process 405 also follows process 401, process 402, and process 403 (i.e., after stacking interposer substrate 102 together with semiconductor die 110, semiconductor die 112, and underfill components 114 on package substrate 120 after) executes. Process 405 (attaching lid structure 140 and lid structure 142 ) and process 404 (mounting ring structure 130 ) may be performed concurrently. In some other embodiments, process 405 may be performed before or after process 404 . In process 405 , lid structure 140 and lid structure 142 may be placed over semiconductor die 110 and semiconductor die 112 , respectively, using, for example, a pick and place tool.

蓋結構140及蓋結構142可以具有高熱導率,並可被配置作為散熱器(heat spreaders),以散發從半導體晶粒110及半導體晶粒112產生的熱量。此外,蓋結構140及蓋結構142可以具有比半導體晶粒110及半導體晶粒112大的剛性,並可被配置作為加強蓋(stiffener lids),用於約束半導體晶粒110及半導體晶粒112以減輕它們的翹曲及/或增強半導體晶粒110及半導體晶粒112的堅固性。The lid structure 140 and the lid structure 142 may have high thermal conductivity and may be configured as heat spreaders to dissipate heat generated from the semiconductor die 110 and the semiconductor die 112 . In addition, the lid structure 140 and the lid structure 142 can have greater rigidity than the semiconductor die 110 and the semiconductor die 112, and can be configured as stiffener lids for constraining the semiconductor die 110 and the semiconductor die 112 and the like. Their warping is mitigated and/or the robustness of semiconductor die 110 and semiconductor die 112 is enhanced.

在一些實施例中,蓋結構140及蓋結構142是平面的,並被設置成分別覆蓋半導體晶粒110的頂表面110A的至少一部分及半導體晶粒112的頂表面112A的至少一部分。在一些實施例中,如第2圖所示,蓋結構140及蓋結構142在俯視圖中具有基本上矩形或方形的形狀,類似於或取決於半導體晶粒110及半導體晶粒112的形狀。每個蓋結構140或蓋結構142可設置在對應於頂表面110A或頂表面112A的中心區域的位置,但本揭露不以此為限。在一些實施例中,為了避免蓋結構140與半導體晶粒110之間以及蓋結構142與半導體晶粒112之間不可避免的公差的影響,蓋結構140的每個側壁與半導體晶粒110的對應側壁之間存在大於0微米(μm)的一定距離d1,且蓋結構142的每個側壁與半導體晶粒112的對應側壁之間存在大於0微米的一定距離d2,如第2圖所示。在一些情況下,每個距離d1或距離d2可為約150微米至約200微米,但本揭露不以此為限。也可以使用距離d1及距離d2的其他值。In some embodiments, cap structure 140 and cap structure 142 are planar and disposed to cover at least a portion of top surface 110A of semiconductor die 110 and at least a portion of top surface 112A of semiconductor die 112 , respectively. In some embodiments, as shown in FIG. 2 , lid structure 140 and lid structure 142 have a substantially rectangular or square shape in top view, similar to or dependent on the shape of semiconductor die 110 and semiconductor die 112 . Each cover structure 140 or cover structure 142 may be disposed at a position corresponding to the central area of the top surface 110A or the top surface 112A, but the present disclosure is not limited thereto. In some embodiments, in order to avoid the influence of unavoidable tolerances between the cap structure 140 and the semiconductor die 110 and between the cap structure 142 and the semiconductor die 112, each sidewall of the cap structure 140 corresponds to the semiconductor die 110. There is a certain distance d1 greater than 0 micrometers (μm) between the sidewalls, and a certain distance d2 greater than 0 μm between each sidewall of the cap structure 142 and the corresponding sidewall of the semiconductor die 112 , as shown in FIG. 2 . In some cases, each distance d1 or distance d2 may be about 150 microns to about 200 microns, but the present disclosure is not limited thereto. Other values for distance d1 and distance d2 may also be used.

在一些替代實施例中,蓋結構140基本上覆蓋整個頂表面110A,且蓋結構142基本上覆蓋整個頂表面112A。在各個實施例中,蓋結構140在頂表面110A之上的覆蓋率(coverage)至少為70%,且蓋結構142在頂表面112A之上的覆蓋率至少為70%,以利於半導體晶粒110及半導體晶粒112通過蓋結構140及蓋結構142進行散熱。In some alternative embodiments, cover structure 140 covers substantially the entire top surface 110A, and cover structure 142 covers substantially the entire top surface 112A. In various embodiments, the coverage of the cap structure 140 on the top surface 110A is at least 70%, and the coverage of the cap structure 142 on the top surface 112A is at least 70%, so as to facilitate the semiconductor die 110. And the semiconductor die 112 dissipate heat through the lid structure 140 and the lid structure 142 .

在一些實施例中,如第1F圖所示,蓋結構140、蓋結構142以及環結構130的頂表面可以共平面或在同一水平,但在不同的情況下,蓋結構140、蓋結構142以及環結構130的頂表面也可以在不同的水平。在各個實施例中,取決於半導體晶粒110及半導體晶粒112的類型或尺寸,蓋結構140及蓋結構142在垂直方向(例如,第1E圖中所示的方向Z)上可以具有相同或不同的高度,及/或在垂直於垂直方向的水平截面中具有相同或不同的尺寸。舉例來說,在半導體晶粒110具有比半導體晶粒112更大的尺寸並因此較容易彎曲的情況下(參照第1F-2圖),設置在半導體晶粒110之上的蓋結構140可以比設置在半導體晶粒112之上的蓋結構142具有更大的高度(即,厚度)以抑制半導體晶粒110的彎曲。In some embodiments, as shown in FIG. 1F, the top surfaces of cover structure 140, cover structure 142, and ring structure 130 may be coplanar or at the same level, but in different cases, cover structure 140, cover structure 142, and The top surface of ring structure 130 may also be at a different level. In various embodiments, depending on the type or size of semiconductor die 110 and semiconductor die 112, cap structure 140 and cap structure 142 may have the same or different heights, and/or have the same or different dimensions in a horizontal section perpendicular to the vertical direction. For example, where semiconductor die 110 has a larger size than semiconductor die 112 and is therefore more easily bendable (see FIG. The cap structure 142 disposed over the semiconductor die 112 has a greater height (ie, thickness) to suppress bowing of the semiconductor die 110 .

蓋結構140及蓋結構142的材料可以包括例如銅、不鏽鋼、不鏽鋼/鎳等的金屬,但不以此為限。在一些實施例中,選擇蓋結構140及蓋結構142的材料,使得蓋結構140及蓋結構142的熱膨脹係數分別與半導體晶粒110及半導體晶粒112的熱膨脹係數相近,以減少兩者之間的熱膨脹係數不匹配,從而可減少蓋結構140及蓋結構142對半導體晶粒110及半導體晶粒112造成的應力(或變形)。在一些實施例中,蓋結構140及蓋結構142包括或由與環結構130不同的材料製成。在另一些實施例中,在半導體晶粒110與半導體晶粒112具有不同熱膨脹係數的情況下,蓋結構140與蓋結構142包括或由不同的材料製成。在一些其他實施例中,環結構130與蓋結構140及蓋結構142包括或由相同的材料製成,例如金屬材料(例如,銅)。The material of the cover structure 140 and the cover structure 142 may include metals such as copper, stainless steel, stainless steel/nickel, etc., but not limited thereto. In some embodiments, the materials of the cover structure 140 and the cover structure 142 are selected such that the thermal expansion coefficients of the cover structure 140 and the cover structure 142 are similar to those of the semiconductor die 110 and the semiconductor die 112 respectively, so as to reduce the gap between the two. The thermal expansion coefficients of the semiconductor dies 110 and 112 are mismatched, thereby reducing the stress (or deformation) caused by the cap structure 140 and the cap structure 142 to the semiconductor die 110 and the semiconductor die 112 . In some embodiments, cover structure 140 and cover structure 142 include or are made of a different material than ring structure 130 . In other embodiments, in the case that the semiconductor die 110 and the semiconductor die 112 have different thermal expansion coefficients, the cap structure 140 and the cap structure 142 include or are made of different materials. In some other embodiments, the ring structure 130 includes or is made of the same material as the cover structure 140 and the cover structure 142 , such as a metallic material (eg, copper).

在一些實施例中,如第1E圖所示,(第一)蓋結構140使用熱介面材料(thermal interface material,TIM)層144附接到(第一)半導體晶粒110的頂表面110A,且(第二)蓋結構142使用熱介面材料層146附接到(第二)半導體晶粒112的頂表面112A。熱介面材料層144及熱介面材料層146各自可以是導熱和電絕緣的材料,例如環氧樹脂、與金屬(如銀或金)混合的環氧樹脂、導熱膏等或其組合。In some embodiments, as shown in FIG. 1E , the (first) cap structure 140 is attached to the top surface 110A of the (first) semiconductor die 110 using a thermal interface material (TIM) layer 144 , and The (second) cap structure 142 is attached to the top surface 112A of the (second) semiconductor die 112 using a thermal interface material layer 146 . Each of the thermal interface material layer 144 and the thermal interface material layer 146 can be a thermally conductive and electrically insulating material, such as epoxy resin, epoxy resin mixed with metal (such as silver or gold), thermal paste, etc., or a combination thereof.

在本實施例中,如第1E圖及第2圖所示,蓋結構140與蓋結構142是分離的,並分別設置在半導體晶粒110及半導體晶粒112之上。因此,可在相鄰的蓋結構140與蓋結構142之間形成間隙G2,且間隙G2位於相鄰的半導體晶粒110與半導體晶粒112之間的底部填充元件114的部分1140之上。在一些情況下,間隙G2可以大於或等於間隙G1。如此一來,位於相鄰的半導體晶粒110與半導體晶粒112之間的底部填充元件114的部分1140通過間隙G2暴露,從而可避免或減少該部分1140上的應力(與具有單個連續蓋的封裝結構相比,該單個連續蓋延伸跨過並覆蓋位於相鄰的半導體晶粒之間的底部填充元件的一部分,導致應力集中在底部填充元件的該部分上)。因此,也降低了位於相鄰的半導體晶粒110與半導體晶粒112之間的底部填充元件114的部分1140出現破裂或分層的風險,可以更可靠地使用整個半導體晶粒封裝。In this embodiment, as shown in FIG. 1E and FIG. 2 , the lid structure 140 and the lid structure 142 are separated and disposed on the semiconductor die 110 and the semiconductor die 112 respectively. Accordingly, a gap G2 may be formed between the adjacent cap structure 140 and the cap structure 142 , and the gap G2 is located above the portion 1140 of the underfill element 114 between the adjacent semiconductor die 110 and the semiconductor die 112 . In some cases, gap G2 may be greater than or equal to gap G1. In this way, a portion 1140 of the underfill element 114 between adjacent semiconductor die 110 and semiconductor die 112 is exposed through the gap G2, so that stress on the portion 1140 can be avoided or reduced (compared to having a single continuous cap). Compared to package structures, the single continuous cap extends across and covers a portion of the underfill element between adjacent semiconductor dies, resulting in stress concentrations on the portion of the underfill element). Therefore, the risk of cracking or delamination of the portion 1140 of the underfill element 114 located between adjacent semiconductor die 110 and semiconductor die 112 is also reduced, and the entire semiconductor die package can be used more reliably.

如第1F圖所示,根據一些實施例,在附接單獨的環結構130、蓋結構140以及蓋結構142之後,去除載體基板200(顯示於第1E圖中)以暴露封裝基板120的第二表面120B(例如,下表面)。然後,在原先被載體基板200覆蓋的第二表面120B之上形成導電凸塊150。每個導電凸塊150可以電連接到封裝基板120的其中一個暴露的接觸墊(未示出)。導電凸塊150使所述封裝結構與一外部電子裝置(例如,印刷電路板,未示出)之間能夠達到電連接。導電凸塊150可以是或包括焊料凸塊,例如含錫焊料凸塊。含錫焊料凸塊還可以包括銅、銀、金、鋁、鉛、一或多種其他合適的材料或其組合。在一些實施例中,含錫焊料凸塊不含鉛。As shown in FIG. 1F , after attaching the separate ring structure 130 , lid structure 140 , and lid structure 142 , the carrier substrate 200 (shown in FIG. 1E ) is removed to expose the second portion of the package substrate 120 , according to some embodiments. Surface 120B (eg, lower surface). Then, conductive bumps 150 are formed on the second surface 120B originally covered by the carrier substrate 200 . Each conductive bump 150 may be electrically connected to one of the exposed contact pads (not shown) of the package substrate 120 . The conductive bumps 150 enable an electrical connection between the package structure and an external electronic device (eg, a printed circuit board, not shown). The conductive bumps 150 may be or include solder bumps, such as tin-containing solder bumps. The tin-containing solder bumps may also include copper, silver, gold, aluminum, lead, one or more other suitable materials, or combinations thereof. In some embodiments, the tin-containing solder bumps are lead-free.

在一些實施例中,在去除載體基板200之後,將焊球(或焊料元件)設置在暴露於第二表面120B的接觸墊上。然後,執行回焊製程以將焊球熔化形成導電凸塊150。在一些其他實施例中,在設置焊球之前,在暴露的接觸墊之上形成凸塊下金屬化(under bump metallization,UBM)元件。在一些其他實施例中,焊料元件被電鍍到暴露的接觸墊上。隨後,使用回焊製程熔化焊料元件以形成導電凸塊150。In some embodiments, after removal of the carrier substrate 200, solder balls (or solder elements) are disposed on the contact pads exposed to the second surface 120B. Then, a reflow process is performed to melt the solder balls to form the conductive bumps 150 . In some other embodiments, under bump metallization (UBM) elements are formed over the exposed contact pads prior to placement of the solder balls. In some other embodiments, solder elements are plated onto exposed contact pads. Subsequently, the solder elements are melted using a reflow process to form conductive bumps 150 .

結果,完成形成如第1F圖所示的所得半導體晶粒封裝的所有製程。在第1F圖所述的封裝結構中,包括分離的蓋結構140、蓋結構142以及環結構130(圍繞蓋結構140及蓋結構142)的多蓋(也稱為不連續蓋)結構分別耦接到封裝基板120、半導體晶粒110以及半導體晶粒112,而不覆蓋位於相鄰的半導體晶粒110與半導體晶粒112之間的底部填充元件114的部分1140。如此一來,可以減少封裝基板120、半導體晶粒110以及半導體晶粒112的翹曲,並也減少應力集中在位於相鄰的半導體晶粒110與半導體晶粒112之間的底部填充元件114的部分1140上。因此,提高了整個封裝結構的可靠性。As a result, all processes to form the resulting semiconductor die package as shown in FIG. 1F are completed. In the package structure shown in FIG. 1F , a multi-lid (also referred to as discontinuous lid) structure comprising a separate lid structure 140, lid structure 142, and ring structure 130 (surrounding lid structure 140 and lid structure 142) is respectively coupled to the package substrate 120 , the semiconductor die 110 and the semiconductor die 112 without covering the portion 1140 of the underfill element 114 between the adjacent semiconductor die 110 and the semiconductor die 112 . In this way, the warping of the packaging substrate 120, the semiconductor die 110, and the semiconductor die 112 can be reduced, and stress concentration on the underfill element 114 located between the adjacent semiconductor die 110 and the semiconductor die 112 can also be reduced. Section 1140 on. Therefore, the reliability of the entire package structure is improved.

可以對本揭露實施例進行許多變化及/或修改。舉例來說,第3A圖至第3C圖是示出根據一些其他實施例之每個蓋結構包括複數個蓋部的平面示意圖。在第3A圖中,(第一)蓋結構140包括兩個分離的相同尺寸的矩形蓋部1400,且每個蓋部1400覆蓋大約一半的(第一)半導體晶粒110。類似地,(第二)蓋結構142包括兩個分離的相同尺寸的矩形蓋部1420,且每個蓋部1420覆蓋大約一半的(第二)半導體晶粒112。在第3B圖中,(第一)蓋結構140包括四個分離的相同尺寸的方形蓋部1400,且每個蓋部1400覆蓋大約四分之一的(第一)半導體晶粒110。類似地,(第二)蓋結構142包括四個分離的相同尺寸的方形蓋部1420,且每個蓋部1420覆蓋大約四分之一的(第二)半導體晶粒112。在第3C圖中,(第一)蓋結構140包括四個分離的相同尺寸的矩形蓋部1400,且每個蓋部1400佈置成其長軸與(第一)半導體晶粒110的一相鄰側平行。類似地,(第二)蓋結構142包括四個分離的相同尺寸的矩形蓋部1420,且每個蓋部1420佈置成其長軸與(第二)半導體晶粒112的一相鄰側平行。本領域普通技術人員將可以理解,提供上述蓋結構(或部分)示例是出於說明的目的,而蓋結構(或部分)的其他結構或配置也可被使用。Many variations and/or modifications may be made to the disclosed embodiments. For example, FIGS. 3A to 3C are schematic plan views showing that each cover structure includes a plurality of cover parts according to some other embodiments. In FIG. 3A , the (first) lid structure 140 includes two separate rectangular lids 1400 of equal size, and each lid 1400 covers approximately half of the (first) semiconductor die 110 . Similarly, the (second) cap structure 142 includes two separate rectangular caps 1420 of equal size, and each cap 1420 covers approximately half of the (second) semiconductor die 112 . In FIG. 3B , the (first) lid structure 140 includes four separate equal-sized square lids 1400 and each lid 1400 covers about a quarter of the (first) semiconductor die 110 . Similarly, the (second) lid structure 142 includes four separate identically sized square lids 1420 , and each lid 1420 covers about a quarter of the (second) semiconductor die 112 . In FIG. 3C , the (first) cap structure 140 includes four separate rectangular caps 1400 of equal size, and each cap 1400 is arranged with its long axis adjacent to one of the (first) semiconductor die 110 . side parallel. Similarly, the (second) lid structure 142 includes four separate rectangular lid portions 1420 of equal size, and each lid portion 1420 is arranged with its long axis parallel to an adjacent side of the (second) semiconductor die 112 . Those of ordinary skill in the art will appreciate that the above examples of cover structures (or portions) are provided for illustrative purposes and that other structures or configurations of cover structures (or portions) may also be used.

第5圖是根據一些其他實施例之半導體晶粒封裝的平面示意圖。第5圖中的半導體晶粒封裝與第2圖中的半導體晶粒封裝類似,除了使用單個蓋結構500代替第2圖中的分離的蓋結構140及蓋結構142之外。使用單個蓋結構500具有減少處理時間(例如,將蓋結構500放置在半導體晶粒110及半導體晶粒112之上的時間)的優點。也可以使用第4圖中的製程流程400來形成第5圖中的半導體晶粒封裝。FIG. 5 is a schematic plan view of a semiconductor die package according to some other embodiments. The semiconductor die package in FIG. 5 is similar to the semiconductor die package in FIG. 2 except that a single lid structure 500 is used instead of the separate lid structures 140 and 142 in FIG. 2 . Using a single cap structure 500 has the advantage of reducing processing time (eg, the time to place cap structure 500 over semiconductor die 110 and semiconductor die 112 ). The semiconductor die package in FIG. 5 can also be formed using the process flow 400 in FIG. 4 .

在一些實施例中,如第5圖所示,蓋結構500包括第一蓋部510及第二蓋部520。第一蓋部510及第二蓋部520被配置成分別附接到半導體晶粒110的頂表面110A及半導體晶粒112的頂表面112A,以促進散熱和翹曲控制。第一蓋部510及第二蓋部520的材料、結構、配置以及附接方法與先前在第2圖中討論的蓋結構140及蓋結構142的材料、結構、配置以及附接方法相似,因此在此不再贅述。在第一蓋部510與第二蓋部520之間也形成間隙G3(類似於第2圖中所示的間隙G2)以暴露在下方的相鄰的半導體晶粒110與半導體晶粒112之間的底部填充元件114的部分1140,從而可避免或減少該部分1140上的應力。在一些實施例中,蓋結構500還包括一或多個連接部513(例如,兩個連接部513,如第5圖所示)以將第一蓋部510及第二蓋部520部分地連接(partially connect)成一體。雖然連接部分513可以延伸跨過底部填充元件114的部分1140,但它們僅覆蓋部分1140的相對小的部分(即,部分1140的大部分仍可通過間隙G3暴露)。因此,蓋結構500也有助於經由將部分1140通過間隙G3暴露來減少部分1140上的應力集中以及破裂或分層的風險(與使用連續的實心蓋的情況相比,該連續的實心蓋延伸跨過並完全地覆蓋位於相鄰的半導體晶粒之間的底部填充元件的部分)。In some embodiments, as shown in FIG. 5 , the cover structure 500 includes a first cover part 510 and a second cover part 520 . The first cover portion 510 and the second cover portion 520 are configured to be attached to the top surface 110A of the semiconductor die 110 and the top surface 112A of the semiconductor die 112 , respectively, to facilitate heat dissipation and warpage control. The materials, structure, configuration and method of attachment of the first cover portion 510 and the second cover portion 520 are similar to those of the cover structure 140 and the cover structure 142 previously discussed in FIG. I won't repeat them here. A gap G3 (similar to the gap G2 shown in FIG. 2 ) is also formed between the first cover portion 510 and the second cover portion 520 to expose between the adjacent semiconductor die 110 and semiconductor die 112 below. The portion 1140 of the underfill element 114 can avoid or reduce the stress on the portion 1140 . In some embodiments, the cover structure 500 further includes one or more connecting parts 513 (for example, two connecting parts 513, as shown in FIG. 5 ) to partially connect the first cover part 510 and the second cover part 520 (partially connect) into one. Although connection portions 513 may extend across portion 1140 of underfill element 114, they only cover a relatively small portion of portion 1140 (ie, a large portion of portion 1140 may still be exposed through gap G3). Thus, the cover structure 500 also helps to reduce stress concentrations on the portion 1140 and the risk of cracking or delamination by exposing the portion 1140 through the gap G3 (compared to using a continuous solid cover extending across over and completely cover the portion of the underfill element located between adjacent semiconductor dies).

第6圖顯示根據一些其他實施例之形成半導體晶粒封裝的製程流程600,其包括製程601:在封裝基板上安裝環結構;製程602:在中介層基板之上設置第一半導體晶粒及第二半導體晶粒;製程603:在中介層基板之上形成底部填充元件;製程604:在封裝基板之上堆疊中介層基板;以及製程605:將第一蓋結構附接在第一半導體晶粒之上以及將第二蓋結構附接在第二半導體晶粒之上。製程601、製程602、製程603、製程604以及製程605的細節可以與第4圖中所示的製程流程400的製程404、製程401、製程402、製程403以及製程405的細節相同或相似,因此在此不再贅述。製程流程600與製程流程400的不同之處僅在於,製程601(安裝環結構)是在製程602、製程603及製程604之前(即,在將中介層基板102連同半導體晶粒110、半導體晶粒112以及底部填充元件114堆疊在封裝基板120之上之前)執行。製程流程600也可用於形成上述各種半導體晶粒封裝。FIG. 6 shows a process flow 600 for forming a semiconductor die package according to some other embodiments, which includes a process 601: installing a ring structure on a package substrate; process 602: disposing a first semiconductor die and a second semiconductor die on an interposer substrate. Two semiconductor dies; process 603: forming underfill components on the interposer substrate; process 604: stacking the interposer substrate on the packaging substrate; and process 605: attaching the first cap structure between the first semiconductor die and attaching a second cap structure over the second semiconductor die. Details of process 601, process 602, process 603, process 604, and process 605 may be the same as or similar to details of process 404, process 401, process 402, process 403, and process 405 of process flow 400 shown in FIG. I won't repeat them here. The only difference between process flow 600 and process flow 400 is that process 601 (mounting ring structure) is performed before process 602, process 603 and process 604 (i.e., after interposer substrate 102 together with semiconductor die 110, semiconductor die 112 and underfill components 114 are stacked on the package substrate 120 before). The process flow 600 can also be used to form various semiconductor die packages described above.

本揭露實施例可以涉及三維封裝或三維積體電路裝置。也可以包括其他特徵和製程。舉例來說,可以包括測試結構以幫助對三維封裝或三維積體電路裝置進行驗證測試。測試結構可以包括例如形成在重分佈層中或在基板上的測試墊,其允許對三維封裝或三維積體電路裝置進行測試、探針及/或探針卡的使用等。可以對中間結構以及最終結構執行驗證測試。此外,本文中揭露的結構以及方法可以與包含已知的良品晶粒的中間驗證的測試方法一起使用,從而提高產率以及降低成本。Embodiments of the present disclosure may relate to three-dimensional packaging or three-dimensional integrated circuit devices. Other features and processes may also be included. For example, test structures may be included to facilitate verification testing of 3D packages or 3D integrated circuit devices. The test structure may include, for example, test pads formed in the redistribution layer or on the substrate, which allow testing of three-dimensional packages or three-dimensional integrated circuit devices, the use of probes and/or probe cards, and the like. Verification tests can be performed on intermediate structures as well as final structures. Additionally, the structures and methods disclosed herein can be used with test methods involving intermediate verification of known good dies, thereby increasing yield and reducing costs.

本揭露實施例形成一種半導體晶粒封裝,其包括封裝基板、在封裝基板之上的中介層基板、在中介層基板之上的多個半導體晶粒、以及在中介層基板之上並位於半導體晶粒與中介層基板之間的底部填充元件。根據一些實施例,所述半導體晶粒封裝還包括多蓋結構(multi-lid structures),其包括環結構以及與環結構分離的一或多個蓋結構。環結構耦接到封裝基板以控制翹曲。蓋結構耦接到半導體晶粒的頂表面以控制翹曲並幫助散熱。另外,蓋結構定義一間隙以允許位於相鄰的半導體晶粒之間的底部填充元件的一部分暴露,從而可避免或減少應力集中在該部分上。因此,半導體晶粒封裝的可靠性得到改善。Embodiments of the present disclosure form a semiconductor die package, which includes a packaging substrate, an interposer substrate on the packaging substrate, a plurality of semiconductor dies on the interposer substrate, and a semiconductor die on the interposer substrate. Underfill components between the die and the interposer substrate. According to some embodiments, the semiconductor die package further includes multi-lid structures including a ring structure and one or more lid structures separate from the ring structure. A ring structure is coupled to the package substrate to control warpage. A lid structure is coupled to the top surface of the semiconductor die to control warpage and aid in heat dissipation. In addition, the cap structure defines a gap to allow exposure of a portion of the underfill element located between adjacent semiconductor dies, thereby avoiding or reducing stress concentration on the portion. Therefore, the reliability of semiconductor die packaging is improved.

根據本揭露一些實施例,提供一種半導體晶粒封裝。所述半導體晶粒封裝包括封裝基板、中介層基板、第一半導體晶粒及第二半導體晶粒、底部填充元件、環結構、第一蓋結構以及第二蓋結構。封裝基板具有第一表面。中介層基板設置在第一表面之上。第一半導體晶粒及第二半導體晶粒設置在中介層基板之上。底部填充元件設置在中介層基板之上並圍繞第一半導體晶粒及第二半導體晶粒,其中底部填充元件的一部分位於第一半導體晶粒與第二半導體晶粒之間。環結構附接到封裝基板的第一表面並沿著封裝基板的周邊佈置。第一蓋結構附接到第一半導體晶粒的第一頂表面。第二蓋結構附接到第二半導體晶粒的第二頂表面,其中第二蓋結構與第一蓋結構分離,且一間隙形成在第一蓋結構與第二蓋結構之間並位於底部填充元件的該部分之上。According to some embodiments of the present disclosure, a semiconductor die package is provided. The semiconductor die package includes a package substrate, an interposer substrate, a first semiconductor die and a second semiconductor die, an underfill element, a ring structure, a first cap structure, and a second cap structure. The packaging substrate has a first surface. The interposer substrate is disposed on the first surface. The first semiconductor crystal grain and the second semiconductor crystal grain are disposed on the interposer substrate. The underfill element is disposed on the interposer substrate and surrounds the first semiconductor die and the second semiconductor die, wherein a part of the underfill element is located between the first semiconductor die and the second semiconductor die. The ring structure is attached to the first surface of the package substrate and arranged along the perimeter of the package substrate. A first cap structure is attached to the first top surface of the first semiconductor die. A second cap structure is attached to the second top surface of the second semiconductor die, wherein the second cap structure is separated from the first cap structure, and a gap is formed between the first cap structure and the second cap structure at the underfill over that part of the component.

在一些實施例中,底部填充元件的該部分通過該間隙暴露。在一些實施例中,環結構圍繞中介層基板、第一半導體晶粒、第二半導體晶粒、第一蓋結構以及第二蓋結構,且環結構與第一蓋結構及第二蓋結構分離。在一些實施例中,第一蓋結構、第二蓋結構以及環結構包括金屬材料。在一些實施例中,所述半導體晶粒封裝更包括第二底部填充元件,設置在中介層基板與封裝基板之間,且第二底部填充元件與環結構分離。在一些實施例中,第一蓋結構覆蓋第一半導體晶粒的第一頂表面的部分,且第二蓋結構覆蓋第二半導體晶粒的第二頂表面的部分。在一些實施例中,第一蓋結構的側壁與第一半導體晶粒的對應側壁之間的距離大於0微米,且第二蓋結構的側壁與第二半導體晶粒的對應側壁之間的距離大於0微米。在一些實施例中,第一蓋結構或第二蓋結構中的至少一者包括彼此分離的多個蓋部。在一些實施例中,第一蓋結構及第二蓋結構通過熱介面材料層附接到第一半導體晶粒及第二半導體晶粒,且環結構通過黏著層附接到封裝基板。在一些實施例中,所述半導體晶粒封裝更包括密封層,設置在中介層基板之上並圍繞第一半導體晶粒、第二半導體晶粒以及底部填充元件,其中第一半導體晶粒的第一頂表面及第二半導體晶粒的第二頂表面從密封層暴露,且其中密封層與環結構分離。In some embodiments, the portion of the underfill element is exposed through the gap. In some embodiments, the ring structure surrounds the interposer substrate, the first semiconductor die, the second semiconductor die, the first cap structure, and the second cap structure, and the ring structure is separated from the first cap structure and the second cap structure. In some embodiments, the first cover structure, the second cover structure, and the ring structure comprise a metallic material. In some embodiments, the semiconductor die package further includes a second underfill element disposed between the interposer substrate and the package substrate, and the second underfill element is separated from the ring structure. In some embodiments, the first cap structure covers a portion of the first top surface of the first semiconductor die, and the second cap structure covers a portion of the second top surface of the second semiconductor die. In some embodiments, the distance between the sidewalls of the first cap structure and the corresponding sidewalls of the first semiconductor die is greater than 0 microns, and the distance between the sidewalls of the second cap structure and the corresponding sidewalls of the second semiconductor die is greater than 0 microns. In some embodiments, at least one of the first cover structure or the second cover structure includes a plurality of cover portions separated from each other. In some embodiments, the first cap structure and the second cap structure are attached to the first semiconductor die and the second semiconductor die through a layer of thermal interface material, and the ring structure is attached to the package substrate through an adhesive layer. In some embodiments, the semiconductor die package further includes a sealing layer disposed on the interposer substrate and surrounding the first semiconductor die, the second semiconductor die, and the underfill element, wherein the first semiconductor die of the first semiconductor die A top surface and a second top surface of the second semiconductor die are exposed from the sealing layer, and wherein the sealing layer is separated from the ring structure.

根據本揭露一些實施例,提供一種半導體晶粒封裝。所述半導體晶粒封裝包括封裝基板、中介層基板、第一半導體晶粒及第二半導體晶粒、底部填充元件、環結構以及蓋結構。封裝基板具有第一表面。中介層基板設置在第一表面之上。第一半導體晶粒及第二半導體晶粒設置在中介層基板之上。底部填充元件設置在中介層基板之上並圍繞第一半導體晶粒及第二半導體晶粒,其中底部填充元件的一部分位於第一半導體晶粒與第二半導體晶粒之間。環結構附接到封裝基板的第一表面並沿著封裝基板的周邊佈置。蓋結構包括第一蓋部及第二蓋部,其中第一蓋部覆蓋第一半導體晶粒的第一頂表面,第二蓋部覆蓋第二半導體晶粒的第二頂表面,且一間隙形成在該第一蓋部與第二蓋部之間以暴露底部填充元件的該部分。According to some embodiments of the present disclosure, a semiconductor die package is provided. The semiconductor die package includes a package substrate, an interposer substrate, a first semiconductor die and a second semiconductor die, an underfill element, a ring structure, and a cap structure. The packaging substrate has a first surface. The interposer substrate is disposed on the first surface. The first semiconductor crystal grain and the second semiconductor crystal grain are disposed on the interposer substrate. The underfill element is disposed on the interposer substrate and surrounds the first semiconductor die and the second semiconductor die, wherein a part of the underfill element is located between the first semiconductor die and the second semiconductor die. The ring structure is attached to the first surface of the package substrate and arranged along the perimeter of the package substrate. The cover structure includes a first cover portion and a second cover portion, wherein the first cover portion covers the first top surface of the first semiconductor die, the second cover portion covers the second top surface of the second semiconductor die, and a gap is formed The part of the underfill element is exposed between the first cover part and the second cover part.

在一些實施例中,蓋結構更包括連接部,延伸跨過底部填充元件的該部分,以部分地連接第一蓋部及第二蓋部。在一些實施例中,環結構圍繞中介層基板、第一半導體晶粒、第二半導體晶粒以及蓋結構,且環結構與蓋結構分離。在一些實施例中,蓋結構的熱膨脹係數與第一半導體晶粒及第二半導體晶粒的熱膨脹係數相近,且環結構的熱膨脹係數與封裝基板的熱膨脹係數相近。在一些實施例中,第一蓋部在第一頂表面之上的覆蓋率為至少70%,且第二蓋部在第二頂表面之上的覆蓋率為至少70%。In some embodiments, the cover structure further includes a connection portion extending across the portion of the underfill element to partially connect the first cover portion and the second cover portion. In some embodiments, the ring structure surrounds the interposer substrate, the first semiconductor die, the second semiconductor die, and the cap structure, and the ring structure is separated from the cap structure. In some embodiments, the thermal expansion coefficient of the lid structure is similar to that of the first semiconductor die and the second semiconductor die, and the thermal expansion coefficient of the ring structure is similar to that of the packaging substrate. In some embodiments, the first cover portion has at least 70% coverage over the first top surface and the second cover portion has at least 70% coverage over the second top surface.

根據本揭露一些實施例,提供一種形成半導體晶粒封裝的方法。所述方法包括在中介層基板之上放置第一半導體晶粒及第二半導體晶粒。所述方法也包括在中介層基板之上形成底部填充元件以圍繞第一半導體晶粒及第二半導體晶粒,其中底部填充元件的一部分位於第一半導體晶粒與第二半導體晶粒之間。所述方法還包括將中介層基板連同第一半導體晶粒、第二半導體晶粒以及底部填充元件堆疊在封裝基板之上。所述方法還包括在封裝基板上並沿著封裝基板的周邊安裝環結構。此外,所述方法包括附接第一蓋結構到第一半導體晶粒的第一頂表面以及附接第二蓋結構到第二半導體晶粒的第二頂表面,其中第一蓋結構與第二蓋結構之間形成一間隙以暴露底部填充元件的部分。According to some embodiments of the present disclosure, a method of forming a semiconductor die package is provided. The method includes placing a first semiconductor die and a second semiconductor die over an interposer substrate. The method also includes forming an underfill feature over the interposer substrate to surround the first semiconductor die and the second semiconductor die, wherein a portion of the underfill feature is located between the first semiconductor die and the second semiconductor die. The method also includes stacking the interposer substrate along with the first semiconductor die, the second semiconductor die, and the underfill element over the packaging substrate. The method also includes mounting a ring structure on the packaging substrate and along a perimeter of the packaging substrate. In addition, the method includes attaching a first cap structure to a first top surface of the first semiconductor die and attaching a second cap structure to a second top surface of the second semiconductor die, wherein the first cap structure and the second A gap is formed between the cap structures to expose a portion of the underfill element.

在一些實施例中,在將中介層基板連同第一半導體晶粒、第二半導體晶粒以及底部填充元件堆疊在封裝基板之上之後,執行第一蓋結構及第二蓋結構的附接。在一些實施例中,第一蓋結構及第二蓋結構的附接與環結構的安裝同時執行。在一些實施例中,在將中介層基板連同第一半導體晶粒、第二半導體晶粒以及底部填充元件堆疊在封裝基板之上之前,安裝環結構。在一些實施例中,環結構、第一蓋結構以及第二蓋結構是分離的。In some embodiments, the attachment of the first and second cap structures is performed after stacking the interposer substrate along with the first semiconductor die, the second semiconductor die, and the underfill element over the packaging substrate. In some embodiments, the attachment of the first cover structure and the second cover structure is performed simultaneously with the installation of the ring structure. In some embodiments, the ring structure is installed prior to stacking the interposer substrate along with the first semiconductor die, the second semiconductor die, and the underfill element over the packaging substrate. In some embodiments, the ring structure, first cover structure, and second cover structure are separate.

前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。The foregoing text summarizes features of many embodiments so that those skilled in the art may better understand the present disclosure in various aspects. Those skilled in the art should be able to understand, and can easily design or modify other processes and structures based on this disclosure, so as to achieve the same purpose and/or achieve the same as the embodiments introduced here advantages. Those skilled in the art should also appreciate that such equivalent structures do not depart from the spirit and scope of the disclosed invention. Various changes, substitutions, or modifications may be made to the present disclosure without departing from the inventive spirit and scope of the present disclosure.

100:載體基板 102:中介層基板 102A:第一側 102B:第二側 104:絕緣層 106:導電特徵 107:導電元件 108:導電結構 108A:金屬柱 108B:金屬蓋層 110:(第一)半導體晶粒 110A:頂表面 112:(第二)半導體晶粒 112A:頂表面 114:底部填充元件 1140:部分 116:密封層 116A:頂表面 118:底部填充元件 120:封裝基板 120A:第一表面 120B:第二表面 120C:周邊 121:導電元件 122:導電結構 122A:金屬柱 122B:金屬蓋層 130:環結構 130A:外緣 130B:底表面 130C:頂表面 132:黏著層 140:蓋結構 142:蓋結構 144:熱介面材料層 146:熱介面材料層 150:導電凸塊 200:載體基板 400:製程流程 401, 402, 403, 404, 405:製程 500:蓋結構 510:第一蓋部 513:連接部 520:第二蓋部 600:製程流程 601, 602, 603, 604, 605:製程 1400:蓋部 1420:蓋部 C:切割槽 C1:半導體基板 C2:電子部件 C3:保護層 d1, d2:距離 X:距離 Z:(垂直)方向 G1, G2, G3:間隙 100: carrier substrate 102: Interposer substrate 102A: first side 102B: second side 104: insulation layer 106: Conductive features 107: Conductive element 108: Conductive structure 108A: metal column 108B: metal cover 110: (first) semiconductor grain 110A: top surface 112: (second) semiconductor grain 112A: top surface 114: Bottom fill component 1140: part 116: sealing layer 116A: top surface 118: Bottom filling element 120: package substrate 120A: first surface 120B: second surface 120C: Surrounding 121: Conductive element 122: Conductive structure 122A: metal column 122B: metal cover 130: ring structure 130A: outer edge 130B: bottom surface 130C: top surface 132: Adhesive layer 140: cover structure 142: cover structure 144: thermal interface material layer 146: thermal interface material layer 150: Conductive bump 200: carrier substrate 400: Process flow 401, 402, 403, 404, 405: Process 500: cover structure 510: the first cover 513: connection part 520: the second cover 600: Process flow 601, 602, 603, 604, 605: Process 1400: cover 1420: cover C: cutting groove C1: Semiconductor substrate C2: Electronic components C3: protective layer d1, d2: distance X: distance Z: (vertical) direction G1, G2, G3: Gap

根據以下的詳細說明並配合所附圖式做完整的揭露。應強調的是,根據本產業的一般作業,各個特徵未必按照比例繪製。事實上,可能任意的放大或縮小各個特徵的尺寸,以做清楚的說明。 第1A圖至第1F圖是根據一些實施例之形成半導體晶粒封裝的製程的各個階段的剖面示意圖。 第1F-1圖是根據一些實施例之半導體晶粒封裝的剖面示意圖,其中每個封裝的半導體晶粒為系統單晶片(System on a Chip,SoC)。 第1F-2圖是根據一些實施例之半導體晶粒封裝的剖面示意圖,其中蓋結構具有不同的厚度。 第2圖是根據一些實施例之半導體晶粒封裝的平面示意圖,且第1E圖是沿第2圖中的線段A-A’的半導體晶粒封裝的剖面示意圖。 第3A圖是示出根據一些實施例之每個蓋結構包括複數個蓋部的平面示意圖。 第3B圖是示出根據一些實施例之每個蓋結構包括複數個蓋部的平面示意圖。 第3C圖是示出根據一些實施例之每個蓋結構包括複數個蓋部的平面示意圖。 第4圖顯示根據一些實施例之形成半導體晶粒封裝的製程流程。 第5圖是根據一些實施例之半導體晶粒封裝的平面示意圖。 第6圖顯示根據一些實施例之形成半導體晶粒封裝的製程流程。 A complete disclosure is made according to the following detailed descriptions and accompanying drawings. It is emphasized that, in accordance with the usual practice in the industry, various features are not necessarily drawn to scale. In fact, the dimensions of the various features may be arbitrarily expanded or reduced for clarity of illustration. 1A-1F are schematic cross-sectional views of various stages in the process of forming a semiconductor die package according to some embodiments. FIG. 1F-1 is a schematic cross-sectional view of a semiconductor die package according to some embodiments, wherein each packaged semiconductor die is a System on a Chip (SoC). 1F-2 are schematic cross-sectional views of semiconductor die packages in which the lid structures have different thicknesses, according to some embodiments. FIG. 2 is a schematic plan view of a semiconductor die package according to some embodiments, and FIG. 1E is a schematic cross-sectional view of the semiconductor die package along line A-A' in FIG. 2 . FIG. 3A is a schematic plan view illustrating that each cover structure includes a plurality of cover portions according to some embodiments. FIG. 3B is a schematic plan view illustrating that each cover structure includes a plurality of cover portions according to some embodiments. FIG. 3C is a schematic plan view illustrating that each cover structure includes a plurality of cover portions according to some embodiments. FIG. 4 shows a process flow for forming a semiconductor die package according to some embodiments. FIG. 5 is a schematic plan view of a semiconductor die package according to some embodiments. FIG. 6 shows a process flow for forming a semiconductor die package according to some embodiments.

102:中介層基板 102: Interposer substrate

110:(第一)半導體晶粒 110: (first) semiconductor grain

112:(第二)半導體晶粒 112: (second) semiconductor grain

114:底部填充元件 114: Bottom fill component

1140:部分 1140: part

116:密封層 116: sealing layer

120:封裝基板 120: package substrate

120A:第一表面 120A: first surface

130:環結構 130: ring structure

140:蓋結構 140: cover structure

142:蓋結構 142: cover structure

d1,d2:距離 d1, d2: distance

X:距離 X: distance

G1,G2:間隙 G1, G2: Gap

Claims (9)

一種半導體晶粒封裝,包括:一封裝基板,具有一第一表面;一中介層基板,設置在該第一表面之上;一第一半導體晶粒及一第二半導體晶粒,設置在該中介層基板之上;一底部填充元件,設置在該中介層基板之上並圍繞該第一半導體晶粒及該第二半導體晶粒,其中該底部填充元件的一部分位於該第一半導體晶粒與該第二半導體晶粒之間;一環結構,附接到該封裝基板的該第一表面並沿著該封裝基板的周邊佈置;一第一蓋結構,附接到該第一半導體晶粒的一第一頂表面;以及一第二蓋結構,附接到該第二半導體晶粒的一第二頂表面,其中該第一蓋結構和該第二蓋結構為兩個單獨的部件且彼此分離,且一間隙形成在該第一蓋結構與該第二蓋結構之間並位於該底部填充元件的該部分之上,其中該底部填充元件的該部分通過該間隙暴露。 A semiconductor chip package, comprising: a package substrate with a first surface; an interposer substrate disposed on the first surface; a first semiconductor crystal grain and a second semiconductor crystal grain disposed on the interposer On the layer substrate; an underfill element is disposed on the interposer substrate and surrounds the first semiconductor die and the second semiconductor die, wherein a part of the underfill element is located between the first semiconductor die and the second semiconductor die Between the second semiconductor die; a ring structure attached to the first surface of the package substrate and arranged along the periphery of the package substrate; a first cover structure attached to a first semiconductor die of the first semiconductor die a top surface; and a second cap structure attached to a second top surface of the second semiconductor die, wherein the first cap structure and the second cap structure are two separate components separated from each other, and A gap is formed between the first cap structure and the second cap structure over the portion of the underfill element, wherein the portion of the underfill element is exposed through the gap. 如請求項1之半導體晶粒封裝,其中該環結構圍繞該中介層基板、該第一半導體晶粒、該第二半導體晶粒、該第一蓋結構以及該第二蓋結構,且該環結構與該第一蓋結構及該第二蓋結構分離。 The semiconductor die package according to claim 1, wherein the ring structure surrounds the interposer substrate, the first semiconductor die, the second semiconductor die, the first cap structure, and the second cap structure, and the ring structure Separated from the first cover structure and the second cover structure. 如請求項1之半導體晶粒封裝,其中該第一蓋結構、該第二蓋結構以及該環結構包括金屬材料。 The semiconductor die package of claim 1, wherein the first cap structure, the second cap structure and the ring structure comprise metal materials. 如請求項1之半導體晶粒封裝,其中該第一蓋結構覆蓋該第一半導體晶粒的該第一頂表面的部分,且該第二蓋結構覆蓋該第二半導體晶粒的 該第二頂表面的部分。 The semiconductor die package of claim 1, wherein the first cap structure covers a portion of the first top surface of the first semiconductor die, and the second cap structure covers a portion of the second semiconductor die part of the second top surface. 如請求項1之半導體晶粒封裝,其中該第一蓋結構或該第二蓋結構中的至少一者包括彼此分離的複數個蓋部。 The semiconductor die package of claim 1, wherein at least one of the first lid structure or the second lid structure comprises a plurality of lid portions separated from each other. 如請求項1之半導體晶粒封裝,其中該第一蓋結構及該第二蓋結構通過一熱介面材料層附接到該第一半導體晶粒及該第二半導體晶粒,且該環結構通過一黏著層附接到該封裝基板。 The semiconductor die package as claimed in claim 1, wherein the first cover structure and the second cover structure are attached to the first semiconductor die and the second semiconductor die through a thermal interface material layer, and the ring structure is passed through An adhesive layer is attached to the packaging substrate. 一種半導體晶粒封裝,包括:一封裝基板,具有一第一表面;一中介層基板,設置在該第一表面之上;一第一半導體晶粒及一第二半導體晶粒,設置在該中介層基板之上;一底部填充元件,設置在該中介層基板之上並圍繞該第一半導體晶粒及該第二半導體晶粒,其中該底部填充元件的一部分位於該第一半導體晶粒與該第二半導體晶粒之間;一環結構,附接到該封裝基板的該第一表面並沿著該封裝基板的周邊佈置;以及一蓋結構,包括一第一蓋部及一第二蓋部,其中該第一蓋部覆蓋該第一半導體晶粒的一第一頂表面,該第二蓋部覆蓋該第二半導體晶粒的一第二頂表面,且一間隙形成在該第一蓋部與該第二蓋部之間以暴露該底部填充元件的該部分。 A semiconductor chip package, comprising: a package substrate with a first surface; an interposer substrate disposed on the first surface; a first semiconductor crystal grain and a second semiconductor crystal grain disposed on the interposer On the layer substrate; an underfill element is disposed on the interposer substrate and surrounds the first semiconductor die and the second semiconductor die, wherein a part of the underfill element is located between the first semiconductor die and the second semiconductor die between the second semiconductor dies; a ring structure attached to the first surface of the package substrate and arranged along the periphery of the package substrate; and a cover structure including a first cover portion and a second cover portion, Wherein the first cover covers a first top surface of the first semiconductor die, the second cover covers a second top surface of the second semiconductor die, and a gap is formed between the first cover and the first semiconductor die. The portion of the underfill element is exposed between the second cover. 如請求項7之半導體晶粒封裝,其中該蓋結構更包括一連接部,延伸跨過該底部填充元件的該部分,以部分地連接該第一蓋部及該第二蓋部。 The semiconductor die package of claim 7, wherein the cap structure further includes a connecting portion extending across the portion of the underfill element to partially connect the first cap portion and the second cap portion. 一種形成半導體晶粒封裝的方法,包括:在一中介層基板之上放置一第一半導體晶粒及一第二半導體晶粒;在該中介層基板之上形成一底部填充元件以圍繞該第一半導體晶粒及該第二半導體晶粒,其中該底部填充元件的一部分位於該第一半導體晶粒與該第二半導體晶粒之間;將該中介層基板連同該第一半導體晶粒、該第二半導體晶粒以及該底部填充元件堆疊在一封裝基板之上;在該封裝基板上並沿著該封裝基板的周邊安裝一環結構;以及附接一第一蓋結構到該第一半導體晶粒的一第一頂表面以及附接一第二蓋結構到該第二半導體晶粒的一第二頂表面,其中該第一蓋結構與該第二蓋結構之間形成一間隙以暴露該底部填充元件的該部分。 A method of forming a semiconductor die package, comprising: placing a first semiconductor die and a second semiconductor die on an interposer substrate; forming an underfill element on the interposer substrate to surround the first a semiconductor die and the second semiconductor die, wherein a portion of the underfill element is located between the first semiconductor die and the second semiconductor die; the interposer substrate together with the first semiconductor die, the second semiconductor die Two semiconductor die and the underfill element are stacked on a package substrate; a ring structure is mounted on the package substrate and along the periphery of the package substrate; and a first cap structure is attached to the first semiconductor die a first top surface and attaching a second cap structure to the second top surface of the second semiconductor die, wherein a gap is formed between the first cap structure and the second cap structure to expose the underfill element that part of the .
TW111103062A 2021-05-12 2022-01-25 Semiconductor die package and method for forming the same TWI796114B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/318,163 US11694941B2 (en) 2021-05-12 2021-05-12 Semiconductor die package with multi-lid structures and method for forming the same
US17/318,163 2021-05-12

Publications (2)

Publication Number Publication Date
TW202245197A TW202245197A (en) 2022-11-16
TWI796114B true TWI796114B (en) 2023-03-11

Family

ID=83287454

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111103062A TWI796114B (en) 2021-05-12 2022-01-25 Semiconductor die package and method for forming the same

Country Status (3)

Country Link
US (2) US11694941B2 (en)
CN (1) CN115101481A (en)
TW (1) TWI796114B (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201834086A (en) * 2016-11-14 2018-09-16 台灣積體電路製造股份有限公司 Package structures and methods of forming the same
TW201843806A (en) * 2017-03-08 2018-12-16 聯發科技股份有限公司 Semiconductor package
US20190279919A1 (en) * 2016-12-14 2019-09-12 Intel Corporation Through mold via (tmv) using stacked modular mold rings
US20200203186A1 (en) * 2018-12-21 2020-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with underfill

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US8907472B2 (en) * 2013-02-07 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC package comprising perforated foil sheet
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9269694B2 (en) * 2013-12-11 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with thermal management features for reduced thermal crosstalk and methods of forming same
US9805997B2 (en) 2014-01-27 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods for semiconductor devices with encapsulant ring
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
JP2018113414A (en) * 2017-01-13 2018-07-19 新光電気工業株式会社 Semiconductor device and method of manufacturing the same
US11302592B2 (en) * 2017-03-08 2022-04-12 Mediatek Inc. Semiconductor package having a stiffener ring

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201834086A (en) * 2016-11-14 2018-09-16 台灣積體電路製造股份有限公司 Package structures and methods of forming the same
US20190279919A1 (en) * 2016-12-14 2019-09-12 Intel Corporation Through mold via (tmv) using stacked modular mold rings
TW201843806A (en) * 2017-03-08 2018-12-16 聯發科技股份有限公司 Semiconductor package
US20200203186A1 (en) * 2018-12-21 2020-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with underfill

Also Published As

Publication number Publication date
TW202245197A (en) 2022-11-16
US20230290702A1 (en) 2023-09-14
US20220367314A1 (en) 2022-11-17
CN115101481A (en) 2022-09-23
US11694941B2 (en) 2023-07-04

Similar Documents

Publication Publication Date Title
TWI597788B (en) Semiconductor device and manufacturing method thereof
US11443993B2 (en) Chip package structure with cavity in interposer
US11848265B2 (en) Semiconductor package with improved interposer structure
CN113113381A (en) Package structure and method for forming the same
TWI785799B (en) Semiconductor die package and method for forming the same
US20230326881A1 (en) Semiconductor package with riveting structure between two rings and method for forming the same
US20220384390A1 (en) Semiconductor device package having dummy dies
US20230402403A1 (en) Semiconductor package and manufacturing method of semiconductor package
US11894320B2 (en) Semiconductor device package with stress reduction design and method of forming the same
TWI796114B (en) Semiconductor die package and method for forming the same
TWI814292B (en) Semiconductor device package and method for forming the same
US11699668B2 (en) Semiconductor device package having warpage control and method of forming the same
TWI811971B (en) Semiconductor package and method for forming the same
US11676826B2 (en) Semiconductor die package with ring structure for controlling warpage of a package substrate
TWI765455B (en) Semiconductor packages and method of manufacturing the same
US20230063270A1 (en) Semiconductor die package with ring structure and method for forming the same
US11810830B2 (en) Chip package structure with cavity in interposer
TW202245177A (en) Semiconductor device package and method for forming the same