TWI794318B - Methods and apparatuses for increasing reactor processing batch size - Google Patents

Methods and apparatuses for increasing reactor processing batch size Download PDF

Info

Publication number
TWI794318B
TWI794318B TW107138329A TW107138329A TWI794318B TW I794318 B TWI794318 B TW I794318B TW 107138329 A TW107138329 A TW 107138329A TW 107138329 A TW107138329 A TW 107138329A TW I794318 B TWI794318 B TW I794318B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
processing
batch
plasma
increasing
Prior art date
Application number
TW107138329A
Other languages
Chinese (zh)
Other versions
TW201930636A (en
Inventor
普爾基特 艾嘉沃
普魯夏坦 庫瑪
理查 飛利浦
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/799,679 external-priority patent/US10431451B2/en
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201930636A publication Critical patent/TW201930636A/en
Application granted granted Critical
Publication of TWI794318B publication Critical patent/TWI794318B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

Certain embodiments herein relate to methods of increasing a reaction chamber batch size. A portion of a batch of wafers is processed within the chamber. The processing results in at least some off-target deposition of material on interior surfaces of the reaction chamber. A mid-batch chamber processing is conducted to stabilize the off-target deposition materials accumulated on the chamber interior surfaces. Another portion of the batch of wafers is processed within the chamber. In various embodiments, processing of the chamber (e.g., mid-batch) and subsequent portion of the batch of wafers is repeated until processing of all wafers is complete. Batch size refers to the number of wafers that may be processed in the reaction chamber between chamber clean cycles. Chamber interior surfaces are seasoned prior to batch processing. Seasoning of the chamber interior surfaces involves applying a coating of the same material that may be used for deposition on the wafers during processing of the same.

Description

增加反應器處理批量大小的方法和設備Method and apparatus for increasing reactor batch size

本發明係關於增加反應器處理批量大小的方法和設備。The present invention relates to methods and apparatus for increasing reactor batch sizes.

半導體處理通常係在專用處理設備中進行,其中通常需要獲得經最佳化且有效率的生產能力。此類設備可包含在處理期間容置一批量晶圓的反應腔室。反應腔室亦可包含用於半導體加工中的各種硬體件(例如,基板支撐件、噴淋頭等)。在一些情況下,可在反應腔室用於處理基板之前,對反應腔室進行處理、或時效處理(seasoning)。反應腔室處理可採用一些不同的形式,且可針對各種理由而執行。此外,在一些情況下,由於沉積在反應腔室之各種內部元件上的靶外(off-target)薄膜累積,其需要使處理停止並使腔室停機以進行清潔,因此在清潔循環之間反應腔室所能處理之晶圓的總數可能受到限制。Semiconductor processing is typically performed in dedicated processing facilities where optimized and efficient throughput is often required. Such equipment may include a reaction chamber that houses a batch of wafers during processing. The reaction chamber may also contain various hardware (eg, substrate supports, showerheads, etc.) used in semiconductor processing. In some cases, the reaction chamber may be treated, or seasoned, before the reaction chamber is used to process substrates. Reaction chamber processing can take a number of different forms and can be performed for a variety of reasons. Furthermore, in some cases, reactions between cleaning cycles have required the process to be stopped and the chamber shut down for cleaning due to the buildup of off-target films deposited on various internal components of the reaction chamber. The total number of wafers that a chamber can process may be limited.

本文的某些實施例係關於增加反應腔室處理批量大小的方法,該方法涉及:(a)在該反應腔室內處理一批量晶圓之一部分,其中該處理步驟導致在該反應腔室的內部表面上之至少一些材料靶外沉積;(b)實施批量中間反應腔室處理,以穩固累積於該反應腔室的內部表面上之靶外沉積材料;以及(c)在該反應腔室內處理該批量晶圓之另一部分。Certain embodiments herein relate to methods of increasing the processing batch size of a reaction chamber involving: (a) processing a portion of a batch of wafers within the reaction chamber, wherein the processing step results in off-target deposition of at least some material on the surface; (b) performing batch intermediate reaction chamber processing to stabilize the off-target deposited material accumulated on interior surfaces of the reaction chamber; and (c) processing the reaction chamber within the reaction chamber Another part of the batch of wafers.

該方法更涉及重複(b)-(c)直到該批量晶圓的處理完成為止。The method further involves repeating (b)-(c) until processing of the batch of wafers is complete.

在一些實施例中,該反應腔室批量大小為在反應腔室清潔循環之間可於該反應腔室中受處理之晶圓的數量。In some embodiments, the chamber batch size is the number of wafers that can be processed in the chamber between chamber cleaning cycles.

該方法可更涉及在該反應腔室中進行批量處理之前,對該反應腔室的內部表面進行時效處理。The method may further involve aging the interior surfaces of the reaction chamber prior to batch processing in the reaction chamber.

在一些實施例中,對該反應腔室的內部表面進行時效處理之步驟涉及塗佈一材料之塗層,該材料與用於在(a)或(c)期間沉積於該批量晶圓上之材料相同。In some embodiments, the step of aging the interior surfaces of the reaction chamber involves applying a coating of a material that is compatible with the wafers deposited on the batch of wafers during (a) or (c). The material is the same.

在一些實施例中,(a)或(c)可涉及將一材料沉積於該批量晶圓的晶圓上。In some embodiments, (a) or (c) may involve depositing a material on wafers of the wafer lot.

在一些實施例中,該時效處理之步驟包含:在無晶圓存在於該反應腔室中時,透過原子層沉積(ALD)將一塗層塗佈於該反應腔室的內部表面。In some embodiments, the step of aging includes applying a coating to interior surfaces of the reaction chamber by atomic layer deposition (ALD) while no wafer is present in the reaction chamber.

該方法可更涉及:在(c)完成之後,清潔該反應腔室的內部表面。The method may further involve: cleaning interior surfaces of the reaction chamber after (c) is completed.

該方法可更涉及:(d) 在該批量晶圓之處理完成之後,清潔該反應腔室的內部表面。The method may further involve: (d) cleaning interior surfaces of the reaction chamber after processing of the batch of wafers is complete.

在一些實施例中,在每隔該批量晶圓之總批量累積限制的指定間隔時實施(b)。再者,該指定間隔可為經驗上決定的。此外,該指定間隔可發生於該反應腔室的內部表面上之材料累積的有害程度之前,該反應腔室的內部表面上之材料累積的有害程度導致材料剝脫及晶圓缺陷及/或粒子產生。In some embodiments, (b) is performed every specified interval of the total lot accumulation limit of the lot of wafers. Again, the specified interval may be empirically determined. Additionally, the specified interval may occur prior to material buildup on interior surfaces of the reaction chamber to a deleterious level that results in material spalling and wafer defects and/or particles produce.

在一些實施例中,該總批量累積限制為該反應腔室的內部表面上之累積材料的厚度,超過該厚度則處理受到損害,使得在進一步進行處理之前需要進行該反應腔室的清潔。In some embodiments, the total batch accumulation is limited to the thickness of accumulated material on the interior surfaces of the reaction chamber beyond which processing is compromised such that cleaning of the reaction chamber is required prior to further processing.

在一些實施例中,其中(b)涉及沉積一薄膜,該薄膜附著於累積在該反應腔室之內部表面上的材料。再者,所沉積之該薄膜的可壓縮性可透過對選自由下列各者所組成之群組之任一或多者進行調整而增強:射頻(RF)功率位準、反應腔室壓力、或RF處理時間。In some embodiments, wherein (b) involves depositing a thin film that adheres to material that accumulates on an interior surface of the reaction chamber. Furthermore, the compressibility of the deposited film can be enhanced by adjusting any one or more of the group consisting of: radio frequency (RF) power level, reaction chamber pressure, or RF processing time.

在一些實施例中,其中(b)涉及:在材料累積至一指定厚度之後,使累積於該反應腔室之內部表面上的材料暴露於電漿。再者,可在1 Torr至10 Torr之範圍內的壓力下實施電漿暴露,以促進電漿擴散至累積於該反應腔室之內部表面上的材料中。此外,可在該反應腔室內之噴淋頭的面板上點燃該電漿。此外,該電漿可衍生自由下列各者所組成之群組之任一者:氫、氦、氬、或含氮源。此外,暴露於該電漿之步驟可將約200Å的薄膜沉積於該反應腔室之內部表面上所累積的材料上。並且,可將一驅氣停用,以容許該電漿在整個該反應腔室中均勻地分散。在一些實施例中,該電漿具有400 kHz的頻率。In some embodiments, (b) involves: exposing the material accumulated on the interior surface of the reaction chamber to a plasma after the material has accumulated to a specified thickness. Furthermore, plasma exposure can be performed at pressures in the range of 1 Torr to 10 Torr to facilitate diffusion of plasma into materials accumulated on the interior surfaces of the reaction chamber. Additionally, the plasma can be ignited on the faceplate of the showerhead within the reaction chamber. Additionally, the plasma can be derived from any one of the group consisting of hydrogen, helium, argon, or a source containing nitrogen. In addition, the step of exposing to the plasma can deposit a thin film of about 200 Å on the material accumulated on the interior surfaces of the reaction chamber. Also, a purge gas can be disabled to allow the plasma to disperse evenly throughout the reaction chamber. In some embodiments, the plasma has a frequency of 400 kHz.

在一些實施例中,所沉積之該薄膜使該反應腔室之內部表面上的材料穩固。再者,暴露於該電漿之該步驟可使所沉積之該薄膜緻密化,以穩固該反應腔室之內部表面上的材料。此外,該薄膜的可壓縮性可透過選自由下列各者所組成之群組之方法而增加:施加在2 kw - 7 kw之範圍內的射頻(RF)功率、施加在2 torr - 10 torr之範圍內的高壓、或使用0.2 s - 10 s的RF電漿時間。In some embodiments, the deposited film stabilizes materials on interior surfaces of the reaction chamber. Furthermore, the step of exposing to the plasma densifies the deposited film to stabilize the material on the interior surfaces of the reaction chamber. In addition, the compressibility of the film can be increased by a method selected from the group consisting of: applied radio frequency (RF) power in the range of 2 kw - 7 kw, applied in the range of 2 torr - 10 torr range, or use an RF plasma time of 0.2 s - 10 s.

該方法可更涉及:(d) 使該反應腔室接地。再者,接地的該反應腔室可促進電漿擴散至該反應腔室的外部。在一些實施例中,可配置以輸送一沉積氣體至該批量晶圓的一噴淋頭係受供電的。並且,在一些實施例中,配置以支撐該批量晶圓的一底座係受供電的。再者,用以實施(d)的電漿可由一遠程電漿清潔單元所供應。該遠程電漿清潔單元可被裝設於該反應腔室內。The method may further involve: (d) grounding the reaction chamber. Furthermore, grounding the reaction chamber can facilitate plasma diffusion to the outside of the reaction chamber. In some embodiments, a showerhead that may be configured to deliver a deposition gas to the batch of wafers is powered. Also, in some embodiments, a pedestal configured to support the batch of wafers is powered. Furthermore, the plasma used to perform (d) can be supplied by a remote plasma cleaning unit. The remote plasma cleaning unit can be installed in the reaction chamber.

另一實施態樣涉及一種用於處理基板的電漿處理設備。該設備可更包含一反應腔室,其包含:內部腔室表面,用以支撐在該反應腔室內的一基板的一基板支座,以及用以將材料從該反應腔室中移除的一排放埠;一遠程電漿腔室,其包含:用以在該遠程電漿腔室內產生電漿的一電漿產生器,用以將氣體輸送至該遠程電漿腔室的一入口,用以將在該遠程電漿腔室中所產生的電漿提供至該反應腔室的一出口;以及一控制器,其係配置以執行用於下列各者的指令:在該反應腔室內處理一批量晶圓之一部分;實施批量中間反應腔室處理,以使因批量處理而累積於該反應腔室的內部表面上之材料穩固;以及在該腔室內處理該批量晶圓之另一部分。Another aspect relates to a plasma processing apparatus for processing a substrate. The apparatus may further include a reaction chamber comprising: interior chamber surfaces, a substrate support for supporting a substrate within the reaction chamber, and a substrate for removing material from the reaction chamber exhaust port; a remote plasma chamber comprising: a plasma generator for generating plasma in the remote plasma chamber for delivering gas to an inlet of the remote plasma chamber for providing plasma generated in the remote plasma chamber to an outlet of the reaction chamber; and a controller configured to execute instructions for: processing a batch within the reaction chamber a portion of the wafer; performing a batch intermediate reaction chamber process to stabilize material accumulated on interior surfaces of the reaction chamber from batch processing; and processing another portion of the wafer batch within the chamber.

在一些實施例中,該電漿處理設備係遠離該反應腔室。In some embodiments, the plasma processing apparatus is remote from the reaction chamber.

在一些實施例中,該控制器係進一步配置以執行用於以下者的指令:在(c)完成之後,清潔該反應腔室的內部表面。In some embodiments, the controller is further configured to execute instructions for: cleaning an interior surface of the reaction chamber after (c) is complete.

該等及其他的實施態樣於以下參照圖式而進一步描述。These and other implementations are further described below with reference to the drawings.

在以下的敘述中,說明了大量的特定細節,以提供對本發明的徹底理解。在毋須若干或全部此等特定細節之情況下即可實行本發明。在其他範例中,為了不使本發明晦澀難懂,習知的處理操作不會有詳細描述。此外,雖然本發明與特定實施例一同敘述,但應理解,特定實施例並非試圖限制本發明。In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail in order not to obscure the present invention. Additionally, while the invention has been described in connection with specific embodiments, it should be understood that the specific embodiments are not intended to limit the invention.

在此應用中,用語「晶圓」及「基板」係可互換地使用。用於半導體裝置產業中的晶圓或基板通常具有200 mm、或300 mm、或450 mm的直徑。除非另外說明,否則本文所述之處理細節(例如,流動速率、功率位準等)係相關於處理300 mm直徑之基板、或相關於配置以處理300 mm直徑之基板的處理腔室,且可針對其他尺寸之基板或腔室而視情況按比例縮放。本文所述腔室可用於處理可為各種外形、尺寸、及材料之工件。除了半導體晶圓之外,可於依據某些實施例而製備之腔室中受處理的其他工件包含各種物件,例如印刷電路板、磁記錄媒體、磁記錄感測器、鏡、光學元件、微機械裝置等。前言 In this application, the terms "wafer" and "substrate" are used interchangeably. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm, or 300 mm, or 450 mm. Unless otherwise stated, processing details (e.g., flow rates, power levels, etc.) described herein relate to processing 300 mm diameter substrates, or to processing chambers configured to process 300 mm diameter substrates, and may Scale as appropriate for other sizes of substrates or chambers. The chambers described herein can be used to process workpieces that can be of various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that may be processed in chambers prepared in accordance with certain embodiments include various items such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro machinery etc. foreword

在半導體製造中,期望獲得有效率的反應腔室生產力。習知上,將批量晶圓供應至反應腔室以在其中於(複數)晶圓上進行處理(例如沉積)。然而,材料無意地靶外沉積於各種內部腔室表面(例如反應腔室之側壁)上可能導致最終的粒子生成,例如,經由此類材料剝脫至在腔室中受處理的晶圓上。此等靶外材料剝脫係不樂見的,因為其可能汙染受處理之晶圓,從而使所處理之批量晶圓的整體品質降低。In semiconductor manufacturing, efficient reaction chamber productivity is desired. Conventionally, a batch of wafers is supplied to a reaction chamber to be processed (eg deposited) therein on the wafer(s). However, inadvertent off-target deposition of materials on various internal chamber surfaces (eg, sidewalls of the reaction chamber) may result in eventual particle generation, eg, via exfoliation of such materials onto wafers being processed in the chamber. Such exfoliation of off-target material is undesirable because it may contaminate the wafer being processed, thereby degrading the overall quality of the batch of wafers being processed.

習知上,在達到反應腔室批量大小後,需立即實施反應腔室內部之完整清潔,反應腔室批量大小為:在受處理之晶圓實質上可能因粒子生成而汙染之前,能夠在反應腔室中受處理之晶圓的最大數量,其中粒子生成係肇因於腔室中累積的靶外沉積。實施此等清潔需要使反應腔室移出容納於其中用於處理的內容物,因此可能使產能降低,且妨礙在指定時間內之較大批量的晶圓處理。Conventionally, a complete cleaning of the interior of the reaction chamber is performed immediately after reaching the batch size of the reaction chamber, which is such that the reaction chamber can be processed before the wafers being processed can be substantially contaminated by particle generation. The maximum number of wafers processed in a chamber where particle generation is due to off-target deposition accumulating in the chamber. Performing such cleaning requires removal of the reaction chamber contents contained therein for processing, thereby potentially reducing throughput and preventing larger batches of wafer processing within a given time period.

增加反應腔室批量大小會使生產力(或產能)增加,其係藉由容許額外的晶圓在所需清潔循環之間於反應腔室中受處理。此等增加可透過本文所揭示方法之一或多者而完成,即批量增加累積程序(BIAS)相關製程之應用,其所描述的製程利用中介(或批量中間)腔室處理以短暫中斷常規晶圓處理,俾穩固沉積於內部反應腔室元件上(例如腔室內部側壁)的靶外材料,以防止此等材料剝脫或產生粒子而汙染受處理之晶圓。Increasing the reaction chamber batch size increases productivity (or throughput) by allowing additional wafers to be processed in the reaction chamber between required cleaning cycles. These increases can be accomplished through one or more of the methods disclosed herein, namely the application of batch-increasing accumulation procedures (BIAS) related processes, which describe the process using intermediary (or batch-intermediate) chamber processing to briefly interrupt conventional wafer processing. Circular processing to stabilize off-target materials deposited on internal reaction chamber components (such as chamber interior sidewalls) to prevent these materials from peeling off or generating particles that would contaminate the processed wafers.

剝脫(flaking)(如此處及遍及本揭示內容之他處所用)可指涉粒子生成的形式,其係肇因於:反應腔室內部表面上的靶外沉積材料部分或完全地崩解至在反應腔室中受處理之批量晶圓上。剝脫為不樂見的情況,且可能經由將缺陷及/或其他粒子引入至晶圓而損害受處理之批次的品質。除了剝脫之外,可能觀察到「剝離」(peeling))現象。剝離係描述一種特定類型的剝脫,其中靶外沉積材料之頂部暴露表面在處理期間從其所附著之內壁均勻地脫離而落在晶圓上。反應腔室 Flaking (as used here and elsewhere throughout this disclosure) can refer to a form of particle generation resulting from partial or complete disintegration of off-target deposited material on interior surfaces of a reaction chamber to On a batch of wafers being processed in a reaction chamber. Spalling is an undesirable condition and can compromise the quality of the lot being processed by introducing defects and/or other particles to the wafer. In addition to exfoliation, "peeling" may be observed. Lift-off describes a specific type of lift-off in which the top exposed surface of the off-target deposited material is uniformly detached from the inner walls to which it is attached during processing and falls onto the wafer. reaction chamber

圖1呈現反應或處理腔室的簡化例示圖,可針對該反應或處理腔室而實施依據本揭示內容的製程及設備。處理腔室102包含腔室壁103、腔室地板104、及腔室天花板105。基板支座106係定位於處理腔室102內,基板107坐落於基板支座106上。處理腔室102亦包含一入口108及排放出口109。在一些實施例中,遠程電漿源110係設置於處理腔室102的上方。遠程電漿源110包含電漿產生器(未圖示),用以在遠程電漿源內產生電漿。電漿產生器包含用於產生電漿的硬體(例如,線圈、電極等),電漿可為感應耦合式電漿(ICP)、電容耦合式電漿(CCP)、或微波生成電漿等。遠程電漿源110係透過噴淋頭111而與處理腔室102分離,噴淋頭111具有複數噴淋頭孔洞112。遠程電漿源110具有用於提供氣體之入口113,氣體係用以產生遠程電漿。Figure 1 presents a simplified illustration of a reaction or processing chamber for which processes and apparatus in accordance with the present disclosure may be implemented. The processing chamber 102 includes chamber walls 103 , a chamber floor 104 , and a chamber ceiling 105 . The substrate holder 106 is positioned in the processing chamber 102 and the substrate 107 is seated on the substrate holder 106 . The processing chamber 102 also includes an inlet 108 and a discharge outlet 109 . In some embodiments, the remote plasma source 110 is disposed above the processing chamber 102 . The remote plasma source 110 includes a plasma generator (not shown) for generating plasma in the remote plasma source. The plasma generator includes hardware (eg, coils, electrodes, etc.) for generating plasma, which can be inductively coupled plasma (ICP), capacitively coupled plasma (CCP), or microwave-generated plasma, etc. . The remote plasma source 110 is separated from the processing chamber 102 by a showerhead 111 having a plurality of showerhead holes 112 . The remote plasma source 110 has an inlet 113 for providing a gas used to generate the remote plasma.

在典型情況下,在處理腔室102內依次地處理(例如在晶圓上進行沉積)一批晶圓(例如一、二至四個晶圓)。例如,四個晶圓進入處理腔室102,經受處理並接著被移去。接著,額外的四個未處理晶圓可被送入處理腔室102中,以進行處理。傳送晶圓集合直到在所需腔室清潔循環間達到總目標量或「批量」為止的此類方式可稱為「批量處理」。晶圓係在一或多站(例如,1、2、或4個站)依次地受處理,直到達到反應腔室批量大小(例如,限制)為止。透過靶外沉積材料的中介處理以避免此類材料干擾後續的晶圓處理,BIAS之應用使反應腔室批量大小擴大。因此,藉由應用BIAS,可在暫時中斷晶圓處理以處理或清潔反應腔室之內表面的殘留物累積之前,對大量晶圓進行處理,其中殘留物累積係肇因於在反應腔室之內表面上的靶外沉積。Typically, a batch of wafers (eg, one, two to four wafers) are sequentially processed (eg, deposited on the wafers) within the processing chamber 102 . For example, four wafers enter processing chamber 102, undergo processing, and then be removed. Next, an additional four unprocessed wafers may be sent into the processing chamber 102 for processing. Such an approach of transferring collections of wafers until a total target volume or "batch" is reached between required chamber cleaning cycles may be referred to as "batch processing." Wafers are sequentially processed at one or more stations (eg, 1, 2, or 4 stations) until the reaction chamber batch size (eg, limit) is reached. The application of BIAS enables chamber batch sizes to be expanded by intermediary processing of off-target deposited materials to avoid such materials interfering with subsequent wafer processing. Thus, by applying BIAS, a large number of wafers can be processed before wafer processing is temporarily interrupted to process or clean residue buildup on the inner surfaces of the reaction chamber due to Off-target deposition on inner surfaces.

圖2說明在以下處理之後的圖1中所示設備:其內部元件表面經過塗佈,例如透過塗佈諸如塗層220之底塗層(UCT)而進行「時效處理」,如以下進一步描述。一般而言,「時效處理」指涉製備反應腔室之內部表面的處理,用以在其中處理晶圓。在一些實施例中,時效處理可涉及將矽氧化物(SiO2 )之塗層或UCT塗佈於內部表面。在其他實施例中,矽氧化物(SiOX )、氮化物、鎢、或其他合適材料(如介電材料)可用於時效處理中,其取決於在反應腔室內所沉積之物為何。FIG. 2 illustrates the device shown in FIG. 1 after the surface of its internal components has been coated, for example "aged" by applying an undercoat layer (UCT) such as coating 220, as further described below. In general, "aging treatment" refers to a treatment that prepares the interior surfaces of a reaction chamber for processing wafers therein. In some embodiments, the aging treatment may involve applying a coating of silicon oxide (SiO 2 ) or UCT to the interior surfaces. In other embodiments, silicon oxide ( SiOx ), nitride, tungsten, or other suitable materials (eg, dielectric materials) may be used in the aging process, depending on what is being deposited in the reaction chamber.

所繪示之塗層220亦可代表在反應腔室中於晶圓處理期間的靶外材料沉積之累積。在本揭示內容中的此處及他處所使用之用語「累積」,大體上係描述反應腔室之內部表面上的靶外沉積材料之增長。同樣地,用語「常態累積」描述在反應腔室內處理批量晶圓期間的習知累積程序,其在達到最大反應腔室批量大小時即被清除。基板107(例如晶圓)未圖示於此圖式中,且為說明之目的而將塗層220之厚度誇大。此外,塗層220可存在於圖2中無法看見的區域中,例如噴淋頭孔洞112之內部表面上。在一些實施例中,低復合材料之塗層220僅覆蓋處理腔室102內側的表面。The depicted coating 220 may also represent the accumulation of off-target material deposition during wafer processing in the reaction chamber. The term "accumulation" as used here and elsewhere in this disclosure generally describes the growth of off-target deposited material on the interior surfaces of the reaction chamber. Likewise, the term "normal build-up" describes the conventional build-up procedure during processing of batches of wafers in a chamber that is cleared when the maximum chamber batch size is reached. Substrate 107 (eg, a wafer) is not shown in this figure, and the thickness of coating 220 is exaggerated for illustrative purposes. Additionally, coating 220 may be present in areas not visible in FIG. 2 , such as on the interior surface of showerhead aperture 112 . In some embodiments, the low recombination coating 220 covers only the surfaces inside the processing chamber 102 .

基板107(例如半導體晶圓)之處理可涉及在其上透過各種製程進行沉積,例如原子層沉積(ALD)。在晶圓處理期間,指定數量之晶圓(例如一、二、或四個晶圓)可在處理腔室102中經受處理,然後被循環移出,以容許新的未處理晶圓進入。在花費於處理大量晶圓的某時間量之後,欲沉積於晶圓上之材料可能開始累積在非預期之位置上,例如腔室壁103上。結果,此類靶外沉積材料可能開始產生粒子,例如從腔室壁103剝脫並下落(或移動)至基板107上而污染晶圓處理。Processing of the substrate 107, such as a semiconductor wafer, may involve deposition thereon by various processes, such as atomic layer deposition (ALD). During wafer processing, a specified number of wafers (eg, one, two, or four wafers) may undergo processing in the processing chamber 102 and then be cycled out to allow entry of new unprocessed wafers. After a certain amount of time spent processing a large number of wafers, material to be deposited on the wafers may begin to accumulate in unintended locations, such as on the chamber walls 103 . As a result, such off-target deposited material may begin to generate particles, eg, flake off the chamber walls 103 and fall (or migrate) onto the substrate 107 to contaminate wafer processing.

因此,實施圖4及5A-5B中所進一步描述之處理可固定或穩固腔室壁上的此類靶外沉積材料。靶外沉積材料的此等穩固化可允許額外地持續處理基板107,直到必須進行最終的腔室壁103清潔循環以取出並除去靶外沉積材料為止。一般而言,清潔循環指涉從各種內部反應器元件(如側壁)移除不樂見的靶外沉積材料。通常對反應腔室進行清潔以使反應腔室中的晶圓處理能重新開始。腔室清潔可為濕式(利用液相化學品)或乾式(例如,利用電漿)。並且,可透過提供電漿至反應腔室而進行腔室清潔(通常稱為「電漿清潔」),以清潔反應腔室之內部表面上的靶外沉積材料。可利用原位或遠程電漿以進行電漿清潔。Thus, performing the processes further described in FIGS. 4 and 5A-5B can fix or stabilize such off-target deposited material on the chamber walls. Such stabilization of the off-target deposition material may allow additional continued processing of the substrate 107 until a final chamber wall 103 cleaning cycle is necessary to remove and remove the off-target deposition material. In general, a cleaning cycle refers to the removal of undesired off-target deposited material from various internal reactor elements such as sidewalls. The reaction chamber is typically cleaned to allow wafer processing in the reaction chamber to resume. Chamber cleaning can be wet (using liquid phase chemicals) or dry (eg, using plasma). Also, chamber cleaning (commonly referred to as "plasma cleaning") may be performed by providing a plasma to the reaction chamber to clean off-target deposited material on the interior surfaces of the reaction chamber. Plasma cleaning can be performed using in situ or remote plasma.

圖3顯示例示性程序流程300,用以解決在晶圓批量處理期間由反應腔室之內部表面上的靶外沉積所引起的問題。程序300開始於操作302,其涉及將一或更多晶圓提供至一反應腔室,例如處理腔室,如圖1所示者。FIG. 3 shows an exemplary process flow 300 for addressing problems caused by off-target deposition on interior surfaces of reaction chambers during wafer batch processing. Process 300 begins at operation 302 , which involves providing one or more wafers to a reaction chamber, such as a processing chamber, as shown in FIG. 1 .

在一些實施例中,可使複數晶圓進入反應腔室以進行多站的依次處理,之後在處理完成後即從反應腔室中移去。在其他實施例中,可將腔室配置為一次處理一個晶圓。此等複數晶圓處理可統稱為「批量處理」,其中一「批量」晶圓指涉:在需將反應器停機以進行完全清潔之前,可在反應腔室清潔循環之間於反應腔室中受處理的晶圓總數,其中將反應器停機以進行完全清潔係為了在無製程漂移及/或晶圓汙染之風險下繼續處理晶圓,製程漂移及/或晶圓汙染係肇因於粒子生成,例如內部反應器元件(尤其係側壁)上的累積靶外沉積材料剝脫,其係歸因於反應腔室中的晶圓處理。一般而言,清潔循環涉及將反應腔室完全停用,以在無汙染風險下繼續處理晶圓之前提供完全清潔,該汙染係肇因於粒子生成,例如,沉積於內部反應器元件(尤其係側壁)上的累積靶外沉積材料剝脫,其係歸因於反應腔室中之先前的晶圓處理。In some embodiments, multiple wafers may enter the reaction chamber for sequential processing at multiple stations and then be removed from the reaction chamber after processing is complete. In other embodiments, the chamber may be configured to process one wafer at a time. These multiple wafer processes may be collectively referred to as "batch processing," where a "batch" of wafers refers to the processing of wafers that can be processed in the reaction chamber between chamber cleaning cycles before requiring the reactor to be shut down for complete cleaning. Total number of wafers processed where reactors were shut down for full cleaning in order to continue processing wafers without risk of process drift and/or wafer contamination due to particle generation , such as accumulative off-target deposition material exfoliation on internal reactor elements (especially sidewalls), which is attributable to wafer processing in the reaction chamber. In general, a cleaning cycle involves completely deactivating the reaction chamber to provide complete cleaning before continuing to process wafers without risk of contamination due to particle generation, for example, deposition on internal reactor components (especially Cumulative off-target deposition material on the sidewalls) was ablated due to previous wafer processing in the reaction chamber.

在典型的批量處理過程中,期望的製程產能可能受到限制,其係歸因於在批量晶圓處理期間反應腔室之內部表面上之靶外材料的持續累積。透過實施批量中間反應腔室處理以使沉積於例如反應腔室之側壁上的靶外材料穩固,操作306(在批量晶圓之一部分的初始處理之後進行)解決靶外沉積之問題。可在操作306之批量中間處理開始之前,將反應腔室內的任何晶圓從反應腔室中移去,以避免肇因於批量中間處理之不樂見的汙染。在一些實施例中,批量中間處理可涉及一或更多不同的程序變形例,其係進一步描述於圖4A-4B中。在操作306之反應腔室之批量中間處理完成之後,於操作310中結束程序300之前,可於操作308中在反應腔室內對批量晶圓之另一部分進行處理。During a typical batch process, desired process throughput may be limited due to the continued accumulation of off-target material on the interior surfaces of the reaction chamber during batch wafer processing. Operation 306 (performed after initial processing of a portion of a batch of wafers) addresses the issue of off-target deposition by performing batch intermediate reaction chamber processing to stabilize off-target material deposited, for example, on the sidewalls of the reaction chamber. Any wafers within the reaction chamber may be removed from the reaction chamber before the batch mid-processing at operation 306 begins to avoid undesirable contamination due to batch mid-processing. In some embodiments, batch intermediate processing may involve one or more different program variations, which are further described in FIGS. 4A-4B . After batch intermediate processing in the reaction chamber at operation 306 is complete, another portion of the batch of wafers may be processed in the reaction chamber at operation 308 before process 300 is terminated at operation 310 .

因此,在操作306實施批量中間反應腔室處理,可使所需的清潔循環之間能在反應腔室內進行處理的晶圓總數增加,因而使欲處理之晶圓的批量大小有效增加。因此,需要包含操作306的程序300(亦稱為批量增加累積程序(BIAS)),以透過在所需清潔循環之間延長反應腔室的可用性或壽命(例如,其中將累積的靶外沉積材料移除以免於附著於反應腔室之側壁),而使在既定反應腔室中進行處理之晶圓的總操作產能增加。Thus, implementing batch intermediate reaction chamber processing at operation 306 can increase the total number of wafers that can be processed in the reaction chamber between required cleaning cycles, thereby effectively increasing the batch size of wafers to be processed. Therefore, the procedure 300 including operation 306 (also referred to as the batch increasing accumulation procedure (BIAS)) is needed to extend the usability or lifetime of the reaction chamber (e.g., where off-target deposited material will accumulate) between required cleaning cycles. removed to prevent adhesion to the sidewalls of the reaction chamber), thereby increasing the overall operating throughput of wafers being processed in a given reaction chamber.

圖4A顯示全面性的程序流程400,其依據參照圖4所述之一般處理中的一特定實施例而描述BIAS。圖4B將結合圖4A而加以討論和描述,並顯示若干特定類型的批量中間反應腔室處理,例如,在程序流程300中的操作306所實施、且同樣地在程序流程400中的操作412所實施者。在開始於操作402之後,熟習本技藝者將理解,反應腔室之內部表面可藉由透過習知沉積方法、或經由原子層沉積(ALD)在其上沉積薄膜而加以製備、或進行時效處理。在時效處理操作404所沉積之薄膜可稱為「預塗層」、或稱為「底塗層」(UCT),且在一些實施例中可包含一介電質(如矽氧化物(SiO2 ))、或適用於沉積的其他氧化物。此外,可在相對短的期間內透過ALD沉積矽氧化物以作為UCT,俾在500至1,200個ALD循環的過程中控制所沉積之薄膜的厚度,例如,在最小值100Å至最大值2,000Å的範圍內,通常在700Å至1,400Å之內。FIG. 4A shows a comprehensive program flow 400 describing BIAS according to a specific embodiment of the general process described with reference to FIG. 4 . FIG. 4B will be discussed and described in conjunction with FIG. 4A and shows several specific types of batch intermediate reaction chamber processing, such as that performed at operation 306 in process flow 300, and likewise at operation 412 in process flow 400. Implementer. After beginning at operation 402, those skilled in the art will appreciate that the interior surfaces of the reaction chamber may be prepared or aged by depositing thin films thereon by conventional deposition methods, or by atomic layer deposition (ALD). . The film deposited in aging operation 404 may be referred to as a "precoat," or "undercoat" (UCT), and in some embodiments may include a dielectric such as silicon oxide (SiO 2 )), or other oxides suitable for deposition. In addition, silicon oxide can be deposited by ALD as a UCT in a relatively short period of time to control the thickness of the deposited film over the course of 500 to 1,200 ALD cycles, for example, at a minimum of 100 Å to a maximum of 2,000 Å range, typically within the range of 700Å to 1,400Å.

ALD為標稱自限性步驟之循環製程,其引致薄膜厚度的小變化及數字上的變化。該製程具有平滑度及保形性之特性。「ALD循環」之概念與本文許多實施例之討論相關。一般而言,ALD循環為用以執行一次表面沉積反應的最小操作集。一個循環的結果為在例如基板表面上產生至少部分的含矽薄膜層。通常,ALD循環所包含之操作用以使至少一反應物輸送和吸附至基板表面,並接著使吸附的反應物與一或更多反應物進行反應,俾形成部分的薄膜層。該循環可包含某些輔助操作,例如清除反應物或副產物之其中一者、及/或處理所沉積之部分薄膜。一般而言,循環包含獨特操作序列之一個實例。舉例而言,ALD循環可包含以下操作:(i)含矽前驅物之輸送/吸附、(ii)將含矽前驅物從腔室中排淨、(iii)第二反應物與電漿之輸送、及(iv)將電漿從腔室中排淨。適用於藉由ALD生成及塗佈各種類型之UCTs的前驅物、處理氣體、及/或試劑之各種流率範圍係顯示於圖5A中,其中該欄位標示為「UCT」。用於塗佈UCT、及額外的各種批量中間處理塗層之ALD製程的流率(以每分鐘標準立方厘米(sccm)提供)可包含圖5A中所示之特定範圍。例如,可使選自BTBAS(雙(叔丁基胺基)矽烷)、BDEAS(雙(二乙基胺基)矽烷) ((Et2 N)2 SiH2 )、或DIPAS(二(異丙胺基)矽烷)之前驅物在500-3,000 sccm之體積流率下流入反應腔室,以使例如矽氧化物(SiO2 )(如矽酸鹽玻璃)之UCT生成和塗佈於反應腔室的內部表面。用於生成含矽UCT之其他合適的例示性前驅物(或反應物)可包含各種其他的雙(烷基胺基)矽烷,其中其烷基可含有1-6個碳基。並且,每一胺基可各自以烷基單取代或雙取代。此外,在某些實施例中,可使用烯基與炔基之變形物以作為用於生成含矽UCT之前驅物或反應物。在一些情況或配置中,可在分子上使用不同的烷基(例如,一或更多胺可被甲基取代,且一或更多其他的胺可被乙基取代)。在某些實施例中,一或更多烷基可提供矽烷核的立體阻礙。同樣地,亦可視需求而使諸如氬(Ar)氣之載氣流入反應腔室中以生成UCT。ALD is a cyclic process with nominally self-limiting steps, which results in small and numerical changes in film thickness. The process is characterized by smoothness and shape retention. The concept of an "ALD cycle" is relevant to the discussion of many of the embodiments herein. In general, an ALD cycle is the minimum set of operations to perform a surface deposition reaction. One cycle results in at least part of a silicon-containing thin film layer eg on the surface of the substrate. Typically, an ALD cycle includes operations to transport and adsorb at least one reactant to a substrate surface, and then react the adsorbed reactant with one or more reactants to form part of the thin film layer. The cycle may include certain ancillary operations, such as removal of either reactants or by-products, and/or treatment of a portion of the deposited film. In general, a loop contains one instance of a unique sequence of operations. For example, an ALD cycle may include the following operations: (i) delivery/adsorption of a silicon-containing precursor, (ii) purging of the silicon-containing precursor from the chamber, (iii) delivery of a second reactant and plasma , and (iv) draining the plasma from the chamber. Various flow rate ranges for precursors, process gases, and/or reagents suitable for generating and coating various types of UCTs by ALD are shown in FIG. 5A, where the column is labeled "UCT." The flow rates (provided in standard cubic centimeters per minute (sccm)) for the ALD process for coating UCT, and additionally various batches of intermediate process coatings, may encompass the specific ranges shown in FIG. 5A. For example, it can be selected from BTBAS (bis(tert-butylamino)silane), BDEAS (bis(diethylamino)silane) ((Et 2 N) 2 SiH 2 ), or DIPAS (bis(isopropylamino)silane) ) silane) precursors flow into the reaction chamber at a volumetric flow rate of 500-3,000 sccm, so that UCT such as silicon oxide (SiO 2 ) (such as silicate glass) is generated and coated on the interior of the reaction chamber surface. Other suitable exemplary precursors (or reactants) for forming silicon-containing UCTs may include various other bis(alkylamino)silanes, wherein the alkyl group thereof may contain 1-6 carbon groups. Also, each amine group may be individually or monosubstituted with an alkyl group. Additionally, in some embodiments, alkenyl and alkynyl variants can be used as precursors or reactants for the formation of silicon-containing UCTs. In some cases or configurations, different alkyl groups can be used on the molecule (eg, one or more amines can be substituted with methyl groups and one or more other amines can be substituted with ethyl groups). In certain embodiments, one or more alkyl groups may provide steric hindrance to the silane core. Likewise, a carrier gas such as argon (Ar) gas can also be flowed into the reaction chamber to generate UCT as required.

接著,在如上所述於操作404進行反應腔室的時效處理以塗佈UCT之後,將批量晶圓之一部分提供至反應腔室以在其中進行處理。如先前針對程序流程300所介紹,批量可指涉在所需腔室清潔循環之間能由反應腔室所處理的晶圓最大數量。該批量之一部分可為少於全部批量的任何數量。在一些實施例中,可將批量分為複數等分,例如代表該批量的一半、三分之一、四分之一等,且該等部分可於經驗上與一累積限制相關聯,該累積限制剛好少於一限度,在該限度時受處理之晶圓實質上可能因粒子生成而汙染,其中粒子生成係肇因於腔室中累積的靶外沉積。在一特定範例中,可將批量分為四等分,在完成其處理之後,批量係25%、50%、75%、及100%完成的。操作408涉及處理批量晶圓之一部分,且可涉及(如前所述)依序處理複數群組的晶圓(例如各群組為一、二至四個晶圓),使其循環進出反應腔室以進行處理。在一些實施例中,在操作408之處理可涉及對批量晶圓之一部分執行一或更多技術,包括透過ALD製程而進行沉積。Next, after aging the reaction chamber to coat the UCT at operation 404 as described above, a portion of the batch of wafers is provided to the reaction chamber for processing therein. As previously described for process flow 300, a batch size may refer to the maximum number of wafers that can be processed by the reaction chamber between desired chamber cleaning cycles. A portion of the batch can be any amount less than the entire batch. In some embodiments, a batch can be divided into plural equal parts, such as representing one-half, one-third, one-fourth, etc. The limit is just below the limit at which wafers being processed can substantially become contaminated by particle generation due to off-target deposition accumulating in the chamber. In a specific example, the batch may be divided into quarters, after completing its processing, the batch is 25%, 50%, 75%, and 100% complete. Operation 408 involves processing a portion of a batch of wafers, and may involve (as previously described) sequentially processing groups of wafers (eg, groups of one, two to four wafers) that are cycled in and out of the reaction chamber room for processing. In some embodiments, the processing at operation 408 may involve performing one or more techniques on a portion of the wafer lot, including deposition via an ALD process.

在將經處理之晶圓從反應腔室中移去之後,於操作412使該部分之處理暫時中斷,以實施反應腔室之批量中間處理。批量中間處理使在操作408處理該部分期間不慎於反應腔室之內部表面上沉積的靶外材料穩固。After the processed wafers are removed from the reaction chamber, the portion of the process is temporarily interrupted at operation 412 to allow batch intermediate processing of the reaction chamber. Batch intermediate processing stabilizes off-target material that is inadvertently deposited on the interior surfaces of the reaction chamber during processing of the portion at operation 408 .

BIAS的優點之一為:透過使在強制性清潔循環之間能由反應腔室所處理的最大批量大小增加,而使反應腔室的淨產能增加。相對大的批量大小意指更多的反應腔室時間可用於在其中處理晶圓,而非用於頻繁地中斷處理以完成全面性的內務操作(如腔室清潔)。因此,實施BIAS會促使產能增加、以及降低批量中所觀察到的缺陷度(例如,可能由頻繁的處理中斷以清潔反應腔室所引起)。One of the advantages of BIAS is that the net capacity of the reaction chamber is increased by increasing the maximum batch size that can be processed by the reaction chamber between mandatory cleaning cycles. The relatively large batch size means that more reaction chamber time can be spent processing wafers therein rather than frequently interrupting processing to complete comprehensive housekeeping operations such as chamber cleaning. Thus, implementing BIAS results in increased throughput, as well as reduced defectivity observed in batches (eg, that may result from frequent process interruptions to clean reaction chambers).

圖4B顯示可於操作412實施的特定類型之處理的若干變形例。例如,可透過變形例A而將附著於反應腔室之側壁的靶外沉積材料固定或封在適當位置,例如,藉由塗佈(例如沉積)將靶外沉積材料束縛於其所附著的表面(例如側壁及/或其他反應腔室內部元件)之高度可壓縮薄膜,而防止未來的靶外沉積材料剝脫或分解,靶外沉積材料剝脫或分解可能干擾批量晶圓處理。FIG. 4B shows several variations of the particular type of processing that may be performed at operation 412 . For example, the off-target deposition material attached to the side wall of the reaction chamber can be fixed or sealed in place through modification A, for example, by coating (e.g., deposition) to bind the off-target deposition material to the surface to which it is attached (eg, sidewalls and/or other reaction chamber interior components) highly compressible films, while preventing future exfoliation or decomposition of off-target deposited materials, which could interfere with batch wafer processing.

在批量處理期間,可於每隔一組預先指定之間隔時沉積此類薄膜,例如於總批量限制的25%、50%、或75%,該等間隔可為經驗上決定的。或者,可於每隔定期時間間隔(例如,從處理開始經過的每單位時間)時實施批量中間處理。此外,鑒於在反應腔室之內部表面上之靶外材料累積係傾向於與自最近之清潔循環以來在其中受到處理的晶圓數量成比例,故可依據靶外材料累積之量測而選擇可塗佈批量中間腔室塗層的間隔。除了在總批量限制之特定分率時塗佈批量中間腔室塗層之外、或者取代在總批量限制之特定分率時塗佈批量中間腔室塗層,而可實施此等量測。During batch processing, such films may be deposited at every other set of pre-specified intervals, eg, at 25%, 50%, or 75% of the total batch limit, which intervals may be determined empirically. Alternatively, batch intermediate processing may be performed at regular time intervals (eg, per unit of time elapsed from the start of processing). Furthermore, given that off-target material buildup on the interior surfaces of the reaction chamber tends to be proportional to the number of wafers processed therein since the most recent cleaning cycle, a choice may be made based on the measurement of off-target material buildup. Intervals for applying batch intermediate chamber coatings. Such measurements may be performed in addition to, or instead of, applying the batch midchamber coating at a specified fraction of the total batch limit.

藉由變形例A(操作412的子集)之批量中間處理而使塗佈以束縛靶外沉積材料之薄膜或塗層的可壓縮性增加的典型方法,包含(但不限於)在以下條件下透過ALD而塗佈薄膜:2 kw – 7kW之高射頻(RF)功率、2 T – 10 T之高壓、較長的RF時間(0.2 s – 10 s)、或透過對熟習本技藝者而言明顯的其他方法而塗佈薄膜。再者,在某些實施例中,可視需求而以任何結合方式將所提及之技術之一或多者結合,俾增加薄膜的可壓縮性。Exemplary methods of increasing the compressibility of films or coatings applied to confine off-target deposited material by batch intermediate processing of Variation A (subset of operation 412) include, but are not limited to, under the following conditions Coating thin films by ALD: high radio frequency (RF) power of 2 kw – 7kW, high voltage of 2 T – 10 T, long RF time (0.2 s – 10 s), or through other methods to coat thin films. Furthermore, in some embodiments, one or more of the mentioned techniques may be combined in any combination as desired to increase the compressibility of the film.

圖5A中(標頭「批量中間 – 1/2」下方)提供更加全面的例示性處理條件列表。例如,用於在例如總批量累積限制之50%時塗佈可壓縮薄膜塗層之合適的批量中間處理條件,可包含在500至3,000 sccm之體積流率下的前驅物流動。用於形成及塗佈矽氧化物塗層的合適前驅物可包含選自包含下列各者之群組的含矽物種:BTBAS(雙(叔丁基胺基)矽烷)、BDEAS(雙(二乙基胺基)矽烷) ((Et2 N)2 SiH2 )、或DIPAS(二(異丙胺基)矽烷),可使其在500 – 3,000 sccm之體積流率下流入反應腔室中,以使例如矽氧化物(SiO2 )(如矽酸鹽玻璃)之UCT生成和塗佈於反應腔室的內部表面。用於生成含矽UCT之其他合適的例示性前驅物(或反應物)可包含各種其他的雙(烷基胺基)矽烷,其中其烷基可含有1-6個碳基。並且,每一胺基可各自以烷基單取代或雙取代。此外,在某些實施例中,可使用烯基與炔基之變形物以作為用於生成含矽UCT之前驅物或反應物。在一些情況或配置中,可在分子上使用不同的烷基(例如,一或更多胺可被甲基取代,且一或更多其他的胺可被乙基取代)。在某些實施例中,一或更多烷基可提供矽烷核的立體阻礙。亦可視需求而使諸如氬(Ar)氣之載氣流入反應腔室中以生成UCT。並且,在某些實施例中,含氧物種可選自包含下列各者之群組:氧化亞氮(N2 O)氣體及/或氧(O2 )氣,且可被流入反應腔室中。A more comprehensive list of exemplary processing conditions is provided in Figure 5A (under the header "Batch Middle - 1/2"). For example, suitable batch mid-processing conditions for applying compressible thin film coatings at, eg, 50% of the total batch build-up limit, may include precursor flow at a volumetric flow rate of 500 to 3,000 sccm. Suitable precursors for forming and applying silicon oxide coatings may comprise silicon-containing species selected from the group comprising: BTBAS (bis(tert-butylamino)silane), BDEAS (bis(diethylamino)silane), Amino)silane) ((Et 2 N) 2 SiH 2 ), or DIPAS (di(isopropylamino)silane), which can be flowed into the reaction chamber at a volumetric flow rate of 500 – 3,000 sccm so that UCTs such as silicon oxide (SiO 2 ), such as silicate glass, are formed and coated on the interior surfaces of the reaction chamber. Other suitable exemplary precursors (or reactants) for forming silicon-containing UCTs may include various other bis(alkylamino)silanes, wherein the alkyl group thereof may contain 1-6 carbon groups. Also, each amine group may be individually or monosubstituted with an alkyl group. Additionally, in some embodiments, alkenyl and alkynyl variants can be used as precursors or reactants for the formation of silicon-containing UCTs. In some cases or configurations, different alkyl groups can be used on the molecule (eg, one or more amines can be substituted with methyl groups and one or more other amines can be substituted with ethyl groups). In certain embodiments, one or more alkyl groups may provide steric hindrance to the silane core. A carrier gas such as argon (Ar) gas can also be flowed into the reaction chamber to generate UCT as needed. Also, in certain embodiments, the oxygen-containing species can be selected from the group comprising: nitrous oxide ( N2O ) gas and/or oxygen ( O2 ) gas, and can be flowed into the reaction chamber .

使用圖示之範圍中(例如5,000 – 50,000 sccm)之氮(N2 )氣的後續排淨操作可用以視需求而將處理試劑從反應腔室中排空。在某些實施例中,可在與第一排淨相似之流率範圍下實施第二排淨操作。可在沉積(例如ALD)及排淨操作期間將總反應腔室壓力維持於1T至10T之間。A subsequent purge operation using nitrogen ( N2 ) gas in the range shown (eg, 5,000 - 50,000 sccm) can be used to optionally evacuate process reagents from the reaction chamber. In certain embodiments, the second purging operation may be performed at a similar flow rate range as the first purging. The total reaction chamber pressure can be maintained between 1T and 10T during deposition (eg, ALD) and purge operations.

同樣地,沉積及相關的排淨操作之步驟時序係顯示於概略流率範圍下方。給劑時序以秒為單位而指示前驅物給劑時間;PDP指示例如惰性氣體流的給劑後排淨時間,惰性氣體流係用以從反應腔室中之晶圓反應區域除去沉積前驅物;RF時間指涉在沉積操作期間反應物存在之情況下射頻(RF)電漿功率啟動的時間段;且RF排淨時間指涉在RF電漿驅動沉積之後無反應物或電漿功率之情況下的排淨持續時間。在ALD及排淨操作期間可用於調整之額外的反應腔室製程參數包含腔室溫度及功率設定。例如,安瓿溫度指涉當反應物進入腔室時的反應物溫度,且可在20°C-80°C之範圍內;氣體管線溫度指涉處理氣體通過氣體管線被輸送至反應腔室的溫度,且可在20°C-85°C之範圍內;底座溫度指涉固持欲處理之(複數)晶圓的底座之溫度,且可在20°C-550°C之範圍內,其取決於製程應用及所沉積之薄膜的需求;腔室溫度指涉在ALD及相關之排淨製程期間反應腔室的內部溫度,且可被設定於20°C-85°C之範圍;且頂板溫度可被設定於20°C-85°C之範圍。Likewise, the sequence of steps for deposition and associated purge operations is shown below the approximate flow rate range. The dosing sequence indicates the precursor dosing time in seconds; the PDP indicates the post-dosing purge time such as the flow of inert gas used to remove the deposition precursor from the reaction area of the wafer in the reaction chamber; RF time refers to the period of time when radio frequency (RF) plasma power is on in the presence of reactants during a deposition operation; and RF drain time refers to the absence of reactants or plasma power after RF plasma driven deposition duration of purging. Additional chamber process parameters that may be used for adjustment during ALD and purge operations include chamber temperature and power settings. For example, ampoule temperature refers to the temperature of the reactants as they enter the chamber and can range from 20°C to 80°C; gas line temperature refers to the temperature at which the process gas is delivered to the reaction chamber through the gas line , and can be in the range of 20°C-85°C; the base temperature refers to the temperature of the base holding the (plural) wafers to be processed, and can be in the range of 20°C-550°C, depending on The process application and the requirements of the deposited film; the chamber temperature refers to the internal temperature of the reaction chamber during the ALD and related purging process, and can be set in the range of 20°C-85°C; and the top plate temperature can be It is set in the range of 20°C-85°C.

容許的功率設定包含圖5A中所示、在各種反應腔室元件(例如噴淋頭與底座)之特定範圍內的功率設定,噴淋頭與底座兩者之功率皆可在如圖所示之頻率範圍下提供。此外,在某些實施例中,處理後製程可與圖5A中的批量中間 – 1/2處理所示之ALD及相關排淨製程結合、在所示之概略功率位準、流入反應腔室之氣體物種、頻率及時間間隔中加以應用。Allowable power settings include those shown in FIG. 5A within specific ranges for various reaction chamber components such as the showerhead and base, both of which can be within the range shown. available in the frequency range below. Additionally, in some embodiments, post-processing processes may be combined with ALD and associated purge processes shown in Figure 5A for batch mid-1/2 processing, at the approximate power levels shown, between flow into the reaction chamber Gas species, frequency and time interval are applied.

在一些實施例中,相對於如上述地塗佈可壓縮薄膜以塗佈和封住靶外沉積材料,而可使反應腔室之內部表面上的累積靶外沉積材料暴露於電漿,例如,如變形例B所示。可在每隔總批量累積限制之期望間隔(例如25%、50%、或75%)時,於一低壓下實施電漿暴露,例如俾使電漿更容易擴散進入靶外沉積材料以將此等材料穩固於適當位置,避免其於處理期間落在批量晶圓上。例如,變形例B之合適的反應腔室處理條件、以及選用性的後處理可為如圖5B中的「批量中間 – 3」所示。電漿可透過與用於沉積所示之方式(如圖5A之「製程」欄位中所示)相同的方式產生,並可被輸送至介於噴淋頭(其可為經供電的)與底座(其可為接地的)之間的處理腔室。再者,在某些實施例中,如上述而產生的電漿可擴散以改善沉積於載送環上之材料的品質,載送環可被定位於反應腔室內以在處理期間固持晶圓。載送環可由高阻抗陶瓷所製成,其係配置以或用以使電漿功率聚集至接地底座上。In some embodiments, rather than coating a compressible film as described above to coat and seal the off-target deposition material, the accumulated off-target deposition material on the interior surfaces of the reaction chamber may be exposed to the plasma, e.g., As shown in Modification B. Plasma exposure can be performed at a low pressure at desired intervals (e.g., 25%, 50%, or 75%) of the total batch build-up limit, e.g., to allow easier diffusion of the plasma into the off-target deposited material to remove this and other materials are held in place to prevent them from falling onto the batch of wafers during processing. For example, suitable reaction chamber processing conditions and optional post-processing for Modification B may be shown as "batch middle-3" in FIG. 5B. Plasma can be generated in the same manner as shown for deposition (shown in the "Process" column of Figure 5A), and can be delivered to the space between the showerhead (which can be powered) and The processing chamber between the bases (which may be grounded). Furthermore, in some embodiments, the plasma generated as described above can diffuse to improve the quality of material deposited on the carrier ring, which can be positioned within the reaction chamber to hold the wafer during processing. The carrier ring may be made of high impedance ceramic configured or used to focus plasma power onto a grounded base.

在一些實施例中,在變形例B中所述之電漿處理之後,可在反應腔室之內部表面上的經電漿處理之靶外沉積材料上沉積薄膜(例如,少於200Å),如變形例C中所示。並且,變形例C可涉及在低壓下的非給劑式(例如,沒有反應性前驅物或沉積試劑的流動)氧化電漿處理之初始塗佈。此外,供以首先穩固靶外沉積材料的電漿可由氬氣(Ar)或氬氣與氧氣(O2 )之混合物產生,其可從如圖1及2中所示之噴淋頭111後方的位置點燃。因此,變形例C可透過選擇及運用某些製程參數(如先前在圖5A中「批量中間 – 1/2」欄位中所示)而完成,以在靶外沉積材料之電漿處理之後透過ALD而沉積薄膜。此等ALD製程可涉及短的反應物流動時間,俾沉積較薄的膜(例如,少於200Å)。In some embodiments, after the plasma treatment described in Variation B, a thin film (e.g., less than 200 Å) can be deposited on the plasma-treated off-target deposition material on the interior surface of the reaction chamber, such as Shown in Modification C. Also, Variation C may involve initial coating with a non-dosing (eg, no flow of reactive precursors or deposition reagents) oxidative plasma treatment at low pressure. In addition, the plasma for first stabilizing the off-target deposited material can be generated by argon (Ar) or a mixture of argon and oxygen (O 2 ), which can be generated from the showerhead 111 behind the shower head 111 as shown in FIGS. 1 and 2 . location lit. Thus, Variation C can be accomplished by selecting and applying certain process parameters (as previously shown in the "Batch Middle - 1/2" column in FIG. ALD to deposit thin films. These ALD processes may involve short reactant flow times to deposit thinner films (eg, less than 200 Å).

在變形例C的習知處理程序中,用以在基板107上進行沉積的化學品(例如反應性物種)可從噴淋頭111流出。用以產生用於變形例C之電漿的惰性氣體通常難以點燃。因此,可提供電功率以在噴淋頭111之面板上將惰性氣體點燃。此外,在如此情況下,將二次排淨(例如,用以將氣體及/或其他物種從反應腔室中排淨)關閉,以使電漿能在整個處理腔室中均勻地向外分散。In the conventional processing procedure of Variation C, chemicals (eg, reactive species) for deposition on the substrate 107 may flow out of the showerhead 111 . The inert gas used to generate the plasma used in Modification C is generally difficult to ignite. Accordingly, electrical power may be provided to ignite the inert gas on the faceplate of the showerhead 111 . Also, in such cases, the secondary exhaust (eg, to exhaust gas and/or other species from the reaction chamber) is turned off so that the plasma can be uniformly dispersed outward throughout the process chamber .

接著,在如上述地使靶外沉積材料暴露於電漿之後,可在其上沉積薄膜(例如,少於200Å),以使靶外沉積材料固定或固化於適當位置。此類塗層可包含矽氧化物或另一合適的氧化物。此外,在一些實施例中,可進行額外的沉積後薄膜處理,例如退火或電漿處理。Next, after exposing the off-target deposition material to the plasma as described above, a thin film (eg, less than 200 Å) can be deposited thereon to fix or cure the off-target deposition material in place. Such coatings may comprise silicon oxide or another suitable oxide. Additionally, in some embodiments, additional post-deposition film treatments, such as annealing or plasma treatment, may be performed.

圖5B所示之變形例D可與變形例A、B、及C一同實施,且變形例D涉及使反應腔室接地,俾使在其中點燃的電漿最終擴散至反應腔室的外部區域(例如,朝向側壁)。習知上,固持基板(例如圖1及2所示之基板107)的底座或支座係接地的,而將物種朝向基板輸送以在其上進行沉積的噴淋頭係經供電的。在此,依據變形例D且與習知配置相反,底座可為經供電的,而噴淋頭為接地的。例如,可選擇性地運用圖5B所示之「批量中間 – 4」的欄位中所顯示之參數,以引致反應腔室之接地,例如,可在500W – 7kW之範圍內的功率位準下操作底座。由變形例D所提供的此等配置可輔助瞄準反應腔室內的區域,以進行電漿活化、塗佈之方向性、及轟擊;例如,朝向有靶外材料沉積於其上的反應腔室側壁。此等電漿在用於處理或處置腔室側壁及其他腔室元件上的靶外沉積材料之後,可接著朝反應腔室之外部區域擴散。Modification D shown in FIG. 5B can be implemented together with Modifications A, B, and C, and Modification D involves grounding the reaction chamber so that the plasma ignited therein eventually diffuses to the outer region of the reaction chamber ( For example, towards the side wall). Conventionally, the pedestal or pedestal that holds the substrate, such as substrate 107 shown in Figures 1 and 2, is grounded, while the showerhead that transports the species toward the substrate for deposition thereon is powered. Here, according to variant D and contrary to the known arrangement, the base can be powered while the showerhead is grounded. For example, the parameters shown in the column "Batch Intermediate - 4" shown in FIG. 5B may optionally be used to cause grounding of the reaction chamber, for example, at power levels in the range of 500W - 7kW Operating base. These configurations provided by Variation D can assist in targeting regions within the reaction chamber for plasma activation, directionality of coating, and bombardment; for example, towards the side walls of the reaction chamber on which off-target material is deposited . These plasmas, after being used to treat or dispose of off-target deposited material on the chamber sidewalls and other chamber components, may then diffuse toward the outer regions of the reaction chamber.

在成功完成一或更多的變形例A-D及/或變形例A-D之組合(其共同構成在操作412的批量中間反應腔室處理)之後,在操作414將批量晶圓的另一部分置入反應腔室中,以於操作416在反應腔室中進行處理。判定操作420判定是否在操作408及416(用於進行批量晶圓之初始部分的處理及任何另外部分的處理)期間已達到反應腔室批量大小限制。例如,若判定結果為「否」,則程序工作流程400回到操作412以進行額外的批量中間處理及沉積,俾使批量晶圓之另外部分受處理。因此,熟習本技藝者將理解,在程序工作流程400中之操作412採用BIAS及批量中間處理使得批量大小擴大,其容許額外的晶圓在強制性反應腔室清潔循環之間受處理。After successful completion of one or more of Variations A-D and/or combinations of Variations A-D (which together constitute batch intermediate reaction chamber processing at operation 412), another portion of the batch of wafers is placed into the reaction chamber at operation 414 chamber to perform processing in the reaction chamber at operation 416 . A decision operation 420 determines whether the reaction chamber batch size limit has been reached during operations 408 and 416 for processing the initial portion of the wafer lot and processing any additional portions. For example, if the determination is "No," the process flow 400 returns to operation 412 for additional batch intermediate processing and deposition so that another portion of the batch of wafers is processed. Accordingly, those skilled in the art will appreciate that the use of BIAS and batch intermediate processing at operation 412 in program workflow 400 enables batch size expansion that allows additional wafers to be processed between mandatory chamber cleaning cycles.

最後,可能在多次執行批量中間反應腔室處理操作412之後,將於操作420達到總批量累積限制,其中反應腔室之內部表面會有(或可能超過)沉積於反應腔室之內部表面上之靶外材料的閾值量,使得在操作420的結果為「是」。因此,程序工作流程400會朝末端操作422前進,在其中進行腔室清潔。Finally, the total batch accumulation limit will be reached at operation 420, possibly after multiple executions of the batch intermediate reaction chamber processing operation 412, in which there will be (or may be exceeded) deposition on the interior surfaces of the reaction chamber The threshold amount of off-target material is obtained such that the result in operation 420 is "Yes". Accordingly, programmatic workflow 400 proceeds to terminal operation 422, where chamber cleaning is performed.

如前所述,圖5A及5B顯示提供各種例示性製程參數資料值的圖表,該等製程參數資料值係與各種晶圓處理及批量中間反應腔室處理操作相對應。所示之此等數值旨在表示用於上述之各種BIAS相關製程中的參數,但並非詳盡的,且並非限制性的。可視需求而調整製程數值及/或參數以達成特定的晶圓處理產能目標。As previously mentioned, FIGS. 5A and 5B show graphs providing various exemplary process parameter data values corresponding to various wafer processing and batch intermediate chamber processing operations. These values shown are intended to represent parameters used in the various BIAS-related processes described above, but are not exhaustive and are not limiting. Process values and/or parameters may be adjusted as required to achieve specific wafer processing throughput goals.

各種的個別參數係垂直地列於圖5A及5B兩者之「參數」欄位中。並且,如前所述,針對進入及/或離開反應腔室的前驅物、反應物、及/或惰性排淨物種的體積流率,以sccm為單位而提供流量。圖5A及5B中所示之步驟時序係如前所述的,例如給劑時間等。同樣地,剩餘之溫度、功率位準、及選用性的後處理設定所包含的參數係如前所述的,例如,對應於下列之一或多者:UCT之塗佈、或透過變形例A-D之任一或多者而進行的批量中間處理。The various individual parameters are listed vertically in the "Parameter" column in both Figures 5A and 5B. Also, as previously described, flow is provided in sccm for the volumetric flow rate of precursor, reactant, and/or inert exhausting species entering and/or exiting the reaction chamber. The timing of the steps shown in Figures 5A and 5B is as previously described, such as dosing timing and the like. Likewise, the remaining temperature, power level, and optional post-processing settings include parameters as previously described, for example, corresponding to one or more of the following: coating of UCT, or through Variations A-D Batch intermediate processing for any one or more of them.

圖5A亦顯示適用於批量晶圓處理的概略參數設定範圍,其係列於「製程」欄位下方。批量處理可透過使用如前所述之ALD技術以在其上沉積期望厚度的薄膜而進行,且可涉及在所圖示之量及/或組合中之前驅物及試劑物種的流動。FIG. 5A also shows an approximate parameter setting range suitable for batch wafer processing, which is listed under the "Process" column. Batch processing can be performed by using ALD techniques as previously described to deposit films of desired thickness thereon, and can involve the flow of precursor and reagent species in the amounts and/or combinations shown.

剩餘的UCT、批量中間 – 1/2、批量中間 – 3、及批量中間 – 4之欄位分別代表在反應腔室之處理前時效處理期間之底塗層塗佈、及變形例A-D。亦即,欄位標頭「UCT」表示在內部反應腔室表面上生成和塗佈處理前時效處理底塗層的操作條件、或設定。同樣地,在某些實施例中,欄位標頭「批量中間 – 1/2」表示變形例A之設定;欄位標頭「批量中間 – 3」表示變形例B之設定;並且,欄位標頭「批量中間 – 4」表示變形例D之設定。變形例C可透過選擇性地結合欄位標頭「批量中間 – 1/2」及「批量中間 – 3」中所提供之設定範圍而加以實施。The remaining columns for UCT, Lot Mid-1/2, Lot Mid-3, and Lot Mid-4 represent the primer coating during pre-treatment aging in the reaction chamber, and Variations A-D, respectively. That is, the column header "UCT" indicates the operating conditions, or settings, for generating and applying a pre-treatment aging primer layer on the inner reaction chamber surface. Likewise, in some embodiments, the field header "Batch Middle - 1/2" indicates the setting of Variation A; the field header "Lot Middle - 3" indicates the setting of Variation B; and, the field The header "batch middle-4" indicates the setting of Modification D. Variation C can be implemented by optionally combining the setting ranges provided in the field headers "Lot Middle - 1/2" and "Lot Middle - 3".

圖6顯示用於遠程清潔配方之例示性製程參數的另一圖表,遠程清潔配方可用以在例如程序工作流程400之末端於操作422實施反應腔室之遠程清潔。縮寫字HP及LP分別表示「高壓」及「低壓」(且亦反映於圖6中所示之其各自的壓力範圍中)。可使用用於產生電漿之物種的各種配方,該等電漿係用於清潔。在藉由完整的清潔循環從反應腔室之內部表面成功移除靶外沉積材料之後,可重新啟動如程序工作流程300、或400所示之BIAS製程,俾依需求而處理額外批量的晶圓。設備 6 shows another graph of exemplary process parameters for a remote cleaning recipe that may be used to perform remote cleaning of the reaction chamber at operation 422 at the end of program workflow 400, for example. The abbreviations HP and LP stand for "high pressure" and "low pressure" respectively (and are also reflected in their respective pressure ranges shown in Figure 6). Various formulations of species for generating plasmas for cleaning are available. After successful removal of off-target deposited material from the interior surfaces of the reaction chamber by a complete cleaning cycle, the BIAS process as shown in program workflow 300, or 400 may be restarted to process additional batches of wafers as needed . equipment

圖7描繪原子層沉積(ALD)處理站700之實施例的示意圖,該ALD處理站700具有處理腔室702。處理站700可用於執行某些揭示實施例。例如,雖然處理站700可能通常用以藉由原子層沉積(ALD)而在基板上沉積薄膜,但處理站700可用於某些配置中以例如實施原子層蝕刻(ALE)或原子層清潔(ALC),俾各別地對圖案化架構中的含碳材料進行蝕刻或清潔。在一些實施例中,處理站700可用於ALE、ALC、及ALD,或者在一些實施例中,多站工具中的若干處理站可包含用於ALE或ALC的站、及用於ALD的站,俾使基板可在不破壞真空之情況下於ALC站與ALD站之間傳送。FIG. 7 depicts a schematic diagram of an embodiment of an atomic layer deposition (ALD) processing station 700 having a processing chamber 702 . Processing station 700 may be used to perform certain disclosed embodiments. For example, while processing station 700 may typically be used to deposit thin films on substrates by atomic layer deposition (ALD), processing station 700 may be used in certain configurations to perform atomic layer etching (ALE) or atomic layer cleaning (ALC), for example. ) to etch or clean the carbonaceous material in the patterned framework, respectively. In some embodiments, processing station 700 may be used for ALE, ALC, and ALD, or in some embodiments, several processing stations in a multi-station tool may include a station for ALE or ALC, and a station for ALD, So that the substrate can be transferred between the ALC station and the ALD station without breaking the vacuum.

處理腔室702可用於維持低壓處理。在共同的低壓處理工具環境中可包含複數處理站。例如,圖8描繪多站處理工具800之實施例。在一些實施例中,處理站700之一或更多硬體參數(包含以下所詳細討論者)可係藉由一或更多電腦控制器750而以編程方式調整。The processing chamber 702 may be used to maintain low pressure processing. A plurality of processing stations may be included in a common low pressure processing tool environment. For example, FIG. 8 depicts an embodiment of a multi-station processing tool 800 . In some embodiments, one or more hardware parameters of processing station 700 (including those discussed in detail below) may be programmatically adjusted by one or more computer controllers 750 .

處理站700與反應物輸送系統701a流體連通,該輸送系統701a係用以將處理氣體輸送至分佈噴淋頭706。反應物輸送系統701a包含用以混合及/或調節處理氣體(例如含氧氣體、或惰性氣體)的混合容器704,以用於輸送至噴淋頭706。一或更多混合容器入口閥720可控制處理氣體導入至混合容器704。The processing station 700 is in fluid communication with a reactant delivery system 701 a for delivering process gases to a distribution showerhead 706 . The reactant delivery system 701 a includes a mixing vessel 704 for mixing and/or conditioning process gases (eg, oxygen-containing gases, or inert gases) for delivery to the showerhead 706 . One or more mixing vessel inlet valves 720 may control the introduction of process gases into the mixing vessel 704 .

舉例而言,圖7之實施例包含用以汽化液體反應物的汽化點703,該反應物係欲供應至混合容器704。在一些實施例中,可提供沉積化學品以作為經汽化的液體反應物。在處理腔室702中執行ALE或ALC之後,可使用沉積化學品以形成圖案化的含碳材料,俾使保形薄膜可透過ALD而沉積於圖案化的含碳材料上。在一些實施例中,汽化點703可為經加熱之汽化器。自此汽化器產生的飽和反應物蒸氣可能於下游輸送管線中凝結。不可共存之氣體暴露於經凝結之反應物可能產生小粒子。該等小粒子可能阻塞管線、阻礙閥的操作、汙染基板等。用以解決該等問題的一些方法包含清除及/或排空輸送管線,俾移除殘留的反應物。然而,清除輸送管線可能提高處理站循環時間,其使產能降低。因此,在一些實施例中,汽化點703下游之輸送管線可為伴熱的(heat traced)。在一些實施例中,混合容器704亦可為伴熱的。在一非限制之範例中,汽化點703下游之管線具有遞增之溫度輪廓,其由約100°C延伸至混合容器704處的約150°C。For example, the embodiment of FIG. 7 includes a vaporization point 703 for vaporizing liquid reactants to be supplied to a mixing vessel 704 . In some embodiments, deposition chemicals may be provided as vaporized liquid reactants. After performing ALE or ALC in the processing chamber 702, a deposition chemistry may be used to form a patterned carbonaceous material such that a conformal thin film may be deposited on the patterned carbonaceous material by ALD. In some embodiments, vaporization point 703 may be a heated vaporizer. Saturated reactant vapors from this vaporizer may condense in downstream transfer lines. Exposure of incompatible gases to condensed reactants may generate small particles. These small particles may clog pipelines, impede valve operation, contaminate substrates, and the like. Some approaches to address these issues include purging and/or emptying transfer lines to remove residual reactants. However, clearing transfer lines may increase processing station cycle times, which reduces throughput. Thus, in some embodiments, the transfer line downstream of vaporization point 703 may be heat traced. In some embodiments, mixing vessel 704 may also be heated. In a non-limiting example, the line downstream of vaporization point 703 has an increasing temperature profile extending from about 100°C to about 150°C at mixing vessel 704 .

在一些實施例中,可於液體注入器(未圖示於圖7中)處將液體前驅物或液體反應物汽化。例如,液體注入器可將液體反應物之脈衝注入混合容器704上游的載體氣體流。在一實施例中,液體注入器可藉由自高壓至低壓急速汽化液體而使反應物汽化。在另一範例中,液體注入器可將液體霧化為分散的微滴,隨後該等微滴在經加熱之輸送管線中汽化。較小的液滴相比於較大的液滴而可較快地汽化,其使得液體注入與完全汽化之間的延遲減少。較快之汽化可使汽化點703下游之管線長度減小。在一情形中,可將液體注入器直接裝設於混合容器704。在另一情形中,可將液體注入器直接裝設於噴淋頭706。In some embodiments, liquid precursors or liquid reactants may be vaporized at a liquid injector (not shown in FIG. 7 ). For example, a liquid injector may inject a pulse of liquid reactant into the carrier gas stream upstream of mixing vessel 704 . In one embodiment, the liquid injector can vaporize the reactants by flash vaporizing the liquid from high pressure to low pressure. In another example, a liquid injector may atomize a liquid into discrete droplets, which are then vaporized in a heated delivery line. Smaller droplets can vaporize faster than larger droplets, which reduces the delay between liquid injection and complete vaporization. The faster vaporization allows the length of the pipeline downstream of vaporization point 703 to be reduced. In one case, the liquid injector may be mounted directly to the mixing vessel 704 . In another case, the liquid injector can be directly mounted on the shower head 706 .

在一些實施例中,可提供汽化點703上游之液體流動控制器(LFC),以控制用於汽化與輸送至處理腔室702之液體的質量流量。例如,LFC可包含位在LFC之下游的熱質量流量計(MFM)。可接著響應回饋控制信號而調整LFC之柱塞閥,該等回饋控制信號係由與MFM電氣通訊的比例-積分-微分(PID)控制器所提供。然而,其可能耗費一秒以上俾利用回饋控制使液體流動穩定。此可能延長液體反應物的給劑時間。因此,在一些實施例中,LFC可於回饋控制模式與直接控制模式之間動態切換。在一些實施例中,此可藉由使LFC及PID控制器的感測管失效而執行。In some embodiments, a liquid flow controller (LFC) upstream of vaporization point 703 may be provided to control the mass flow of liquid for vaporization and delivery to processing chamber 702 . For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. The plunger valve of the LFC can then be adjusted in response to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take more than a second to stabilize the liquid flow with feedback control. This may prolong the dosing time of the liquid reactant. Therefore, in some embodiments, the LFC can dynamically switch between feedback control mode and direct control mode. In some embodiments, this can be performed by disabling the sense tubes of the LFC and PID controllers.

噴淋頭706將處理氣體分佈至基板712。在圖7所示的實施例中,基板712係位在噴淋頭706下方、且係顯示坐落於夾頭或底座708上。可將噴淋頭706定位於350密耳(0.35 in.)至700密耳(0.7 in.)之間的距離處,以達到由噴淋頭706朝向基板712提供(或散佈)的離子方向性之期望程度。在一些實施例中,噴淋頭706與底座708之間較低的、或較小的間隙可被採用,俾保持從噴淋頭706所散佈之離子的方向性。然而,在低壓條件下(例如低於10 mT、或0.01 Torr),可能需要較高的、或較大的間隙以達成來自噴淋頭706之離子化電漿的穩定散佈。在一些實施例中,腔室可包含複數夾頭或底座。噴淋頭706可具有任何合適外形、且可具有任何合適數目及通口之配置,用以將處理氣體分佈至基板712。Showerhead 706 distributes process gas to substrate 712 . In the embodiment shown in FIG. 7 , the substrate 712 is positioned below the showerhead 706 and is shown seated on a chuck or pedestal 708 . Showerhead 706 may be positioned at a distance between 350 mils (0.35 in.) to 700 mils (0.7 in.) to achieve ion directionality provided (or spread) by showerhead 706 toward substrate 712 the degree of expectation. In some embodiments, a lower, or smaller, gap between the showerhead 706 and the pedestal 708 may be employed in order to maintain the directionality of the ions dispersed from the showerhead 706 . However, under low pressure conditions (eg, below 10 mT, or 0.01 Torr), higher, or larger, gaps may be required to achieve a stable spread of ionized plasma from the showerhead 706 . In some embodiments, a chamber may contain multiple chucks or mounts. Showerhead 706 may have any suitable shape and may have any suitable number and configuration of ports for distributing process gases to substrate 712 .

在一些實施例中,可將底座708升高或降低以使基板712暴露於基板712與噴淋頭706之間的容積。在一些實施例中,底座708可經由加熱器710而加以溫度控制。在執行各種所揭示實施例之操作期間,可將底座708設定至任何適當溫度,如介於約25°C至約650°C、或介於約35°C至約100°C。應理解,在一些實施例中,可藉由合適的電腦控制器750而以編程方式調整底座高度。In some embodiments, the base 708 can be raised or lowered to expose the substrate 712 to the volume between the substrate 712 and the showerhead 706 . In some embodiments, the base 708 may be temperature controlled via a heater 710 . During operation of the various disclosed embodiments, base 708 may be set to any suitable temperature, such as between about 25°C to about 650°C, or between about 35°C to about 100°C. It should be appreciated that in some embodiments the base height can be adjusted programmatically by a suitable computer controller 750 .

在另一情形中,在某些揭示實施例中所執行的電漿活化期間,調整底座708之高度可使得電漿密度改變。例如,可在惰性氣體經由噴淋頭706而流至基板712時點燃電漿,以在核心材料暴露於含氧氣體之後將經改質之核心材料移除。在處理階段結束後,可於另一基板傳送階段期間將底座708降低,以容許基板712自底座708移除。In another instance, adjusting the height of pedestal 708 may result in a change in plasma density during plasma activation as performed in certain disclosed embodiments. For example, the plasma may be ignited while an inert gas is flowing through the showerhead 706 to the substrate 712 to remove modified core material after exposure of the core material to an oxygen-containing gas. After the processing stage is complete, the pedestal 708 may be lowered during another substrate transfer stage to allow the substrate 712 to be removed from the pedestal 708 .

在一些實施例中,可相對於底座708而調整噴淋頭706的位置,以改變基板712與噴淋頭706之間的容積。再者,應理解,可藉由本揭示內容之範疇內的任何合適機構而改變底座708及/或噴淋頭706之垂直位置。在一些實施例中,底座708可包含旋轉軸,用以轉動基板712的方向。應理解,在一些實施例中,可藉由一或更多合適的電腦控制器750而以編程方式執行該等範例調整之其中一或更多者。電腦控制器750可包含以下針對圖7之控制器750所描述之特徵的任一者。In some embodiments, the position of the showerhead 706 can be adjusted relative to the base 708 to change the volume between the substrate 712 and the showerhead 706 . Furthermore, it should be understood that the vertical position of base 708 and/or showerhead 706 may be changed by any suitable mechanism within the scope of the present disclosure. In some embodiments, the base 708 may include a rotational axis for rotating the orientation of the substrate 712 . It should be appreciated that in some embodiments one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers 750 . Computerized controller 750 may include any of the features described below for controller 750 of FIG. 7 .

在如上所討論之使用電漿的一些實施例中,噴淋頭706和底座708與射頻(RF)電源供應器714和匹配網路716電氣通訊,用以為電漿提供能量。在一些實施例中,可藉由控制下列中一或更多者而控制電漿能量:處理站壓力、氣體濃度、RF源功率、RF源頻率、以及電漿功率脈衝時序。例如,可於任何適當功率下操作RF電源供應器714和匹配網路716,以形成具有所期望之自由基物種成分的電漿。同樣地,RF電源供應器714可提供任何適當頻率的RF功率。在一些實施例中,RF電源供應器714可係配置以各自獨立地控制高與低頻RF功率源。範例低頻RF頻率可包含(但不限於)介於0 kHz與500 kHz之間的頻率。範例高頻RF頻率可包含(但不限於)介於1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。應理解,可離散地或連續地調制任何適當參數,以針對表面反應提供電漿能量。In some embodiments using plasma as discussed above, showerhead 706 and base 708 are in electrical communication with radio frequency (RF) power supply 714 and matching network 716 for energizing the plasma. In some embodiments, plasma energy can be controlled by controlling one or more of: processing station pressure, gas concentration, RF source power, RF source frequency, and plasma power pulse timing. For example, RF power supply 714 and matching network 716 may be operated at any suitable power to form a plasma having a desired free radical species composition. Likewise, RF power supply 714 may provide RF power at any suitable frequency. In some embodiments, the RF power supply 714 may be configured to independently control the high and low frequency RF power sources. Example low frequency RF frequencies may include, but are not limited to, frequencies between 0 kHz and 500 kHz. Example high frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz, or greater than about 13.56 MHz, or greater than 27 MHz, or greater than 40 MHz, or greater than 60 MHz. It should be understood that any suitable parameter may be modulated discretely or continuously to provide plasmonic energy for surface reactions.

在一些實施例中,可藉由一或更多電漿監視器以原位地監視電漿。在一情形中,可藉由一或更多電壓、電流感測器(例如,VI探針)以監視電漿功率。在另一情形中,可藉由一或更多光放射光譜儀感測器(OES)以量測電漿密度及/或處理氣體濃度。在一些實施例中,可基於來自該原位電漿監視器之量測,而以編程方式調整一或更多電漿參數。例如,用於提供電漿功率之編程控制的回饋迴路中可使用OES感測器。在一些實施例中,使用某些揭示實施例,OES感測器可用於設定一終點以在一定量的時間之後停止蝕刻。應理解,在一些實施例中,其他監視器可用以監視電漿及其他處理特性。如此之監視器可包含(但不限於)紅外線(IR)監視器、聲響監視器、及壓力轉換器。In some embodiments, the plasma can be monitored in situ by one or more plasma monitors. In one case, plasma power can be monitored by one or more voltage and current sensors (eg, VI probes). In another instance, the plasma density and/or process gas concentration may be measured by one or more optical emission spectrometer sensors (OES). In some embodiments, one or more plasma parameters can be programmatically adjusted based on measurements from the in situ plasma monitor. For example, an OES sensor may be used in a feedback loop for providing programmable control of plasma power. In some embodiments, using certain disclosed embodiments, an OES sensor can be used to set an endpoint to stop etching after a certain amount of time. It should be understood that in some embodiments other monitors may be used to monitor plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.

在一些實施例中,可經由輸入/輸出控制(IOC)序列指令而提供對於控制器750的指令。在一範例中,用於為處理階段設定條件的指令可係包含於處理配方的相應配方階段中。在一些情況下,可依序配置處理配方階段,因此針對一處理階段的所有指令係與該處理階段同時執行。在一些實施例中,配方階段中可包含用以設定一或更多反應器參數的指令。例如,第一配方階段可包含:針對第一配方階段設定惰性及/或反應物氣體(例如,含氧氣體)之流動速率的指令、設定載體氣體(例如氬)之流動速率的指令、以及時延指令。接續的第二配方階段可包含:針對第二配方階段調制或中止惰性及/或反應物氣體之流動速率的指令、以及調制載體或排淨氣體之流動速率的指令、以及時延指令。第三配方階段可包含:針對第三配方階段調制第二氣體(例如氬)之流動速率的指令、調制載體或排淨氣體之流動速率的指令、針對四站處理工具而在介於約250W至約750W之間的低電漿功率下點燃電漿的指令、以及時延指令。接續的第四配方階段可包含:針對第四配方階段調制或中止惰性及/或反應物氣體之流動速率的指令、以及調制載體或排淨氣體之流動速率的指令、以及時延指令。此類配方可用於蝕刻基板上的含碳材料(如核心材料),以產生在約90°±5°處接觸待蝕刻之底層表面的垂直側壁。額外的配方亦可接續於後,並可用以透過ALD而將保形薄膜沉積於圖案化的核心材料上。例如,針對將矽氧化物保形薄膜沉積於圖案化的核心材料上,一額外配方階段可包含設定含矽前驅物之流動速率的指令,而另一額外配方階段可包含:針對額外配方階段設定含氧反應物之流動速率的指令、以及時延指令。應理解,可以本揭示內容之範疇內的任何適當方式將該等配方階段進一步細分及/或反覆進行。In some embodiments, instructions to controller 750 may be provided via input/output control (IOC) sequence instructions. In one example, instructions for setting conditions for processing stages may be included in corresponding recipe stages of the processing recipe. In some cases, processing recipe stages may be configured sequentially so that all instructions for a processing stage are executed concurrently with that processing stage. In some embodiments, instructions for setting one or more reactor parameters may be included in the recipe phase. For example, a first recipe stage may include: instructions for setting the flow rate of an inert and/or reactant gas (e.g., an oxygen-containing gas), instructions for setting a flow rate of a carrier gas (e.g., argon), and timing for the first recipe stage. delay order. A subsequent second recipe stage may include instructions to modulate or suspend flow rates of inert and/or reactant gases, instructions to modulate flow rates of carrier or purge gases, and time delay instructions for the second recipe stage. The third recipe stage may include: instructions to modulate the flow rate of the second gas (e.g., argon) for the third recipe stage, instructions to modulate the flow rate of the carrier or purge gas, between about 250 W to Instructions for igniting plasma at low plasma powers between about 750W and time delay instructions. A subsequent fourth recipe stage may include instructions to modulate or discontinue flow rates of inert and/or reactant gases, instructions to modulate flow rates of carrier or purge gases, and time delay instructions for the fourth recipe stage. Such formulations can be used to etch carbonaceous materials (eg, core materials) on a substrate to produce vertical sidewalls that contact the underlying surface to be etched at about 90° ± 5°. Additional formulations can also follow and can be used to deposit conformal thin films on patterned core materials by ALD. For example, for depositing a conformal thin film of silicon oxide on a patterned core material, one additional recipe stage may include instructions for setting the flow rate of a silicon-containing precursor, while another additional recipe stage may include: Instructions for flow rates of oxygenated reactants, and time delay instructions. It should be understood that the formulation stages may be further subdivided and/or iterated in any suitable manner within the scope of the present disclosure.

此外,在一些實施例中,可透過蝶形閥718而提供處理站700之壓力控制。如圖7之實施例中所示,蝶形閥718對下游真空泵浦(未圖示於圖7中)所提供之真空進行節流。然而,在一些實施例中,亦可透過使導入處理站700之一或更多氣體的流動速率改變,而對處理站700之壓力控制進行調整。Additionally, in some embodiments, pressure control of the processing station 700 may be provided through a butterfly valve 718 . As shown in the embodiment of FIG. 7, butterfly valve 718 throttles the vacuum provided by a downstream vacuum pump (not shown in FIG. 7). However, in some embodiments, the pressure control of the processing station 700 may also be adjusted by varying the flow rate of one or more gases introduced into the processing station 700 .

如上所述,多站處理工具中可包含一或更多處理站。圖8顯示具有入站負載閘802及出站負載閘804的多站處理工具800之實施例的示意圖,入站負載閘802及出站負載閘804之任一或兩者可包含遠程電漿源(未圖示於圖8中)。於大氣壓力下,將機械臂806配置成經由大氣埠810將晶圓從由晶圓傳送盒808所裝載的晶舟盒移動進到入站負載閘802。由機械臂806將晶圓(未圖示於圖8中)放置於入站負載閘802中的底座812上,關閉大氣埠810,並且將入站負載閘802抽空。在入站負載閘802包含遠程電漿源的情況下,可在晶圓被導入至處理腔室814之前,使晶圓在入站負載閘802中暴露於遠程電漿處理。再者,亦可在入站負載閘802中加熱晶圓,例如,俾移除濕氣與所吸附之氣體。接著,開啟通往處理腔室814的腔室輸送埠816,且另一機械臂(未顯示)將晶圓放置進入反應器、於反應器中所示的第一站之底座上以用於處理。雖然圖8所描繪之實施例包含負載閘,但應理解,在一些實施例中,可提供晶圓進入處理站的直接入口。As noted above, one or more processing stations may be included in a multi-station processing tool. 8 shows a schematic diagram of an embodiment of a multi-station processing tool 800 having an inbound load gate 802 and an outbound load gate 804, either or both of which may include a remote plasma source (not shown in Figure 8). Robotic arm 806 is configured to move wafers from pods loaded by FOUP 808 into inbound loadgate 802 via atmospheric port 810 at atmospheric pressure. A wafer (not shown in FIG. 8 ) is placed by robot arm 806 on pedestal 812 in inbound load gate 802 , atmospheric port 810 is closed, and inbound load gate 802 is evacuated. Where inbound loadgate 802 includes a remote plasma source, wafers may be exposed to remote plasma processing in inbound loadgate 802 before the wafer is introduced into processing chamber 814 . Furthermore, the wafer may also be heated in the inbound loadgate 802, for example, to remove moisture and sorbed gases. Next, the chamber transfer port 816 to the processing chamber 814 is opened and another robotic arm (not shown) places the wafer into the reactor on the base of the first station shown in the reactor for processing . While the embodiment depicted in FIG. 8 includes a load gate, it should be understood that in some embodiments, direct access of wafers to a processing station may be provided.

圖8所示之實施例中,所描繪之處理腔室814包含四個處理站,編號為1至4。各站具有經加熱之底座(顯示於站1之818)、以及氣體管線入口。應理解,在一些實施例中,各處理站可具有不同或多種用途。例如,在一些實施例中,處理站為可於ALC、ALD與電漿輔助ALD處理模式之間切換的。在一些實施例中,暴露於沉積前驅物、及暴露於第二反應物與電漿係在相同站中執行。替代性地或更甚者,在一些實施例中,處理腔室814可包含一或多對匹配的ALD與電漿輔助ALD處理站。雖然所描繪之處理腔室814包含四個站,但應理解,依據本揭示內容的處理腔室可具有任何適當的站數。例如,在一些實施例中,處理腔室可具有五或更多站,而在其他實施例中處理腔室可具有三或更少站。In the embodiment shown in FIG. 8, the depicted processing chamber 814 includes four processing stations, numbered 1-4. Each station has a heated base (shown at 818 for station 1), and gas line inlets. It should be understood that in some embodiments, each processing station may serve different or multiple purposes. For example, in some embodiments, a processing station is switchable between ALC, ALD, and plasma-assisted ALD processing modes. In some embodiments, exposure to the deposition precursor and exposure to the second reactant and plasma are performed in the same station. Alternatively or even further, in some embodiments, the processing chamber 814 may contain one or more pairs of matched ALD and plasma assisted ALD processing stations. Although processing chamber 814 is depicted as including four stations, it should be understood that processing chambers in accordance with the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.

圖8描繪處理腔室814內用以傳送晶圓的晶圓搬運系統890之實施例。在一些實施例中,晶圓搬運系統890可於各種處理站間及/或於處理站與負載閘之間傳送晶圓。應理解,可採用任何合適的晶圓搬運系統。非限制之範例包含晶圓轉盤及晶圓搬運機械臂。圖8亦描繪系統控制器850之實施例,該系統控制器850係用以控制處理工具800的處理條件及硬體狀態。系統控制器850可包含一或更多記憶裝置856、一或更多大量儲存裝置854、以及一或更多處理器852。處理器852可包含CPU或電腦、類比、及/或數位輸入/輸出連接、步進馬達控制器板等。FIG. 8 depicts an embodiment of a wafer handling system 890 for transferring wafers within a processing chamber 814 . In some embodiments, the wafer handling system 890 can transfer wafers between various processing stations and/or between a processing station and a load gate. It should be understood that any suitable wafer handling system may be used. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 8 also depicts an embodiment of a system controller 850 used to control the processing conditions and hardware status of the processing tool 800 . System controller 850 may include one or more memory devices 856 , one or more mass storage devices 854 , and one or more processors 852 . Processor 852 may include a CPU or computer, analog, and/or digital input/output connections, stepper motor controller board, and the like.

在一些實施例中,系統控制器850控制處理工具800的所有行動。系統控制器850執行系統控制軟體858,該系統控制軟體858係儲存於大量儲存裝置854中、載入至記憶裝置856、並於處理器852上執行。或者,可於控制器850中將控制邏輯硬碼化。可為該等目的而使用特殊應用積體電路、可程式化邏輯裝置(例如,現場可程式化閘陣列、或FPGAs)等。在以下的討論中,每當使用「軟體」或「碼」,則該處可使用功能相當的硬碼化邏輯。系統控制軟體858可包含下列指令:控制時序、氣體之混合、氣體流動速率、腔室及/或站之壓力、腔室及/或站之溫度、晶圓溫度、標的功率位準、RF功率位準、基板底座、夾頭及/或晶座之位置、以及由處理工具800所執行的特定處理之其他參數。系統控制軟體858可以任何適當方式配置。例如,可寫入各種處理工具元件之子程式或控制物件,以控制處理工具元件的操作,該等處理工具元件係用以執行各種處理工具的處理。可以任何合適的電腦可讀取程式語言為系統控制軟體858編碼。In some embodiments, system controller 850 controls all actions of processing tool 800 . System controller 850 executes system control software 858 that is stored in mass storage device 854 , loaded into memory device 856 , and executed on processor 852 . Alternatively, the control logic may be hardcoded in the controller 850 . Application specific integrated circuits, programmable logic devices (eg, field programmable gate arrays, or FPGAs), etc. may be used for such purposes. In the following discussion, whenever "software" or "code" is used, functionally equivalent hard-coded logic may be used there. System control software 858 may include the following commands: control timing, gas mixing, gas flow rates, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power level, RF power level The alignment, the position of the substrate mount, chuck and/or pedestal, and other parameters for the particular process performed by the processing tool 800. System control software 858 may be configured in any suitable manner. For example, subroutines or control objects of various processing tool components may be written to control the operation of the processing tool components used to perform the processing of the various processing tools. System control software 858 may be encoded in any suitable computer readable programming language.

在一些實施例中,系統控制軟體858可包含輸入/輸出控制(IOC)序列指令,用以控制上述的各種參數。在一些實施例中,可採用儲存於與系統控制器850相關的大量儲存裝置854及/或記憶裝置856上的其他電腦軟體及/或程式。為此用途的程式或程式之部分的範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。In some embodiments, the system control software 858 may include an input/output control (IOC) sequence of commands to control the various parameters described above. In some embodiments, other computer software and/or programs stored on mass storage device 854 and/or memory device 856 associated with system controller 850 may be employed. Examples of programs or portions of programs for this purpose include substrate positioning programs, process gas control programs, pressure control programs, heater control programs, and plasma control programs.

基板定位程式可包含用於處理工具元件的程式碼,該等處理工具元件係用以將基板裝載於底座818上、以及用以控制介於基板與處理工具800的其他部件之間的間距。The substrate positioning program may include code for process tool elements used to load substrates on pedestal 818 and to control spacing between substrates and other components of process tool 800 .

處理氣體控制程式可包含程式碼,用以控制氣體成分(例如,本文所述之含矽氣體、含氧氣體、及排淨氣體)及流動速率及可選擇地用以在沉積之前將氣體流入一或更多處理站,俾穩定處理站中的壓力。壓力控制程式可包含程式碼,用以藉由調整例如處理站之排放系統中的節流閥、流入處理站之氣流等,俾控制處理站內的壓力。The process gas control program may include code to control gas composition (e.g., silicon-containing gas, oxygen-containing gas, and purge gas as described herein) and flow rate and optionally to flow the gas into a or more processing stations to stabilize the pressure in the processing stations. The pressure control program may include code to control the pressure within the processing station by adjusting, for example, a throttle valve in the discharge system of the processing station, the air flow into the processing station, and the like.

加熱器控制程式可包含程式碼,用以控制用於加熱基板之加熱單元的電流。或者,加熱器控制程式可控制熱傳氣體(例如氦氣)輸送至基板。The heater control program may include code for controlling the current of the heating unit for heating the substrate. Alternatively, the heater control program may control the delivery of a heat transfer gas, such as helium, to the substrate.

電漿控制程式可包含程式碼,用以設定施加至處理電極的RF功率位準,該等處理電極係在依據本文實施例之一或更多處理站之中。The plasma control program may include code to set the RF power level applied to the processing electrodes in one or more processing stations according to embodiments herein.

壓力控制程式可包含程式碼,用以維持依據本文實施例之反應腔室中的壓力。The pressure control program may include code for maintaining the pressure in the reaction chamber according to embodiments herein.

在一些實施例中,可能存在與系統控制器850相關的使用者介面。該使用者介面可包含顯示螢幕、設備及/或處理站的圖形軟體顯示、以及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。In some embodiments, there may be a user interface associated with the system controller 850 . The user interface may include a display screen, a graphical software display of the device and/or processing station, and user input devices (eg, pointing device, keyboard, touch screen, microphone, etc.).

在一些實施例中,經由系統控制器850調整的參數可係關於處理條件。非限制之範例包含處理氣體成分及流動速率、溫度、壓力、電漿狀態(例如RF偏壓功率位準)等。可將該等參數以配方之形式提供予使用者,可利用使用者介面將配方輸入。In some embodiments, the parameters adjusted via the system controller 850 may relate to processing conditions. Non-limiting examples include process gas composition and flow rate, temperature, pressure, plasma state (eg, RF bias power level), and the like. These parameters can be provided to the user in the form of a recipe, which can be entered using the user interface.

可經由來自各種處理工具感測器的系統控制器850之類比及/或數位輸入連接而提供監視該處理的信號。可將控制該處理的信號輸出於處理工具800之類比及數位輸出連接上。可受監視之處理工具感測器的非限制範例包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等。可將適當編程的回饋與控制演算法與來自該等感測器的資料一同使用,俾維持處理條件。Signals to monitor the process may be provided via analog and/or digital input connections to the system controller 850 from various process tool sensors. Signals controlling the processing may be output on analog and digital output connections of the processing tool 800 . Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (eg, manometers), thermocouples, and the like. Appropriately programmed feedback and control algorithms can be used with data from the sensors to maintain process conditions.

系統控制器850可提供程式指令,用以實行上述之沉積處理。該等程式指令可控制各種的製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等。指令可控制參數以依據本文所述之各種實施例而操作薄膜堆疊體的原位沉積。The system controller 850 may provide programmed instructions for performing the deposition process described above. These program instructions can control various process parameters, such as DC power level, RF bias power level, pressure, temperature and so on. The instructions may control parameters to operate in situ deposition of thin film stacks in accordance with various embodiments described herein.

系統控制器850通常會包含一或更多記憶裝置及一或更多處理器,其係配置以執行指令,因此設備會依據所揭示實施例而執行方法。用以控制依據所揭示實施例之處理操作的含機器可讀媒體指令可被連接至系統控制器850。System controller 850 will typically include one or more memory devices and one or more processors configured to execute instructions such that the apparatus performs methods in accordance with the disclosed embodiments. A machine-readable medium containing instructions to control processing operations in accordance with the disclosed embodiments may be coupled to the system controller 850 .

在一些實施例中,系統控制器850為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓底座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之條件及/或系統之類型,可將系統控制器850程式化以控制本文中所揭示之處理的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻(RF)匹配電路設定、頻率設定、流動速率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載閘之晶圓傳送。In some embodiments, system controller 850 is part of a system that may be part of the examples described above. Such systems may include semiconductor processing equipment including one or more processing tools, one or more chambers, one or more work stations for processing, and/or specific processing elements (wafer bases, gas flow systems, etc.). These systems can be integrated with electronic devices to control the operation of semiconductor wafers or substrates before, during, and after processing. These electronic devices may be referred to as "controllers" which control various elements or subcomponents of a system or systems. Depending on the conditions of the process and/or the type of system, the system controller 850 can be programmed to control any of the processes disclosed herein, including process gas delivery, temperature setting (e.g., heating and/or cooling), Pressure setting, vacuum setting, power setting, radio frequency (RF) generator setting, radio frequency (RF) matching circuit setting, frequency setting, flow rate setting, fluid delivery setting, position and operation setting, access to tools and connection to specific systems or with Additional transfer tools and/or load gate wafer transfer for specific system interface.

廣泛而言,系統控制器850指涉具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到系統控制器850的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施中,該等操作參數可為由製程工程師所定義之配方的部分,用以在晶圓之一或更多的薄膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。Broadly speaking, the system controller 850 refers to an electronic device having various integrated circuits, logic, memory, and/or software for receiving commands, sending commands, controlling operations, enabling cleaning operations, enabling endpoint measurements, and the like. The integrated circuit may comprise one of chips in the form of firmware storing program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or executing program instructions (such as software) or More microprocessors or microcontrollers. Program instructions may be instructions transmitted to system controller 850 in the form of various individual settings (or program files) that define operating parameters for performing specific processes on or for semiconductor wafers or for the system. In some implementations, the operating parameters may be part of a recipe defined by a process engineer for one or more thin film layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, During the fabrication of circuits and/or dies, one or more processing steps are performed.

在一些實施中,系統控制器850可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,系統控制器850係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前進度、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,俾改變目前處理之參數,以設定處理步驟而接續目前的處理、或開始新的處理。在一些範例中,遠端電腦(如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,系統控制器850接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應理解,該等參數可特定於待執行之處理的類型、及工具(系統控制器850係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,系統控制器850可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之處理及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的處理。In some implementations, the system controller 850 can be part of or coupled to a computer that is integrated with the system, coupled to the system, or connected to the system through a network, or a combination thereof. For example, system controller 850 may reside in the "cloud," or be all or part of the fab's mainframe computer system, which may allow remote access for substrate processing. The computer can achieve remote access to the system to monitor the current progress of manufacturing operations, view the history of past manufacturing operations, view trends or performance indicators from multiple manufacturing operations, so as to change the parameters of the current processing to set the processing step to continue the current process, or start a new process. In some examples, a remote computer (eg, a server) may provide the processing recipe to the system over a network, which may include a local area network or the Internet. The remote computer may include a user interface that enables input or programming of parameters and/or settings that are then transmitted from the remote computer to the system. In some examples, system controller 850 receives instructions in the form of data specifying parameters for each of the processing steps to be performed during one or more operations. It should be understood that these parameters may be specific to the type of process to be performed, and the type of tool with which the system controller 850 is configured to interface or control the tool. Thus, as noted above, system controller 850 may be decentralized, such as by including one or more separate controllers that are networked together and work toward a common goal, such as the processes and processes described herein. control. An example of a separate controller for such purposes could be one or more integrated circuits on the housing, which is connected to one or more remote (eg, at platform level, or part of a remote computer) location. Multiple integrated circuits communicate, which combine to control the processing on the chamber.

範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層清潔(ALC)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。Example systems may include, but are not limited to, plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, clean chambers or modules, beveled Etching chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, ALD chamber or module, atomic layer cleaning (ALC) chamber or module Groups, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing systems that may be associated with or used in the fabrication and/or production of semiconductor wafers.

如上所述,依據將藉由工具執行之(複數)處理步驟,系統控制器850可與半導體製造工廠中之下列一或更多者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接之工具、鄰近之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。As noted above, depending on the process step(s) to be performed by the tool, the system controller 850 may communicate with one or more of the following in the semiconductor fabrication plant: other tool circuits or modules, other tool components, cluster tools , other tool interface, adjoining tool, adjacent tool, tool throughout the factory, host computer, another controller, or tool used in material transportation that transports wafer containers to and from Tool location and/or loadport.

用於執行本文所揭示方法的適當設備係在下列的美國專利申請案中進一步討論及敘述:2011年04月11日提交的案名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」的美國專利申請案第13/084,399號(現為美國專利第8,728,956號);以及2011年04月11日提交的案名為「SILICON NITRIDE FILMS AND METHODS」的美國專利申請案第13/084,305號,在此將上述各者之全文引入。Suitable apparatus for carrying out the methods disclosed herein are further discussed and described in the following U.S. patent application: U.S. Patent Application No. 13/2011, filed April 11, 2011, entitled "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" 084,399 (now U.S. Patent No. 8,728,956); and U.S. Patent Application No. 13/084,305, filed April 11, 2011, entitled "SILICON NITRIDE FILMS AND METHODS," the full texts of which are hereby incorporated introduce.

本文所述設備/處理可與例如用於製造半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或處理一起使用。一般而言,雖然並非必要,但此類工具/處理會在一共同的製造廠房中一起使用或進行。薄膜之微影圖案化通常包括下列操作之一些或全部,每一操作以幾個可能的工具而提供:(1) 在工作件(亦即,基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或UV固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如,濕式清洗台)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方薄膜或工作件中;及 (6) 使用工具(例如,RF或微波電漿光阻剝除器)移除光阻。結論 The apparatus/processes described herein may be used with, for example, lithographic patterning tools or processes for the fabrication of semiconductor elements, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such tooling/processing will be used or performed together in a common manufacturing facility. Photolithographic patterning of thin films typically includes some or all of the following operations, each of which is provided in several possible tools: (1) Coating of photoresist on the workpiece (ie, substrate), using spin-coating or spray-on tools; (2) curing of photoresist using a hot plate or oven or UV curing tool; (3) exposing photoresist to visible or UV light or x-rays with a tool (eg, wafer stepper) (4) developing the photoresist to selectively remove and thereby pattern it using a tool (e.g., a wet clean station); (5) using a dry or plasma-assisted etch tool to The pattern is transferred into the underlying film or workpiece; and (6) the photoresist is removed using a tool (eg, RF or microwave plasma resist stripper). in conclusion

雖然前述之實施例已針對清楚理解之目的而詳細地加以描述,但吾人將明白,某些改變與修改可在隨附之申請專利範圍的範疇內實施。應注意,有許多替代方式執行本發明之處理、系統、及設備。據此,本發明應考量成說明性而非限制性,且該等實施例不應受限於本文中所提供之細節。While the foregoing embodiments have been described in detail for purposes of clarity of understanding, it will be appreciated that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and devices of the present invention. Accordingly, the invention should be considered illustrative rather than restrictive, and the examples should not be limited to the details provided herein.

102‧‧‧處理腔室 103‧‧‧腔室壁 104‧‧‧腔室地板 105‧‧‧腔室天花板 106‧‧‧基板支座 107‧‧‧基板 108‧‧‧入口 109‧‧‧排放出口 110‧‧‧遠程電漿源 111‧‧‧噴淋頭 112‧‧‧噴淋頭孔洞 113‧‧‧入口 220‧‧‧塗層 300‧‧‧程序流程 302‧‧‧操作 304‧‧‧操作 306‧‧‧操作 308‧‧‧操作 310‧‧‧操作 400‧‧‧程序流程 402‧‧‧操作 404‧‧‧操作 406‧‧‧操作 408‧‧‧操作 412‧‧‧操作 414‧‧‧操作 416‧‧‧操作 420‧‧‧操作 422‧‧‧操作 700‧‧‧處理站 701a‧‧‧反應物輸送系統 702‧‧‧處理腔室 703‧‧‧汽化點 704‧‧‧混合容器 706‧‧‧噴淋頭 708‧‧‧底座 710‧‧‧加熱器 712‧‧‧基板 713‧‧‧安瓿盒 714‧‧‧RF電源供應器 716‧‧‧匹配網路 718‧‧‧蝶形閥 720‧‧‧混合容器入口閥 750‧‧‧控制器 800‧‧‧處理工具 802‧‧‧入站負載閘 804‧‧‧出站負載閘 806‧‧‧機械臂 808‧‧‧晶圓傳送盒 810‧‧‧大氣埠 812‧‧‧底座 814‧‧‧處理腔室 816‧‧‧腔室輸送埠 818‧‧‧底座 850‧‧‧控制器 852‧‧‧處理器 854‧‧‧大量儲存裝置 856‧‧‧記憶裝置 858‧‧‧系統控制軟體 890‧‧‧晶圓搬運系統102‧‧‧processing chamber 103‧‧‧chamber wall 104‧‧‧Chamber floor 105‧‧‧cavity ceiling 106‧‧‧substrate support 107‧‧‧substrate 108‧‧‧Entrance 109‧‧‧Emission outlet 110‧‧‧Remote plasma source 111‧‧‧Sprinkler 112‧‧‧sprinkler hole 113‧‧‧Entrance 220‧‧‧coating 300‧‧‧Program flow 302‧‧‧Operation 304‧‧‧Operation 306‧‧‧Operation 308‧‧‧Operation 310‧‧‧Operation 400‧‧‧Program flow 402‧‧‧Operation 404‧‧‧Operation 406‧‧‧Operation 408‧‧‧Operation 412‧‧‧Operation 414‧‧‧Operation 416‧‧‧Operation 420‧‧‧Operation 422‧‧‧Operation 700‧‧‧processing stations 701a‧‧‧Reactant delivery system 702‧‧‧Processing chamber 703‧‧‧vaporization point 704‧‧‧mixing container 706‧‧‧Sprinkler 708‧‧‧base 710‧‧‧heater 712‧‧‧substrate 713‧‧‧ampule box 714‧‧‧RF Power Supply 716‧‧‧Matching network 718‧‧‧butterfly valve 720‧‧‧Inlet valve for mixing vessel 750‧‧‧Controller 800‧‧‧processing tool 802‧‧‧Inbound Load Gate 804‧‧‧outbound load gate 806‧‧‧Robot Arm 808‧‧‧Wafer transfer box 810‧‧‧atmospheric port 812‧‧‧base 814‧‧‧processing chamber 816‧‧‧Chamber delivery port 818‧‧‧base 850‧‧‧Controller 852‧‧‧processor 854‧‧‧mass storage device 856‧‧‧memory device 858‧‧‧System control software 890‧‧‧Wafer handling system

圖1顯示用以利用電漿來處理基板的反應腔室之簡化圖,電漿係自遠程來源輸送。Figure 1 shows a simplified diagram of a reaction chamber for processing substrates with plasma delivered from a remote source.

圖2顯示圖1之反應腔室,且其具有覆蓋腔室之內部表面的塗層。Figure 2 shows the reaction chamber of Figure 1 with a coating covering the interior surfaces of the chamber.

圖3為一程序流程圖,其繪示依據揭示實施例之方法的操作。FIG. 3 is a process flow diagram illustrating operations of a method in accordance with disclosed embodiments.

圖4A-4B為程序流程圖,其繪示依據揭示實施例之方法的操作。4A-4B are process flow diagrams illustrating operations of methods in accordance with disclosed embodiments.

圖5A-5B為例示性圖表,其呈現依據揭示實施例之方法的試樣操作條件。5A-5B are exemplary graphs representing sample operating conditions according to the methods of the disclosed embodiments.

圖6為一例示性圖表,其呈現依據揭示實施例之方法的試樣操作條件。FIG. 6 is an exemplary graph representing sample operating conditions according to the method of the disclosed embodiments.

圖7為用於執行某些揭示實施例之範例處理工具的示意圖。Figure 7 is a schematic diagram of an example processing tool for implementing certain disclosed embodiments.

圖8為用於執行某些揭示實施例之另一範例處理工具的示意圖。Figure 8 is a schematic diagram of another example processing tool for implementing certain disclosed embodiments.

300‧‧‧程序流程 300‧‧‧Program flow

302‧‧‧操作 302‧‧‧Operation

304‧‧‧操作 304‧‧‧Operation

306‧‧‧操作 306‧‧‧Operation

308‧‧‧操作 308‧‧‧Operation

310‧‧‧操作 310‧‧‧Operation

Claims (34)

一種增加反應腔室批量大小之方法,該方法包含: (a) 在反應腔室內處理一批量晶圓之一部分,其中該處理步驟導致在該反應腔室的內部表面上之至少一些材料靶外沉積; (b) 實施批量中間反應腔室處理,以穩固累積於該反應腔室的內部表面上之靶外沉積材料;以及 (c) 在該反應腔室內處理該批量晶圓之另一部分。A method of increasing the batch size of a reaction chamber, the method comprising: (a) processing a portion of a batch of wafers within a reaction chamber, wherein the processing step results in off-target deposition of at least some material on interior surfaces of the reaction chamber; (b) performing batch intermediate reaction chamber processing to stabilize off-target deposited material that has accumulated on interior surfaces of the reaction chamber; and (c) processing another portion of the batch of wafers within the reaction chamber. 如申請專利範圍第1項之增加反應腔室批量大小之方法,更包含: 重複(b)-(c)直到該批量晶圓的處理完成為止。For example, the method of increasing the batch size of the reaction chamber in item 1 of the scope of the patent application further includes: Repeat (b)-(c) until processing of the batch of wafers is complete. 如申請專利範圍第1項之增加反應腔室批量大小之方法,其中該反應腔室批量大小為在反應腔室清潔循環之間可於該反應腔室中受處理之晶圓的數量。A method of increasing a reaction chamber batch size as claimed in claim 1, wherein the reaction chamber batch size is the number of wafers that can be processed in the reaction chamber between reaction chamber cleaning cycles. 如申請專利範圍第1項之增加反應腔室批量大小之方法,更包含: 在該反應腔室中進行批量處理之前,對該反應腔室的內部表面進行時效處理(seasoning)。For example, the method of increasing the batch size of the reaction chamber in item 1 of the scope of the patent application further includes: The internal surfaces of the reaction chamber are seasoned prior to batch processing in the reaction chamber. 如申請專利範圍第4項之增加反應腔室批量大小之方法,其中對該反應腔室的內部表面進行時效處理之步驟涉及塗佈一材料之塗層,該材料與用於在(a)或(c)期間沉積於該批量晶圓上之材料相同。The method of increasing the batch size of a reaction chamber as claimed in claim 4, wherein the step of aging the internal surface of the reaction chamber involves applying a coating of a material that is compatible with the one used in (a) or The materials deposited on the batch of wafers during (c) are the same. 如申請專利範圍第1項之增加反應腔室批量大小之方法,其中(a)或(c)涉及將一材料沉積於該批量晶圓的晶圓上。A method of increasing batch size of a reaction chamber as claimed in claim 1, wherein (a) or (c) involves depositing a material on a wafer of the batch of wafers. 如申請專利範圍第4項之增加反應腔室批量大小之方法,其中該時效處理之步驟包含:在無晶圓存在於該反應腔室中時,透過原子層沉積(ALD)將一塗層塗佈於該反應腔室的內部表面。A method for increasing the batch size of a reaction chamber as claimed in claim 4, wherein the step of aging treatment comprises: applying a coating by atomic layer deposition (ALD) when no wafer is present in the reaction chamber distributed on the interior surface of the reaction chamber. 如申請專利範圍第1項之增加反應腔室批量大小之方法,更包含: (d) 在(c)完成之後,清潔該反應腔室的內部表面。For example, the method of increasing the batch size of the reaction chamber in item 1 of the scope of the patent application further includes: (d) After (c) is completed, cleaning the interior surfaces of the reaction chamber. 如申請專利範圍第2項之增加反應腔室批量大小之方法,更包含: (d) 在該批量晶圓之處理完成之後,清潔該反應腔室的內部表面。For example, the method of increasing the batch size of the reaction chamber in item 2 of the scope of the patent application further includes: (d) cleaning interior surfaces of the reaction chamber after processing of the batch of wafers is complete. 如申請專利範圍第1項之增加反應腔室批量大小之方法,其中在每隔該批量晶圓之總批量累積限制的指定間隔時實施(b)。The method for increasing the batch size of the reaction chamber as claimed in claim 1, wherein (b) is implemented at a specified interval of the total batch accumulation limit of the batch of wafers. 如申請專利範圍第10項之增加反應腔室批量大小之方法,其中該總批量累積限制為該反應腔室的內部表面上之累積材料的厚度,超過該厚度則處理受到損害,使得在進一步進行處理之前需要進行該反應腔室的清潔。A method of increasing the batch size of a reaction chamber as claimed in claim 10, wherein the total batch accumulation is limited to the thickness of accumulated material on the interior surface of the reaction chamber beyond which processing is compromised such that further processing Cleaning of the reaction chamber is required prior to processing. 如申請專利範圍第10項之增加反應腔室批量大小之方法,其中該指定間隔係經驗上決定的。The method for increasing the batch size of a reaction chamber as claimed in claim 10, wherein the specified interval is empirically determined. 如申請專利範圍第10項之增加反應腔室批量大小之方法,其中該指定間隔發生於該反應腔室的內部表面上之材料累積的有害程度之前,該反應腔室的內部表面上之材料累積的有害程度導致材料剝脫及晶圓缺陷及/或粒子產生。The method of increasing the batch size of a reaction chamber as claimed in claim 10, wherein the specified interval occurs before the accumulation of material on the internal surface of the reaction chamber is harmful to the extent that the material on the internal surface of the reaction chamber accumulates detrimental levels leading to material delamination and wafer defect and/or particle generation. 如申請專利範圍第1項之增加反應腔室批量大小之方法,其中(b)涉及沉積一薄膜,該薄膜附著於累積在該反應腔室之內部表面上的材料。A method of increasing the batch size of a reaction chamber as claimed in claim 1, wherein (b) involves depositing a thin film that adheres to material accumulated on the interior surface of the reaction chamber. 如申請專利範圍第14項之增加反應腔室批量大小之方法,其中該薄膜的可壓縮性係透過對選自由下列各者所組成之群組之任一或多者進行調整而增強:射頻(RF)功率位準、反應腔室壓力、或RF處理時間。The method of increasing the batch size of a reaction chamber as claimed in claim 14, wherein the compressibility of the film is enhanced by adjusting any one or more of the group consisting of: radio frequency ( RF) power level, reaction chamber pressure, or RF processing time. 如申請專利範圍第1項之增加反應腔室批量大小之方法,其中(b)涉及:在材料累積至一指定厚度之後,使累積於該反應腔室之內部表面上的材料暴露於電漿。The method of increasing the batch size of a reaction chamber as claimed in claim 1, wherein (b) involves: exposing the material accumulated on the inner surface of the reaction chamber to a plasma after the material has accumulated to a specified thickness. 如申請專利範圍第16項之增加反應腔室批量大小之方法,其中在1 Torr至10 Torr之範圍內的壓力下實施電漿暴露,以促進電漿擴散至累積於該反應腔室之內部表面上的材料中。A method of increasing the batch size of a reaction chamber as claimed in claim 16, wherein plasma exposure is performed at a pressure in the range of 1 Torr to 10 Torr to facilitate the diffusion of plasma to accumulate on the internal surface of the reaction chamber in the above material. 如申請專利範圍第16項之增加反應腔室批量大小之方法,其中在該反應腔室內之噴淋頭的面板上點燃該電漿。The method of increasing the batch size of a reaction chamber as claimed in claim 16, wherein the plasma is ignited on the faceplate of the shower head in the reaction chamber. 如申請專利範圍第16項之增加反應腔室批量大小之方法,更包含: 將一驅氣停用,以容許該電漿在整個該反應腔室中均勻地分散。For example, the method for increasing the batch size of the reaction chamber in item 16 of the scope of the patent application further includes: A purge gas is disabled to allow the plasma to disperse evenly throughout the reaction chamber. 如申請專利範圍第16項之增加反應腔室批量大小之方法,其中該電漿係衍生自由下列各者所組成之群組之任一者:氫、氦、氬、或含氮源。A method of increasing the batch size of a reaction chamber as claimed in claim 16, wherein the plasma is derived from any one of the group consisting of hydrogen, helium, argon, or a source containing nitrogen. 如申請專利範圍第16項之增加反應腔室批量大小之方法,其中暴露於該電漿之步驟將約200Å的薄膜沉積於該反應腔室之內部表面上所累積的材料上。The method of increasing the batch size of a reaction chamber as claimed in claim 16, wherein the step of exposing to the plasma deposits a thin film of about 200 Å on the material accumulated on the interior surface of the reaction chamber. 如申請專利範圍第21項之增加反應腔室批量大小之方法,其中所沉積之該薄膜使該反應腔室之內部表面上的材料穩固。The method of increasing the batch size of a reaction chamber as claimed in claim 21, wherein the thin film deposited stabilizes the material on the inner surface of the reaction chamber. 如申請專利範圍第21項之增加反應腔室批量大小之方法,其中暴露於該電漿之該步驟使所沉積之該薄膜緻密化,以穩固該反應腔室之內部表面上的材料。The method of increasing the batch size of a reaction chamber as claimed in claim 21, wherein the step of exposing to the plasma densifies the deposited film to stabilize the material on the inner surface of the reaction chamber. 如申請專利範圍第15項之增加反應腔室批量大小之方法,其中該薄膜的可壓縮性係透過選自由下列各者所組成之群組之方法而增加:施加在2 kw - 7 kw之範圍內的射頻(RF)功率、施加在2 torr - 10 torr之範圍內的高壓、或使用0.2 s - 10 s的RF電漿時間。A method of increasing the batch size of a reaction chamber as claimed in claim 15, wherein the compressibility of the film is increased by a method selected from the group consisting of: applied in the range of 2 kw - 7 kw Use radio frequency (RF) power within the range of 2 torr - 10 torr, or use an RF plasma time of 0.2 s - 10 s. 如申請專利範圍第15項之增加反應腔室批量大小之方法,更包含: (d) 使該反應腔室接地。For example, the method of increasing the batch size of the reaction chamber in item 15 of the scope of the patent application further includes: (d) Ground the reaction chamber. 如申請專利範圍第25項之增加反應腔室批量大小之方法,其中接地的該反應腔室促進電漿擴散至該反應腔室的外部。The method of increasing the batch size of a reaction chamber as claimed in claim 25, wherein grounding the reaction chamber facilitates plasma diffusion to the outside of the reaction chamber. 如申請專利範圍第26項之增加反應腔室批量大小之方法,其中配置以輸送一沉積氣體至該批量晶圓的一噴淋頭係受供電的。A method of increasing batch size of a reaction chamber as claimed in claim 26, wherein a shower head configured to deliver a deposition gas to the batch of wafers is powered. 如申請專利範圍第26項之增加反應腔室批量大小之方法,其中配置以支撐該批量晶圓的一底座係受供電的。The method for increasing the batch size of a reaction chamber as claimed in claim 26, wherein a base configured to support the batch of wafers is powered. 如申請專利範圍第9項之增加反應腔室批量大小之方法,其中用以實施(d)的電漿係由一遠程電漿清潔單元所供應。The method for increasing the batch size of a reaction chamber as claimed in claim 9, wherein the plasma used to implement (d) is supplied by a remote plasma cleaning unit. 如申請專利範圍第29項之增加反應腔室批量大小之方法,其中該遠程電漿清潔單元係裝設於該反應腔室中。The method for increasing the batch size of a reaction chamber as claimed in claim 29, wherein the remote plasma cleaning unit is installed in the reaction chamber. 如申請專利範圍第16項之增加反應腔室批量大小之方法,其中該電漿具有400 kHz的頻率。The method of increasing the batch size of a reaction chamber as claimed in claim 16, wherein the plasma has a frequency of 400 kHz. 一種用於處理基板的電漿處理設備,該設備包含: 一反應腔室,其包含: 內部腔室表面, 一基板支座,用以支撐在該反應腔室內的一基板,以及 一排放埠,用以將材料從該反應腔室中移除; 一遠程電漿腔室,其包含: 一電漿產生器,用以在該遠程電漿腔室內產生電漿, 一入口,用以將氣體輸送至該遠程電漿腔室, 一出口,用以將在該遠程電漿腔室中所產生的電漿提供至該反應腔室;以及 一控制器,其係配置以執行用於下列各者的指令: (a) 在該反應腔室內處理一批量晶圓之一部分,其中該處理步驟導致在該反應腔室的內部表面上之至少一些材料靶外沉積; (b) 實施批量中間反應腔室處理,以穩固累積於該反應腔室的內部表面上之靶外沉積材料;以及 (c) 在該反應腔室內處理該批量晶圓之另一部分。A plasma processing device for processing a substrate, the device comprising: A reaction chamber comprising: internal chamber surfaces, a substrate holder for supporting a substrate within the reaction chamber, and a discharge port for removing material from the reaction chamber; a remote plasma chamber comprising: a plasma generator for generating plasma in the remote plasma chamber, an inlet for delivering gas to the remote plasma chamber, an outlet for providing plasma generated in the remote plasma chamber to the reaction chamber; and A controller configured to execute instructions for: (a) processing a portion of a batch of wafers within the reaction chamber, wherein the processing step results in off-target deposition of at least some material on interior surfaces of the reaction chamber; (b) performing batch intermediate reaction chamber processing to stabilize off-target deposited material that has accumulated on interior surfaces of the reaction chamber; and (c) processing another portion of the batch of wafers within the reaction chamber. 如申請專利範圍第32項之用於處理基板的電漿處理設備,其中該電漿處理設備係遠離該反應腔室。The plasma processing equipment for processing substrates as claimed in claim 32, wherein the plasma processing equipment is far away from the reaction chamber. 如申請專利範圍第32項之用於處理基板的電漿處理設備,其中該控制器係進一步配置以執行用於以下者的指令: (d) 在(c)完成之後,清潔該反應腔室的內部表面。A plasma processing apparatus for processing a substrate as claimed in claim 32, wherein the controller is further configured to execute instructions for: (d) After (c) is completed, cleaning the interior surfaces of the reaction chamber.
TW107138329A 2017-10-31 2018-10-30 Methods and apparatuses for increasing reactor processing batch size TWI794318B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/799,679 2017-10-31
US15/799,679 US10431451B2 (en) 2014-08-22 2017-10-31 Methods and apparatuses for increasing reactor processing batch size

Publications (2)

Publication Number Publication Date
TW201930636A TW201930636A (en) 2019-08-01
TWI794318B true TWI794318B (en) 2023-03-01

Family

ID=66333366

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107138329A TWI794318B (en) 2017-10-31 2018-10-30 Methods and apparatuses for increasing reactor processing batch size

Country Status (6)

Country Link
JP (1) JP7290634B2 (en)
KR (1) KR20200067218A (en)
CN (1) CN111295734A (en)
SG (1) SG11202003372RA (en)
TW (1) TWI794318B (en)
WO (1) WO2019089555A1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201619429A (en) * 2014-08-22 2016-06-01 蘭姆研究公司 Methods and apparatuses for stable deposition rate control in low temperature ALD systems by showerhead active heating and/or pedestal cooling
US20170029947A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Apparatuses for thin film deposition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8999847B2 (en) * 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
GB201218697D0 (en) * 2012-10-18 2012-11-28 Spts Technologies Ltd A method of depositing an amorphous silicon film
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US20170133202A1 (en) * 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201619429A (en) * 2014-08-22 2016-06-01 蘭姆研究公司 Methods and apparatuses for stable deposition rate control in low temperature ALD systems by showerhead active heating and/or pedestal cooling
US20170029947A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Apparatuses for thin film deposition

Also Published As

Publication number Publication date
KR20200067218A (en) 2020-06-11
WO2019089555A1 (en) 2019-05-09
JP2021501466A (en) 2021-01-14
JP7290634B2 (en) 2023-06-13
CN111295734A (en) 2020-06-16
TW201930636A (en) 2019-08-01
SG11202003372RA (en) 2020-05-28

Similar Documents

Publication Publication Date Title
US10629429B2 (en) Selective deposition of silicon oxide
KR102612832B1 (en) Method and apparatus for rf compensation in plasma assisted atomic layer deposition
JP7171165B2 (en) Showerhead curtain gas method and showerhead gas curtain system for membrane profile adjustment
CN109913852B (en) Method and device for suppressing parasitic plasma on back surface of spray head
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
TWI643974B (en) Method and apparatus for the reduction of defectivity in vapor deposited films
US20170009346A1 (en) Multi-cycle ald process for film uniformity and thickness profile modulation
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
US20210395885A1 (en) Throughput improvement with interval conditioning purging
TWI794318B (en) Methods and apparatuses for increasing reactor processing batch size
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping
US20230066676A1 (en) Core removal