TWI783346B - Micro-electro mechanical system and manufacturing method thereof - Google Patents

Micro-electro mechanical system and manufacturing method thereof Download PDF

Info

Publication number
TWI783346B
TWI783346B TW110101483A TW110101483A TWI783346B TW I783346 B TWI783346 B TW I783346B TW 110101483 A TW110101483 A TW 110101483A TW 110101483 A TW110101483 A TW 110101483A TW I783346 B TWI783346 B TW I783346B
Authority
TW
Taiwan
Prior art keywords
circuit substrate
substrate
layer
holes
bonding layer
Prior art date
Application number
TW110101483A
Other languages
Chinese (zh)
Other versions
TW202132205A (en
Inventor
呂文雄
鄭明達
莊詠涵
吳凱第
劉旭倫
李明機
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202132205A publication Critical patent/TW202132205A/en
Application granted granted Critical
Publication of TWI783346B publication Critical patent/TWI783346B/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00238Joining a substrate with an electronic processing unit and a substrate with a micromechanical structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C3/00Assembling of devices or systems from individually processed components
    • B81C3/001Bonding of two components
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01PMEASURING LINEAR OR ANGULAR SPEED, ACCELERATION, DECELERATION, OR SHOCK; INDICATING PRESENCE, ABSENCE, OR DIRECTION, OF MOVEMENT
    • G01P15/00Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration
    • G01P15/02Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses
    • G01P15/08Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses with conversion into electric or magnetic values
    • G01P15/0802Details
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R19/00Electrostatic transducers
    • H04R19/005Electrostatic transducers using semiconductor materials
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R19/00Electrostatic transducers
    • H04R19/04Microphones
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/0235Accelerometers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/0242Gyroscopes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0257Microphones or microspeakers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0264Pressure sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0271Resonators; ultrasonic resonators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/04Optical MEMS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0742Interleave, i.e. simultaneously forming the micromechanical structure and the CMOS circuit
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01PMEASURING LINEAR OR ANGULAR SPEED, ACCELERATION, DECELERATION, OR SHOCK; INDICATING PRESENCE, ABSENCE, OR DIRECTION, OF MOVEMENT
    • G01P15/00Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration
    • G01P15/02Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses
    • G01P15/08Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses with conversion into electric or magnetic values
    • G01P2015/0862Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses with conversion into electric or magnetic values being provided with particular means being integrated into a MEMS accelerometer structure for providing particular additional functionalities to those of a spring mass system
    • G01P2015/0865Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses with conversion into electric or magnetic values being provided with particular means being integrated into a MEMS accelerometer structure for providing particular additional functionalities to those of a spring mass system using integrated signal processing circuitry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R2201/00Details of transducers, loudspeakers or microphones covered by H04R1/00 but not provided for in any of its subgroups
    • H04R2201/003Mems transducers or their use

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Acoustics & Sound (AREA)
  • Signal Processing (AREA)
  • Optics & Photonics (AREA)
  • Micromachines (AREA)
  • Piezo-Electric Transducers For Audible Bands (AREA)

Abstract

A micro electro mechanical system (MEMS) includes a circuit substrate comprising electronic circuitry, a support substrate having a recess, a bonding layer disposed between the circuit substrate and the support substrate, through holes passing through the circuit substrate to the opening, a first conductive layer disposed on a front side of the circuit substrate, a second conductive layer disposed on an inner wall of the recess, and a third conductive layer disposed on an inner wall of each of the through holes.

Description

微機電系統及其製造方法Micro-electro-mechanical system and manufacturing method thereof

本發明實施例係有關微機電系統及其製造方法。 Embodiments of the present invention relate to micro-electro-mechanical systems and manufacturing methods thereof.

最近已開發微機電系統(MEMS)裝置。MEMS裝置包含使用半導體技術製造以形成機械及電特徵之裝置。MEMS裝置實施於壓力感測器、麥克風、致動器、反射鏡、加熱器及/或印表機噴嘴中。儘管用於形成MEMS裝置之既有裝置及方法一般已足以用於其預期目的,但其未在所有方面完全令人滿意。 Microelectromechanical systems (MEMS) devices have recently been developed. MEMS devices include devices fabricated using semiconductor technology to form mechanical and electrical features. MEMS devices are implemented in pressure sensors, microphones, actuators, mirrors, heaters, and/or printer nozzles. While existing apparatus and methods for forming MEMS devices have generally been adequate for their intended purposes, they have not been entirely satisfactory in all respects.

本發明之一實施例係關於一種微機電系統(MEMS),其包括:一電路基板,其包括電子電路;一支撐基板,其具有一凹槽;一接合層,其放置於該電路基板與該支撐基板之間;數個通孔,其等穿過該電路基板而至開口;一第一導電層,其放置於該電路基板之一前側上;一第二導電層,其放置於該凹槽之一內壁上;及一第三導電層,其放置於該等通孔之各者之一內壁上。 One embodiment of the present invention relates to a micro-electro-mechanical system (MEMS), which includes: a circuit substrate including electronic circuits; a support substrate having a groove; a bonding layer disposed between the circuit substrate and the circuit substrate. Between the support substrates; several through holes, which pass through the circuit substrate to the opening; a first conductive layer, which is placed on a front side of the circuit substrate; a second conductive layer, which is placed in the groove on one of the inner walls; and a third conductive layer placed on one of the inner walls of each of the through holes.

本發明之一實施例係關於一種製造一微機電系統(MEMS)之方法,其包括:在一第一基板之一前側上方形成電子電路系統;形成穿 透至該第一基板中之一或多個孔;由一填充材料填充該等孔;薄化該第一基板之一後側以暴露該等填充孔之部分;由一接合層將一第二基板接合至該第一基板之該後側,該接合層插入於該第二基板與該第一基板之該後側之間;及在該第二基板中形成一凹槽以暴露該第一基板之一底部。 One embodiment of the invention relates to a method of fabricating a microelectromechanical system (MEMS), comprising: forming electronic circuitry over a front side of a first substrate; forming through penetrating into one or more holes in the first substrate; filling the holes with a filling material; thinning a rear side of the first substrate to expose portions of the filled holes; bonding a second substrate with a bonding layer a substrate is bonded to the rear side of the first substrate, the bonding layer is interposed between the second substrate and the rear side of the first substrate; and a groove is formed in the second substrate to expose the first substrate one of the bottom.

本發明之一實施例係關於一種製造一微機電系統(MEMS)之方法,其包括:在一第一基板之一前側上方形成電子電路系統;在該第一基板上方形成電極;在除形成該等電極之外的區域處形成穿透至該第一基板中之一或多個孔;由一填充材料填充該等孔;薄化該第一基板之一後側以暴露該等填充孔之部分;由氧化矽製成之一接合層將一第二基板接合至該第一基板之該後側,該接合層插入於該第二基板與該第一基板之該後側之間;分別在該等電極上方形成柱電極;及在該第二基板中形成一凹槽以暴露該第一基板之一底部。 One embodiment of the present invention relates to a method of fabricating a microelectromechanical system (MEMS), comprising: forming electronic circuitry over a front side of a first substrate; forming electrodes over the first substrate; forming the Forming one or more holes penetrating into the first substrate at regions other than the electrodes; filling the holes with a filling material; thinning a rear side of the first substrate to expose portions of the filled holes a bonding layer made of silicon oxide bonds a second substrate to the rear side of the first substrate, the bonding layer being interposed between the second substrate and the rear side of the first substrate; forming post electrodes above the electrodes; and forming a groove in the second substrate to expose a bottom of the first substrate.

10:微機電系統(MEMS)裝置 10: Micro-Electro-Mechanical Systems (MEMS) Devices

10A:MEMS裝置 10A: MEMS device

10B:MEMS裝置 10B: MEMS device

10C:MEMS裝置 10C: MEMS device

10D:MEMS裝置 10D: MEMS device

20:電路基板 20: Circuit board

20':裝置層 20': Installation layer

25:電子電路/電子電路系統/互補式金屬氧化物半導體(CMOS)電路 25: Electronic Circuits/Electronic Circuit Systems/Complementary Metal Oxide Semiconductor (CMOS) Circuits

28:鈍化膜 28: Passivation film

30:支撐基板 30: Support substrate

30':塊體層 30': block layer

35:開口/腔/凹槽 35: Opening/cavity/groove

40:接合層 40: joint layer

40':氧化物層 40': oxide layer

50:第一導電層 50: The first conductive layer

55:第二導電層 55: Second conductive layer

57:第三導電層 57: The third conductive layer

60:通孔 60: Through hole

100:電極 100: electrode

101:電極 101: electrode

110:鈍化層 110: passivation layer

120:穿矽通路(TSV)孔 120:Through silicon via (TSV) hole

130:第一導電層 130: the first conductive layer

140:填充材料層 140: Filling material layer

150:絕緣圖案 150: insulation pattern

160:第一載體接合層 160: first carrier bonding layer

165:第一載體基板 165: the first carrier substrate

170:接合層 170: bonding layer

180:第一硬遮罩層 180: The first hard mask layer

190:第二硬遮罩層 190: second hard mask layer

200:開口 200: opening

210:導電層/第一柱電極 210: conductive layer/first column electrode

215:額外導電層/第二柱電極 215: Additional conductive layer/second column electrode

220:遮罩圖案/光阻圖案 220: Mask pattern/photoresist pattern

225:金屬墊 225: metal pad

230:層間介電層 230: interlayer dielectric layer

242:第一鈍化層 242: first passivation layer

244:第二鈍化層 244: Second passivation layer

246:第三鈍化層 246: The third passivation layer

250:凸塊下墊電極 250: pad electrode under bump

252:第一金屬層/TiW層 252: first metal layer/TiW layer

254:第二金屬層/Cu層 254: Second metal layer/Cu layer

256:第三金屬層/Ni層 256: The third metal layer/Ni layer

258:第四金屬層/Sn層 258: The fourth metal layer/Sn layer

260:球凸塊 260: ball bump

300:第二載體基板 300: second carrier substrate

305:第二載體接合層 305: second carrier bonding layer

310:遮罩圖案 310: mask pattern

320:第二導電層 320: second conductive layer

390:切割道 390: cutting road

400:框架 400: frame

500:電子束 500: electron beam

CR:中心區域 CR: central area

L1:距離 L1: distance

L2:距離 L2: Distance

L3:距離 L3: Distance

PR:周邊區域 PR: Surrounding area

T1:厚度 T1: Thickness

T2:總厚度 T2: total thickness

自結合附圖解讀之以下詳細描述最佳理解本揭示。應強調,根據行業標準做法,各種構件未按比例繪製且僅用於說明。事實上,為使討論清楚,可任意增大或減小各種構件之尺寸。 The present disclosure is best understood from the following detailed description when read in conjunction with the accompanying figures. It should be emphasized that, in accordance with standard industry practice, various components are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various components may be arbitrarily increased or decreased for clarity of discussion.

圖1A、圖1B、圖1C及圖1D展示根據本發明之實施例之MEMS裝置之示意性橫截面圖。 1A, 1B, 1C and 1D show schematic cross-sectional views of MEMS devices according to embodiments of the invention.

圖2A、圖2B、圖2C、圖2D、圖2E及圖2F展示根據本發明之一實施例之一MEMS裝置之一製造操作之各種階段之示意性橫截面圖。 2A, 2B, 2C, 2D, 2E and 2F show schematic cross-sectional views of various stages of a fabrication operation of a MEMS device according to an embodiment of the invention.

圖3A、圖3B、圖3C、圖3D及圖3E展示根據本發明之一實施例之一MEMS裝置之一製造操作之各種階段之示意性橫截面圖。 3A, 3B, 3C, 3D and 3E show schematic cross-sectional views of various stages of a fabrication operation of a MEMS device according to an embodiment of the invention.

圖4A、圖4B、圖4C及圖4D展示根據本發明之一實施例之 一MEMS裝置之一製造操作之各種階段之示意性橫截面圖。 Fig. 4A, Fig. 4B, Fig. 4C and Fig. 4D show that according to one embodiment of the present invention Schematic cross-sectional views of various stages of a fabrication operation of a MEMS device.

圖5A、圖5B及圖5C展示根據本發明之一實施例之一MEMS裝置之一製造操作之各種階段之示意性橫截面圖。 5A, 5B and 5C show schematic cross-sectional views of various stages of a fabrication operation of a MEMS device according to an embodiment of the invention.

圖6A、圖6B及圖6C展示根據本發明之一實施例之一MEMS裝置之一製造操作之各種階段之示意性橫截面圖。 6A, 6B and 6C show schematic cross-sectional views of various stages of a fabrication operation of a MEMS device according to an embodiment of the invention.

圖7A展示MEMS裝置之一平面圖且圖7B展示根據本發明之一實施例之一墊結構裝置之一橫截面圖。 Figure 7A shows a plan view of a MEMS device and Figure 7B shows a cross-sectional view of a pad structure device according to one embodiment of the invention.

圖8展示根據本發明之一實施例之MEMS裝置之一使用。 Figure 8 shows one use of a MEMS device according to one embodiment of the invention.

圖9A、圖9B、圖9C及圖9D展示根據本發明之一實施例之一MEMS裝置之一製造操作之各種階段之示意性橫截面圖。 9A, 9B, 9C and 9D show schematic cross-sectional views of various stages of a fabrication operation of a MEMS device according to an embodiment of the invention.

應瞭解,以下揭示提供用於實施本發明之不同特徵之諸多不同實施例或實例。下文將描述組件及配置之特定實施例或實例以簡化本揭示。當然,此等僅為實例且不意在限制。例如,元件之尺寸不受限於所揭示之範圍或值,而是可取決於程序條件及/或裝置之所要性質。此外,在以下描述中,在一第二構件上方或一第二構件上形成一第一構件可包含其中形成直接接觸之該第一構件及該第二構件之實施例,且亦可包含其中可形成插入於該第一構件與該第二構件之額外構件使得該第一構件及該第二構件可不直接接觸之實施例。為簡單及清楚起見,可依不同比例任意繪製各種構件。 It should be appreciated that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific embodiments or examples of components and configurations are described below to simplify the present disclosure. Of course, these are examples only and are not meant to be limiting. For example, the dimensions of the elements are not limited to the disclosed ranges or values, but may depend on process conditions and/or desired properties of the device. Furthermore, in the following description, forming a first member over or on a second member may include embodiments in which the first member and the second member are formed in direct contact, and may also include embodiments in which a direct contact may be made. An embodiment in which an additional member inserted between the first member and the second member is formed such that the first member and the second member are not in direct contact. Various components may be arbitrarily drawn in different scales for simplicity and clarity.

此外,為便於描述,諸如「下面」、「下方」、「下」、「上方」、「上」及其類似者之空間相對術語在本文中可用於描述一元件或構件與另一(些)元件或構件之關係,如圖中所繪示。除圖中所描繪之定 向之外,空間相對術語亦意欲涵蓋裝置在使用或操作中之不同定向。可依其他方式(旋轉90度或以其他定向)定向設備且亦可因此解譯本文中所使用之空間相對描述詞。另外,術語「由…製成」可意謂「包括」或「由…組成」。在本揭示中,除非另有指示,否則A、B及C之至少一者意謂「A」、「B」、「C」、「A及B」、「A及C」、「B及C」或「A、B及C」且不意謂來自A之一者、來自B之一者及來自C之一者。 In addition, for ease of description, spatially relative terms such as "below", "below", "under", "above", "upper" and the like may be used herein to describe the relationship between an element or component and another(s) The relationship of elements or components, as shown in the figure. Except as depicted in the figure Additionally, spatially relative terms are also intended to encompass different orientations of the device in use or operation. A device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may be interpreted accordingly. Additionally, the term "consisting of" may mean "comprising" or "consisting of". In this disclosure, unless otherwise indicated, at least one of A, B and C means "A", "B", "C", "A and B", "A and C", "B and C ” or “A, B and C” and does not mean one from A, one from B and one from C.

根據本揭示之MEMS裝置可為以下之任一者:一電子束偏轉器、一電磁束偏轉器、一加速度計、一陀螺儀、一壓力感測器、一麥克風、一RF諧振器、一RF開關或一超音波傳感器。 A MEMS device according to the present disclosure may be any of the following: an electron beam deflector, an electromagnetic beam deflector, an accelerometer, a gyroscope, a pressure sensor, a microphone, an RF resonator, an RF switch or an ultrasonic sensor.

圖1A及圖1B展示根據本發明之實施例之MEMS裝置10A及10B之示意性橫截面圖。 1A and 1B show schematic cross-sectional views of MEMS devices 10A and 10B according to embodiments of the invention.

在一些實施例中,MEMS裝置10A及10B包含其中形成一電子電路25(例如包含半導體場效電晶體之電晶體,諸如互補式金屬氧化物半導體(CMOS)裝置)之一電路基板20及具有用於接收聲音、壓力及/或光之一開口(腔或凹槽)35之一支撐基板30。在一些實施例中,一接合層40形成於電路基板20與支撐基板30之間。在一些實施例中,接合層40係氧化矽層。在一些實施例中,電路基板20包含由電子電路系統形成之電子電路系統25,諸如一信號處理電路及/或一放大器電路。在一些實施例中,凹槽35在平面圖中具有一矩形(例如正方形)形狀。在一些實施例中,電路基板20及支撐基板30之至少一者由一結晶矽製成。在一些實施例中,如圖1A中所展示,接合層保留於凹槽35之底部處,且在其他實施例中,如圖1B中所展示,接合層不存在於凹槽35之底部處。 In some embodiments, MEMS devices 10A and 10B include a circuit substrate 20 on which is formed an electronic circuit 25 (eg, a transistor comprising a semiconductor field effect transistor, such as a complementary metal-oxide-semiconductor (CMOS) device) and having The substrate 30 is supported at an opening (cavity or groove) 35 for receiving sound, pressure and/or light. In some embodiments, a bonding layer 40 is formed between the circuit substrate 20 and the support substrate 30 . In some embodiments, the bonding layer 40 is a silicon oxide layer. In some embodiments, the circuit substrate 20 includes electronic circuitry 25 formed of electronic circuitry, such as a signal processing circuit and/or an amplifier circuit. In some embodiments, the groove 35 has a rectangular (eg, square) shape in plan view. In some embodiments, at least one of the circuit substrate 20 and the support substrate 30 is made of crystalline silicon. In some embodiments, the bonding layer remains at the bottom of the groove 35 as shown in FIG. 1A , and in other embodiments, as shown in FIG. 1B , the bonding layer is absent at the bottom of the groove 35 .

此外,在一些實施例中,一第一導電層50形成於電路基板 20之一前表面上,且一第二導電層55形成於支撐基板30之一後表面上,如圖1A及圖1B中所展示。在一些實施例中,如圖1A中所展示,接合層40與第二導電層55接觸且不與電路基板20接觸。在其他實施例中,第二導電層55與電路基板20接觸,如圖1B中所展示。在一些實施例中,第一導電層及第二導電層包含Au、Ti、Cu、Ag及Ni之一或多個層。 In addition, in some embodiments, a first conductive layer 50 is formed on the circuit substrate 20, and a second conductive layer 55 is formed on a rear surface of the support substrate 30, as shown in FIG. 1A and FIG. 1B. In some embodiments, as shown in FIG. 1A , bonding layer 40 is in contact with second conductive layer 55 and not in contact with circuit substrate 20 . In other embodiments, the second conductive layer 55 is in contact with the circuit substrate 20 , as shown in FIG. 1B . In some embodiments, the first conductive layer and the second conductive layer include one or more layers of Au, Ti, Cu, Ag and Ni.

在一些實施例中,電路基板20之底部處之凹槽35之大小之距離L1在自約10mm至約50mm之一範圍內,且在其他實施例中在自約15mm至約20mm之一範圍內。在一些實施例中,支撐基板30之底部處之腔35之大小之距離L2大於L1且在自約11mm至約52mm之一範圍內且在其他實施例中在自約16mm至約22mm之一範圍內。在一些實施例中,自MEMS裝置之邊緣至電路基板20之底部處之凹槽35之邊緣之距離L3(一框架部分之一寬度)在自約2μm至約10μm之一範圍內,且在其他實施例中在自約3μm至約5μm之一範圍內。在一些實施例中,接合層40之厚度T1在自約200nm至約5μm之一範圍內,且在其他實施例中在自約500nm至約2μm之一範圍內。在一些實施例中,MEMS裝置之總厚度T2在自約300μm至約2mm之一範圍內,且在其他實施例中在自約600μm至約800μm之一範圍內。 In some embodiments, the distance L1 of the size of the groove 35 at the bottom of the circuit substrate 20 is in a range from about 10 mm to about 50 mm, and in other embodiments is in a range from about 15 mm to about 20 mm. . In some embodiments, the distance L2 of the size of the cavity 35 at the bottom of the support substrate 30 is greater than L1 and ranges from about 11 mm to about 52 mm and in other embodiments from about 16 mm to about 22 mm Inside. In some embodiments, the distance L3 (a width of a frame portion) from the edge of the MEMS device to the edge of the groove 35 at the bottom of the circuit substrate 20 is in a range from about 2 μm to about 10 μm, and in others In embodiments, it is within a range from one of about 3 μm to about 5 μm. In some embodiments, thickness T1 of bonding layer 40 is in a range from about 200 nm to about 5 μm, and in other embodiments is in a range from about 500 nm to about 2 μm. In some embodiments, the total thickness T2 of the MEMS device is in a range of from about 300 μm to about 2 mm, and in other embodiments in a range of from about 600 μm to about 800 μm.

圖1C及圖1D展示根據本發明之實施例之MEMS裝置10C及10D之示意性橫截面圖。在一些實施例中,MEMS裝置10C及10D係一或多個電子或極紫外(EUV)光束由其藉由嵌入於MEMS裝置中之一電子電路之操作來偏轉之一光束偏轉器。 1C and ID show schematic cross-sectional views of MEMS devices 10C and 10D according to embodiments of the invention. In some embodiments, MEMS devices 10C and 10D are beam deflectors by which one or more electron or extreme ultraviolet (EUV) beams are deflected by operation of an electronic circuit embedded in the MEMS device.

類似於MEMS裝置10A及10B,MEMS裝置10C及10D包含其中形成一電子電路25之一電路基板20及具有用於接收聲音、壓力及/或 光之一開口(腔或凹槽)35之一支撐基板30。在一些實施例中,一接合層40形成於電路基板20與支撐基板30之間。在一些實施例中,接合層40係氧化矽層。在一些實施例中,放置穿過電路基板20及接合層40之一或多個通孔60,使得光束穿過通孔60。在一些實施例中,通孔60在一平面圖中配置成一n×m矩陣,其中n及m係2或更大且等於或小於(例如)128之整數。 Similar to MEMS devices 10A and 10B, MEMS devices 10C and 10D include a circuit substrate 20 in which an electronic circuit 25 is formed and have functions for receiving sound, pressure and/or One of the openings (cavities or grooves) 35 of light supports the substrate 30 . In some embodiments, a bonding layer 40 is formed between the circuit substrate 20 and the support substrate 30 . In some embodiments, the bonding layer 40 is a silicon oxide layer. In some embodiments, one or more vias 60 are placed through the circuit substrate 20 and the bonding layer 40 such that the light beam passes through the vias 60 . In some embodiments, vias 60 are arranged in an n×m matrix in a plan view, where n and m are integers of 2 or greater and equal to or less than 128, for example.

在一些實施例中,一第一導電層50形成於電路基板20之一前表面上,且一第二導電層55形成於支撐基板30之一後表面上,如圖1C及圖1D中所展示。在一些實施例中,如圖1C中所展示,接合層40與第二導電層55接觸且不與電路基板20接觸。在其他實施例中,第二導電層55與電路基板20接觸,如圖1D中所展示。此外,一第三導電層57放置於通孔60之各者之一內壁上以連接第一導電層50及第二導電層55。 In some embodiments, a first conductive layer 50 is formed on a front surface of the circuit substrate 20, and a second conductive layer 55 is formed on a rear surface of the support substrate 30, as shown in FIGS. 1C and 1D . In some embodiments, as shown in FIG. 1C , the bonding layer 40 is in contact with the second conductive layer 55 and not in contact with the circuit substrate 20 . In other embodiments, the second conductive layer 55 is in contact with the circuit substrate 20 , as shown in FIG. 1D . In addition, a third conductive layer 57 is disposed on an inner wall of each of the through holes 60 to connect the first conductive layer 50 and the second conductive layer 55 .

在一些實施例中,電路基板20包含由電子電路系統形成之電子電路系統25,諸如一信號處理電路及/或一放大器電路。在一些實施例中,電子電路系統耦合至第一導電層、第二導電層及/或第三導電層以控制通孔60之各者中之第三導電層之電位以藉此偏轉穿過通孔60之光束。 In some embodiments, the circuit substrate 20 includes electronic circuitry 25 formed of electronic circuitry, such as a signal processing circuit and/or an amplifier circuit. In some embodiments, electronic circuitry is coupled to the first conductive layer, the second conductive layer, and/or the third conductive layer to control the potential of the third conductive layer in each of the vias 60 to thereby deflect The beam of the hole 60.

在一些實施例中,凹槽35在平面圖中具有一矩形(例如正方形)形狀。在一些實施例中,電路基板20及支撐基板30之至少一者由一結晶矽製成。在一些實施例中,如圖1C中所展示,接合層保留於凹槽35之底部處,且在其他實施例中,如圖1D中所展示,接合層不存在於凹槽35之底部處。 In some embodiments, the groove 35 has a rectangular (eg, square) shape in plan view. In some embodiments, at least one of the circuit substrate 20 and the support substrate 30 is made of crystalline silicon. In some embodiments, the bonding layer remains at the bottom of the groove 35, as shown in Figure 1C, and in other embodiments, as shown in Figure ID, the bonding layer is absent at the bottom of the groove 35.

MEMS裝置10C及10D之L1、L2及L3之尺寸相同或類似於 MEMS裝置10A及10B之L1、L2及L3之尺寸。 The dimensions of L1, L2 and L3 of MEMS devices 10C and 10D are the same or similar Dimensions of L1 , L2 and L3 of MEMS devices 10A and 10B.

圖2A、圖2B、圖2C、圖2D、圖2E及圖2F展示根據本發明之一實施例之一MEMS裝置之一製造操作之各種階段之示意性橫截面圖。應瞭解,可在由圖2A至圖2F展示之程序之前、該等程序期間及該等程序之後提供額外操作,且可針對方法之額外實施例來替換或消除下文將描述之一些操作。操作/程序之順序可互換。相對於圖1A至圖1D所描述之材料、組態、尺寸及程序可應用於以下實施例且可省略其詳細描述。 2A, 2B, 2C, 2D, 2E and 2F show schematic cross-sectional views of various stages of a fabrication operation of a MEMS device according to an embodiment of the invention. It should be appreciated that additional operations may be provided before, during, and after the procedures shown by FIGS. 2A-2F , and that some of the operations described below may be replaced or eliminated for additional embodiments of the method. The order of operations/procedures may be interchanged. The materials, configurations, dimensions, and procedures described with respect to FIGS. 1A-1D are applicable to the following embodiments and detailed descriptions thereof may be omitted.

如圖2A中所展示,在電路基板20之一前表面區域中形成一CMOS(互補式金屬氧化物半導體)電路25。在電路基板之前表面上方形成一或多個鈍化膜28。在一些實施例中,一或多個鈍化膜28包含氧化矽、氮化矽或一有機膜。接著,如圖2B中所展示,由一研磨或拋光程序薄化電路基板20之後側。在一些實施例中,經薄化電路基板20之剩餘厚度在自約100μm至約500μm之一範圍內。 As shown in FIG. 2A , a CMOS (Complementary Metal Oxide Semiconductor) circuit 25 is formed in a front surface area of the circuit substrate 20 . One or more passivation films 28 are formed over the front surface of the circuit substrate. In some embodiments, one or more passivation films 28 include silicon oxide, silicon nitride, or an organic film. Next, as shown in FIG. 2B , the rear side of the circuit substrate 20 is thinned by a grinding or polishing process. In some embodiments, the remaining thickness of the thinned circuit substrate 20 is in a range from about 100 μm to about 500 μm.

接著,如圖2C及圖2D中所展示,經由一接合層40來將經薄化電路基板20接合至一支撐基板30。在一些實施例中,如圖2C中所展示,接合層40係由(例如)一熱氧化程序或一化學汽相沈積(CVD)程序形成於支撐基板30之表面上之氧化矽。在其他實施例中,由(例如)一CVD程序在電路基板20之後側上形成接合層40。 Next, as shown in FIGS. 2C and 2D , the thinned circuit substrate 20 is bonded to a support substrate 30 via a bonding layer 40 . In some embodiments, as shown in FIG. 2C , bonding layer 40 is silicon oxide formed on the surface of support substrate 30 by, for example, a thermal oxidation process or a chemical vapor deposition (CVD) process. In other embodiments, bonding layer 40 is formed on the rear side of circuit substrate 20 by, for example, a CVD process.

接著,藉由使用一或多個微影及蝕刻操作來使支撐基板30之後側凹進。在一些實施例中,蝕刻操作包含電漿乾式蝕刻或濕式蝕刻。在一些實施例中,濕式蝕刻利用氫氧化四甲基銨(TMAH)或KOH溶液。 Next, the rear side of the support substrate 30 is recessed by using one or more lithography and etching operations. In some embodiments, the etching operation includes plasma dry etching or wet etching. In some embodiments, the wet etch utilizes tetramethylammonium hydroxide (TMAH) or KOH solutions.

在一些實施例中,接合層40充當用於形成凹槽35之一蝕刻停止層,如圖2E中所展示。在一些實施例中,在支撐基板30之後側及接 合層40上形成一或多個導電層。 In some embodiments, bonding layer 40 acts as an etch stop layer for forming recess 35, as shown in FIG. 2E. In some embodiments, the rear side and the abutment of the support substrate 30 One or more conductive layers are formed on the bonding layer 40 .

在其他實施例中,在凹槽蝕刻停止於接合層40處之後,由一或多個乾式蝕刻或濕式蝕刻操作進一步蝕刻接合層40。在一些實施例中,在支撐基板30之後側上形成一或多個導電層。在其他實施例中,如圖2F中所展示,在移除接合層40之後,蝕刻電路基板20之後側之一部分且接著形成一或多個導電層。 In other embodiments, the bonding layer 40 is further etched by one or more dry etch or wet etch operations after the recess etch stops at the bonding layer 40 . In some embodiments, one or more conductive layers are formed on the rear side of the support substrate 30 . In other embodiments, as shown in FIG. 2F , after removal of bonding layer 40 , a portion of the rear side of circuit substrate 20 is etched and one or more conductive layers are then formed.

圖3A至圖7B展示根據本發明之一實施例之一MEMS裝置之一製造操作之各種階段之示意性橫截面圖。應瞭解,可在由圖3A至圖7B展示之程序之前、該等程序期間及該等程序之後提供額外操作,且針對方法之額外實施例來替換或消除下文將描述之一些操作。操作/程序之順序可互換。相對於圖1A至圖1D及圖2A至圖2F所描述之材料、組態、尺寸及程序可應用於以下實施例且可省略其詳細描述。 3A-7B show schematic cross-sectional views of various stages of a fabrication operation of a MEMS device according to an embodiment of the invention. It should be appreciated that additional operations may be provided before, during, and after the procedures shown by FIGS. 3A-7B , and that some of the operations described below may be replaced or eliminated for additional embodiments of the method. The order of operations/procedures may be interchanged. The materials, configurations, dimensions, and procedures described with respect to FIGS. 1A-1D and 2A-2F are applicable to the following embodiments and detailed descriptions thereof may be omitted.

如圖3A中所展示,在一電路基板20上方形成電子電路之後,形成一或多個平面電極100及電極101且形成一或多個鈍化層110。電極100及電極101電連接至形成於電路基板20中之電子電路。在一些實施例中,電路基板20包含一結晶矽基板。在一些實施例中,在一或多個鈍化層中之電極100及電極101上方形成一或多個開口。在一些實施例中,電極100及電極101由Cu、Al、Au、Ni、Ag或其他適合導電材料之一或多個層製成。鈍化層110包含氮化矽、SiON、氧化矽、氮化鋁或一有機材料。 As shown in FIG. 3A , after forming electronic circuitry over a circuit substrate 20 , one or more planar electrodes 100 and 101 are formed and one or more passivation layers 110 are formed. The electrodes 100 and 101 are electrically connected to an electronic circuit formed in the circuit substrate 20 . In some embodiments, the circuit substrate 20 includes a crystalline silicon substrate. In some embodiments, one or more openings are formed over electrode 100 and electrode 101 in one or more passivation layers. In some embodiments, electrode 100 and electrode 101 are made of one or more layers of Cu, Al, Au, Ni, Ag, or other suitable conductive materials. The passivation layer 110 includes silicon nitride, SiON, silicon oxide, aluminum nitride or an organic material.

接著,在除電極100及電極101之外的區域中形成用於穿矽通路(TSV)之一或多個孔120。由一或多個微影及蝕刻操作形成TSV孔120。在一些實施例中,TSV孔120在一平面圖中配置成一n×m矩陣(參閱圖7A),其中n及m係2或更大且等於或小於(例如)128之整數。在一些實 施例中,自鈍化層110之頂部之TSV之深度在自約20μm至約100μm之一範圍內。在一些實施例中,深度經判定使得在隨後執行電路基板之後側之一薄化程序之後,暴露TSV孔120之底部。在一些實施例中,TSV孔120在平面圖中之形狀呈圓形或矩形(例如正方形)。在一些實施例中,TSV孔120呈錐形以具有大於底部之一開口。在一些實施例中,TSV孔120在開口處之一直徑(或邊之一長度)在自約100nm至約12,000nm之一範圍內。 Next, one or more holes 120 for through-silicon vias (TSVs) are formed in regions other than the electrodes 100 and 101 . TSV holes 120 are formed by one or more lithography and etching operations. In some embodiments, TSV holes 120 are arranged in an n×m matrix in a plan view (see FIG. 7A ), where n and m are integers of 2 or greater and equal to or less than 128, for example. in some real In an embodiment, the depth of the TSVs from the top of the passivation layer 110 ranges from about 20 μm to about 100 μm. In some embodiments, the depth is determined such that the bottom of the TSV hole 120 is exposed after a subsequent thinning procedure is performed on the rear side of the circuit substrate. In some embodiments, the shape of the TSV hole 120 in a plan view is circular or rectangular (eg, square). In some embodiments, the TSV holes 120 are tapered to have an opening larger than one of the bottoms. In some embodiments, a diameter (or a length of a side) of the TSV hole 120 at the opening is in a range from about 100 nm to about 12,000 nm.

接著,在電極100及電極101、鈍化層110上方及TSV孔120內側形成一第一導電層130。接著,形成一填充層140以填充TSV孔120,如圖3B中所展示。第一導電層130具有相同或類似於圖1A至圖1D中所展示之第一導電層50之功能。在一些實施例中,第一導電層130包含Au、Ti、Cu、Ag及Ni之一或多個層。在特定實施例中,形成於一Ti層上方之一金層用作第一導電層130。在一些實施例中,Ti層之厚度在自約50nm至約500nm之一範圍內,且在其他實施例中在自約80nm至約300nm之一範圍內。在一些實施例中,金(Au)層之厚度在自約10nm至約10,000nm之一範圍內,且在其他實施例中在自約150nm至約250nm之一範圍內。在一些實施例中,填充層140包含氧化矽或任何其他適合絕緣材料。在一些實施例中,在第一導電層130上方形成一填充材料之一毯覆層,且接著執行諸如一化學機械拋光程序或一回蝕程序之一平坦化操作以使填充材料僅留在TSV孔120內側,如圖3B中所展示。在其他實施例中,填充材料亦保留於電極100及電極101之一凹陷部分上。 Next, a first conductive layer 130 is formed on the electrodes 100 and 101 , above the passivation layer 110 and inside the TSV hole 120 . Next, a filling layer 140 is formed to fill the TSV hole 120, as shown in FIG. 3B. The first conductive layer 130 has the same or similar function as the first conductive layer 50 shown in FIGS. 1A to 1D . In some embodiments, the first conductive layer 130 includes one or more layers of Au, Ti, Cu, Ag and Ni. In certain embodiments, a gold layer formed over a Ti layer is used as the first conductive layer 130 . In some embodiments, the thickness of the Ti layer ranges from about 50 nm to about 500 nm, and in other embodiments from about 80 nm to about 300 nm. In some embodiments, the gold (Au) layer has a thickness in a range from about 10 nm to about 10,000 nm, and in other embodiments in a range from about 150 nm to about 250 nm. In some embodiments, the filling layer 140 includes silicon oxide or any other suitable insulating material. In some embodiments, a blanket layer of filling material is formed over the first conductive layer 130, and then a planarization operation such as a chemical mechanical polishing process or an etch-back process is performed to leave the filling material only in the TSVs. Inside the hole 120, as shown in Figure 3B. In other embodiments, the filling material also remains on a recessed portion of the electrode 100 and the electrode 101 .

接著,如圖3C中所展示,圖案化導電層130以在TSV孔120附近之鈍化層110上方形成一或多個開口以部分暴露鈍化層。接著,形成及圖案化一絕緣層以形成用於覆蓋開口之島狀絕緣圖案150。在一些實施 例中,絕緣圖案150包含氮化矽。 Next, as shown in FIG. 3C , the conductive layer 130 is patterned to form one or more openings over the passivation layer 110 near the TSV holes 120 to partially expose the passivation layer. Next, an insulating layer is formed and patterned to form an island-shaped insulating pattern 150 for covering the opening. in some implementations In one example, the insulating pattern 150 includes silicon nitride.

此外,如圖3D中所展示,在其上形成導電層130及圖案150之電路基板20之前表面上方形成一第一載體接合層160,且接著附接一第一載體基板165。在一些實施例中,第一載體基板165係一玻璃基板、一陶瓷基板、一半導體基板或一樹脂基板。在一些實施例中,第一載體接合層160包含一有機材料、氧化矽或任何其他適合材料。 Furthermore, as shown in FIG. 3D , a first carrier bonding layer 160 is formed over the front surface of the circuit substrate 20 on which the conductive layer 130 and the pattern 150 are formed, and then a first carrier substrate 165 is attached. In some embodiments, the first carrier substrate 165 is a glass substrate, a ceramic substrate, a semiconductor substrate or a resin substrate. In some embodiments, the first carrier bonding layer 160 includes an organic material, silicon oxide, or any other suitable material.

接著,由一研磨或拋光(例如CMP)操作薄化電路基板20之後側。在一些實施例中,在薄化之後,電路基板20具有自約20μm至約300μm之一範圍內之一剩餘厚度,且在其他實施例中,剩餘厚度在自約40μm至約180μm之一範圍內。如圖3D中所展示,暴露填充於TSV孔120中之填充材料層140之底部。在其他實施例中,在薄化操作之後,將第一載體基板165附接至電路基板20之前表面。 Next, the rear side of the circuit substrate 20 is thinned by a grinding or polishing (eg, CMP) operation. In some embodiments, after thinning, circuit substrate 20 has a remaining thickness in the range of from about 20 μm to about 300 μm, and in other embodiments the remaining thickness is in the range of from about 40 μm to about 180 μm . As shown in FIG. 3D , the bottom of the filling material layer 140 filled in the TSV hole 120 is exposed. In other embodiments, the first carrier substrate 165 is attached to the front surface of the circuit substrate 20 after the thinning operation.

此外,如圖3E中所展示,在電路基板20之經薄化後表面上形成一接合層170。接合層170具有相同或類似於圖1A至圖2F中所展示之接合層40之功能。在一些實施例中,接合層170包含由(例如)一CVD程序形成之氧化矽。 In addition, as shown in FIG. 3E , a bonding layer 170 is formed on the thinned rear surface of the circuit substrate 20 . The bonding layer 170 has the same or similar function as the bonding layer 40 shown in FIGS. 1A-2F . In some embodiments, bonding layer 170 includes silicon oxide formed by, for example, a CVD process.

接著,如圖4A中所展示,製備一支撐基板30且透過接合層170來將支撐基板30接合至電路基板20(氧化物熔合接合)。在一些實施例中,支撐基板30由一結晶矽製成。在氧化物熔合接合之後,移除第一載體基板165及第一載體接合層160,如圖4B中所展示。如圖4A所展示,接合層170連接至TSV孔120中之填充材料層140。在一些實施例中,接合層170及填充材料層140由相同材料製成。 Next, as shown in FIG. 4A , a support substrate 30 is prepared and bonded to the circuit substrate 20 through the bonding layer 170 (oxide fusion bonding). In some embodiments, the support substrate 30 is made of crystalline silicon. After oxide fusion bonding, the first carrier substrate 165 and first carrier bonding layer 160 are removed, as shown in FIG. 4B . As shown in FIG. 4A , bonding layer 170 is connected to fill material layer 140 in TSV hole 120 . In some embodiments, bonding layer 170 and filler material layer 140 are made of the same material.

在其他實施例中,在支撐基板30或支撐基板30及電路基板 20兩者上形成接合層170。在一些實施例中,無接合層之支撐基板30之厚度在自約200μm至約1.8mm之一範圍內,且在其他實施例中在自約500μm至約750μm之一範圍內。 In other embodiments, the support substrate 30 or the support substrate 30 and the circuit substrate A bonding layer 170 is formed on both. In some embodiments, the thickness of the bonding layer-free support substrate 30 ranges from about 200 μm to about 1.8 mm, and in other embodiments ranges from about 500 μm to about 750 μm.

接著,如圖4C中所展示,在電路基板20之前表面上方形成一第一硬遮罩層180且接著形成一第二硬遮罩層190。在一些實施例中,第一硬遮罩層180包含氧化矽且第二硬遮罩層190包含多晶矽或非晶矽。在一些實施例中,由一CVD程序形成氧化矽硬遮罩層180,且接著執行諸如一CMP操作之一平坦化操作。類似地,在一些實施例中,由化學汽相沈積(CVD)形成多晶矽硬遮罩層190,且接著視情況執行一CMP操作。在一些實施例中,多晶矽硬遮罩層190之厚度在自約30μm至約70μm之一範圍內。 Next, as shown in FIG. 4C , a first hard mask layer 180 and then a second hard mask layer 190 are formed over the front surface of the circuit substrate 20 . In some embodiments, the first hard mask layer 180 includes silicon oxide and the second hard mask layer 190 includes polysilicon or amorphous silicon. In some embodiments, the silicon oxide hard mask layer 180 is formed by a CVD process, and then a planarization operation such as a CMP operation is performed. Similarly, in some embodiments, polysilicon hard mask layer 190 is formed by chemical vapor deposition (CVD), and then optionally a CMP operation is performed. In some embodiments, the polysilicon hard mask layer 190 has a thickness ranging from about 30 μm to about 70 μm.

接著,藉由使用一或多微影及蝕刻操作來圖案化第二硬遮罩層190及第一硬遮罩層180以形成電極100及電極101上方之一或多個開口200,如圖4D中所展示。在一些實施例中,開口200之大小大於形成於電極100及電極101上方之鈍化層110中之開口之大小。此外,在一些實施例中,絕緣圖案150部分暴露於開口200中,如圖4D中所展示。 Next, the second hard mask layer 190 and the first hard mask layer 180 are patterned by using one or more lithography and etching operations to form one or more openings 200 over the electrodes 100 and 101, as shown in FIG. 4D shown in . In some embodiments, the size of opening 200 is larger than the size of the openings formed in passivation layer 110 over electrode 100 and electrode 101 . Furthermore, in some embodiments, the insulating pattern 150 is partially exposed in the opening 200, as shown in FIG. 4D.

接著,如圖5A中所展示,在開口200中形成一或多個導電層210(柱電極)。在一些實施例中,導電層包含由一鍍覆操作(電鍍或無電鍍覆)形成之金或金合金(例如AuCu及AuNi)。在一些實施例中,鍍覆導電層210之厚度在自約20μm至約50μm之一範圍內。在一些實施例中,鍍覆導電層210之厚度(高度)小於第二硬遮罩層190之頂部,如圖5A中所展示。 Next, as shown in FIG. 5A , one or more conductive layers 210 (pillar electrodes) are formed in the opening 200 . In some embodiments, the conductive layer comprises gold or gold alloys (eg, AuCu and AuNi) formed by a plating operation (electroplating or electroless plating). In some embodiments, the thickness of the plated conductive layer 210 ranges from about 20 μm to about 50 μm. In some embodiments, the thickness (height) of the plated conductive layer 210 is less than the top of the second hard mask layer 190, as shown in FIG. 5A.

此外,如圖5B中所展示,由一遮罩圖案220覆蓋一或多個 電極100及電極101上方之鍍覆層210之部分。在一些實施例中,遮罩圖案220包含一光阻圖案。接著,在導電鍍覆層210上方形成一額外導電層215(柱電極)。在一些實施例中,由一鍍覆操作(電鍍或無電鍍覆)形成額外導電層215。在一些實施例中,額外導電層215由相同於鍍覆導電層210之材料製成且包含金或金合金(例如AuCu、AuNi)。在其他實施例中,額外導電層215由不同於鍍覆導電層210之一材料製成。接著,移除光阻圖案220,如圖5C中所展示。 In addition, as shown in FIG. 5B, one or more Part of the electrode 100 and the plating layer 210 above the electrode 101 . In some embodiments, the mask pattern 220 includes a photoresist pattern. Next, an additional conductive layer 215 (column electrode) is formed on the conductive plating layer 210 . In some embodiments, the additional conductive layer 215 is formed by a plating operation (electroplating or electroless plating). In some embodiments, the additional conductive layer 215 is made of the same material as the plated conductive layer 210 and includes gold or a gold alloy (eg, AuCu, AuNi). In other embodiments, the additional conductive layer 215 is made of a material different from the plated conductive layer 210 . Next, the photoresist pattern 220 is removed, as shown in FIG. 5C .

在一些實施例中,額外導電層215之厚度在自約10μm至約35μm之一範圍內。在一些實施例中,鍍覆導電層210及額外導電層215之總厚度(高度)小於第二硬遮罩層190之頂部,如圖5C中所展示。在一些實施例中,鍍覆導電層210/215之兩個不同厚度(高度)控制不同電路系統。例如,較高者用於庇護電子,且較低者用於控制電場。 In some embodiments, the thickness of the additional conductive layer 215 ranges from about 10 μm to about 35 μm. In some embodiments, the combined thickness (height) of the plated conductive layer 210 and the additional conductive layer 215 is less than the top of the second hard mask layer 190, as shown in FIG. 5C. In some embodiments, two different thicknesses (heights) of plated conductive layers 210/215 control different circuitry. For example, the higher ones are used to shelter electrons, and the lower ones are used to control the electric field.

接著,如圖6A中所展示,在電路基板20之前側上方形成一第二載體接合層305,且接著經由第二載體接合層305來將一第二載體基板300附接至電路基板20之前側。在一些實施例中,第二載體基板300係一玻璃基板、一陶瓷基板、一半導體基板或一樹脂基板。在一些實施例中,第二載體接合層305包含一有機材料、氧化矽或任何其他適合材料。 Next, as shown in FIG. 6A, a second carrier bonding layer 305 is formed over the front side of the circuit substrate 20, and then a second carrier substrate 300 is attached to the front side of the circuit substrate 20 via the second carrier bonding layer 305. . In some embodiments, the second carrier substrate 300 is a glass substrate, a ceramic substrate, a semiconductor substrate or a resin substrate. In some embodiments, the second carrier bonding layer 305 includes an organic material, silicon oxide, or any other suitable material.

接著,垂直翻轉整個基板,且接著圖案化支撐基板30之後側以形成一凹槽35。在一些實施例中,由一或多個微影及蝕刻操作使用一遮罩圖案310來形成凹槽35。在一些實施例中,遮罩圖案310由一光阻劑製成。 Then, the entire substrate is turned vertically, and then the rear side of the supporting substrate 30 is patterned to form a groove 35 . In some embodiments, recesses 35 are formed by one or more lithography and etching operations using a mask pattern 310 . In some embodiments, the mask pattern 310 is made of a photoresist.

在一些實施例中,蝕刻操作包含電漿乾式蝕刻或濕式蝕刻。在一些實施例中,接合層170充當用於形成凹槽35之一蝕刻停止層。 當使用一電漿乾式蝕刻程序來形成凹槽35時,電漿蝕刻實質上停止於接合層170處,且因此可防止形成於電路基板20中之電子電路上之電漿損壞。 In some embodiments, the etching operation includes plasma dry etching or wet etching. In some embodiments, bonding layer 170 acts as an etch stop layer for forming recess 35 . When a plasma dry etching process is used to form the groove 35, the plasma etching substantially stops at the bonding layer 170, and therefore, plasma damage on the electronic circuits formed in the circuit substrate 20 can be prevented.

在一些實施例中,在凹槽蝕刻停止於接合層170處之後,由一或多個乾式蝕刻或濕式蝕刻操作進一步蝕刻接合層170。在一些實施例中,接合層之蝕刻具有相對於電路基板20(例如Si)之一高選擇性。例如,接合層之蝕刻速率係電路基板20之蝕刻速率之10倍或更大。在一些實施例中,當接合層170由氧化矽製成時,執行使用HF或緩衝HF之一濕式蝕刻程序以抑制形成於電路基板20中之電子電路受損。當填充材料層140由相同於接合層170之材料(例如氧化矽)製成時,在移除接合層170時亦移除TSV孔120中之填充材料層140。當填充材料層140由不同於接合層170之一材料(例如氮化矽)製成時,執行諸如一濕式蝕刻操作之一額外蝕刻操作以移除填充材料層140。 In some embodiments, after the recess etch stops at the bonding layer 170, the bonding layer 170 is further etched by one or more dry etch or wet etch operations. In some embodiments, the etching of the bonding layer has a high selectivity relative to the circuit substrate 20 (eg, Si). For example, the etching rate of the bonding layer is 10 times or more that of the circuit substrate 20 . In some embodiments, when the bonding layer 170 is made of silicon oxide, a wet etching process using HF or buffered HF is performed to suppress damage to electronic circuits formed in the circuit substrate 20 . When the filling material layer 140 is made of the same material as the bonding layer 170 (eg, silicon oxide), the filling material layer 140 in the TSV hole 120 is also removed when the bonding layer 170 is removed. When the filling material layer 140 is made of a material other than the bonding layer 170 (eg, silicon nitride), an additional etching operation such as a wet etching operation is performed to remove the filling material layer 140 .

在自TSV孔120移除填充材料層140之後,在凹槽35內側形成一第二導電層320,如圖6B中所展示。 After removing the filling material layer 140 from the TSV hole 120, a second conductive layer 320 is formed inside the groove 35, as shown in FIG. 6B.

在一些實施例中,如圖6B中所展示,形成與形成於TSV孔120之各者之內壁上之第一導電層130接觸之第二導電層320。在一些實施例中,亦在其中已形成第一導電層130之TSV孔120之內壁上形成第二導電層320。在一些實施例中,第二導電層320由相同於或不同於第一導電層130之材料製成且包含Au、Ti、Cu、Ag及Ni之一或多個層。在特定實施例中,形成於一Ti層上方之一金層用作第二導電層320。在一些實施例中,Ti層之厚度在自約50nm至約200nm之一範圍內,且在其他實施例中在自約80nm至約120nm之一範圍內。在一些實施例中,金(Au)層之厚度在自約10nm至約400nm之一範圍內,且在其他實施例中在自約150nm 至約250nm之一範圍內。 In some embodiments, as shown in FIG. 6B , a second conductive layer 320 is formed in contact with the first conductive layer 130 formed on the inner wall of each of the TSV holes 120 . In some embodiments, the second conductive layer 320 is also formed on the inner wall of the TSV hole 120 in which the first conductive layer 130 has been formed. In some embodiments, the second conductive layer 320 is made of the same or different material as the first conductive layer 130 and includes one or more layers of Au, Ti, Cu, Ag and Ni. In certain embodiments, a gold layer formed over a Ti layer is used as the second conductive layer 320 . In some embodiments, the thickness of the Ti layer ranges from about 50 nm to about 200 nm, and in other embodiments ranges from about 80 nm to about 120 nm. In some embodiments, the gold (Au) layer has a thickness ranging from about 10 nm to about 400 nm, and in other embodiments from about 150 nm. to within a range of about 250nm.

在一些實施例中,在一Si晶圓上形成複數個MEMS裝置,且藉由在切割道390處鋸切(一切割操作)來將晶圓切割成個別MEMS裝置(晶片)。在一些實施例中,切割操作不完全切割支撐第二載體接合層305,如圖6B中所展示。藉由移除第二載體接合層305且因此移除第二載體基板300來釋放個別MEMS裝置。 In some embodiments, MEMS devices are formed on a Si wafer, and the wafer is diced into individual MEMS devices (wafers) by sawing at dicing streets 390 (a dicing operation). In some embodiments, the cutting operation does not completely cut the supporting second carrier bonding layer 305, as shown in Figure 6B. The individual MEMS devices are released by removing the second carrier bonding layer 305 and thus the second carrier substrate 300 .

在一些實施例中,在形成第二導電層320之後執行切割操作。在此一情況中,不在MEMS裝置之側面(切割面)上形成導電層。在其他實施例中,在形成第二導電層320之前執行切割操作。在此一情況中,亦在MEMS裝置之側面處形成第二導電層320。 In some embodiments, the cutting operation is performed after forming the second conductive layer 320 . In this case, no conductive layer is formed on the sides (cut surfaces) of the MEMS device. In other embodiments, the cutting operation is performed before forming the second conductive layer 320 . In this case, the second conductive layer 320 is also formed at the sides of the MEMS device.

在一些實施例中,在移除第二載體基板300及第二載體接合層305之後,將個別MEMS裝置附接於一框架400上,如圖6C中所展示。如圖6C中所展示,藉由移除第二載體基板300及第二載體接合層305來暴露TSV孔120,使得一電子束或一光線可穿過。 In some embodiments, after removing the second carrier substrate 300 and the second carrier bonding layer 305, the individual MEMS devices are attached on a frame 400, as shown in FIG. 6C. As shown in FIG. 6C , the TSV holes 120 are exposed by removing the second carrier substrate 300 and the second carrier bonding layer 305 so that an electron beam or a light beam can pass through.

圖7A展示MEMS裝置之一平面圖,且圖7B展示周邊區域PR處之一接合墊結構之一橫截面圖。如圖7A之平面圖中所展示,MEMS裝置具有一中心區域CR及包圍中心區域之一周邊區域PR 。TSV孔120及導電層210/215放置於中心區域CR中。在周邊區域PR中,形成一或多個凸塊下墊電極250以將形成於電路基板20中之電子電路連接至MEMS裝置外部之一或多個電路。在一些實施例中,周邊區域PR在平面圖中不與凹槽35重疊。在其他實施例中,周邊區域PR在平面圖中與凹槽35部分重疊。 FIG. 7A shows a plan view of a MEMS device, and FIG. 7B shows a cross-sectional view of a bonding pad structure at the peripheral region PR. As shown in the plan view of FIG. 7A, the MEMS device has a central region CR and a peripheral region PR surrounding the central region. TSV holes 120 and conductive layers 210/215 are placed in the central region CR. In the peripheral region PR, one or more under-bump electrodes 250 are formed to connect the electronic circuit formed in the circuit substrate 20 to one or more circuits outside the MEMS device. In some embodiments, the peripheral region PR does not overlap the groove 35 in plan view. In other embodiments, the peripheral region PR partially overlaps the groove 35 in plan view.

凸塊下墊電極250形成於電路基板20之前側上。在一些實 施例中,凸塊下墊電極250在周邊區域PR中配置成一矩陣。在一些實施例中,一球凸塊260放置於凸塊下墊電極250之各者上。在一些實施例中,在凹槽蝕刻(如圖6A中所展示)之前形成凸塊下墊電極250。在一些實施例中,在經由氧化物熔合接合來將支撐基板30附接至電路基板20(如圖4A及圖4B中所展示)之後形成凸塊下墊電極250。 The under-bump electrode 250 is formed on the front side of the circuit substrate 20 . in some real In an embodiment, the pad electrodes 250 are arranged in a matrix in the peripheral region PR. In some embodiments, a ball bump 260 is placed on each of the under-bump electrodes 250 . In some embodiments, the under-bump electrode 250 is formed prior to the recess etch (as shown in FIG. 6A ). In some embodiments, the under-bump electrode 250 is formed after the support substrate 30 is attached to the circuit substrate 20 via oxide fusion bonding, as shown in FIGS. 4A and 4B .

在一些實施例中,凸塊下墊電極250形成於一金屬墊225上,金屬墊225嵌入於一層間介電層230中且由電子電路之最上金屬層(例如第8金屬層級至第12金屬層級)形成。在一些實施例中,金屬墊225包含導電材料之一或多個層。在一些實施例中,金屬墊225包含Cu或Cu合金。 In some embodiments, the under-bump electrode 250 is formed on a metal pad 225 embedded in the interlayer dielectric layer 230 and composed of the uppermost metal layer of the electronic circuit (such as the 8th metal level to the 12th metal level). level) is formed. In some embodiments, metal pad 225 includes one or more layers of conductive material. In some embodiments, metal pad 225 includes Cu or a Cu alloy.

此外,如圖7B中所展示,凸塊下墊電極250包含導電材料之多個層。在一些實施例中,凸塊下墊電極250包含一第一金屬層252、一第二金屬層254、一第三金屬層256及一第四金屬層258。在一些實施例中,第一金屬層係一TiW層,第二金屬層係一Cu層,第三金屬層係一Ni層,且第四金屬層係一Sn層。 Furthermore, as shown in FIG. 7B , the under-bump electrode 250 includes multiple layers of conductive material. In some embodiments, the pad electrode 250 includes a first metal layer 252 , a second metal layer 254 , a third metal layer 256 and a fourth metal layer 258 . In some embodiments, the first metal layer is a TiW layer, the second metal layer is a Cu layer, the third metal layer is a Ni layer, and the fourth metal layer is a Sn layer.

在一些實施例中,TiW層252之厚度在自約50nm至約1000nm之一範圍內,且在其他實施例中在自約100nm至約500nm之一範圍內。在一些實施例中,Cu層254之厚度在自約10nm至約2000nm之一範圍內,且在其他實施例中在自約500nm至約1000nm之一範圍內。在一些實施例中,Ni層256之厚度在自約1000nm至約5000nm之一範圍內,且在其他實施例中在自約2500nm至約3500nm之一範圍內。在一些實施例中,Sn層258之厚度在自約500nm至約4000nm之一範圍內,且在其他實施例中在自約1500nm至約2500nm之一範圍內。金屬層由CVD、物理汽相沈積(PVD)(其包含濺鍍、鍍覆或任何其他適合膜形成方法)及微影及蝕 刻操作之一或多者形成。 In some embodiments, the thickness of TiW layer 252 is in a range from about 50 nm to about 1000 nm, and in other embodiments in a range from about 100 nm to about 500 nm. In some embodiments, Cu layer 254 has a thickness in a range from about 10 nm to about 2000 nm, and in other embodiments in a range from about 500 nm to about 1000 nm. In some embodiments, Ni layer 256 has a thickness in a range from about 1000 nm to about 5000 nm, and in other embodiments in a range from about 2500 nm to about 3500 nm. In some embodiments, the thickness of Sn layer 258 is in a range from about 500 nm to about 4000 nm, and in other embodiments in a range from about 1500 nm to about 2500 nm. The metal layer is formed by CVD, physical vapor deposition (PVD) (which includes sputtering, plating, or any other suitable film forming method) and lithography and etching. One or more engraved operations form.

在一些實施例中,電子電路之表面由一或多個鈍化層覆蓋。在一些實施例中,鈍化層包含一第一鈍化層242、一第二鈍化層244及一第三鈍化層246。凸塊下墊電極250形成於鈍化層中所形成之一開口中,如圖7B中所展示。在一些實施例中,第一鈍化層242係SiC層,第二鈍化層244係氧化矽層,且第三鈍化層246係氮化矽層。 In some embodiments, the surface of the electronic circuit is covered by one or more passivation layers. In some embodiments, the passivation layer includes a first passivation layer 242 , a second passivation layer 244 and a third passivation layer 246 . The under bump pad electrode 250 is formed in an opening formed in the passivation layer, as shown in FIG. 7B . In some embodiments, the first passivation layer 242 is a SiC layer, the second passivation layer 244 is a silicon oxide layer, and the third passivation layer 246 is a silicon nitride layer.

圖8展示根據本發明之一實施例之MEMS裝置之一使用。在一些實施例中,MEMS裝置10用於一電子或一電磁波微影。在一些實施例中,使電子束(或EUV線)500自電路基板20之前側輸入至MEMS裝置10。形成於電路基板20中之電子電路獨立控制施加於形成於TSV孔120之各者之內壁上之導電層(例如第一導電層130)之電壓。藉由調整施加於TSV孔120中之導電層之電壓,電子束500之一部分穿過TSV孔之一或多者且電子束500之一部分不穿過TSV孔。將穿過TSV孔之電子束之部分導引至其上形成一光阻層之一晶圓或一基板。在一些實施例中,晶圓係一半導體晶圓。在一些實施例中,基板用於一光遮罩,諸如一透明基板或一反射基板。藉由控制電子電路來控制使電子束穿過之TSV孔120之位置,且因此可在光阻圖案上繪製一所要形狀。 Figure 8 shows one use of a MEMS device according to one embodiment of the invention. In some embodiments, MEMS device 10 is used in an electron or an electromagnetic lithography. In some embodiments, the electron beam (or EUV line) 500 is input to the MEMS device 10 from the front side of the circuit substrate 20 . The electronic circuit formed in the circuit substrate 20 independently controls the voltage applied to the conductive layer (eg, the first conductive layer 130 ) formed on the inner wall of each of the TSV holes 120 . By adjusting the voltage applied to the conductive layer in the TSV holes 120, a portion of the electron beam 500 passes through one or more of the TSV holes and a portion of the electron beam 500 does not pass through the TSV holes. The portion of the electron beam passing through the TSV aperture is directed to a wafer or a substrate on which a photoresist layer is formed. In some embodiments, the wafer is a semiconductor wafer. In some embodiments, the substrate is used for a light shield, such as a transparent substrate or a reflective substrate. The position of the TSV hole 120 through which the electron beam passes is controlled by controlling the electronic circuitry, and thus a desired shape can be drawn on the photoresist pattern.

在其他實施例中,使用一絕緣體上矽(SOI)晶圓。在此一情況中,省略一熔合接合程序,且一SOI晶圓之氧化物層充當凹槽蝕刻中之一蝕刻停止層。圖9A、圖9B、圖9C及圖9D展示根據本發明之一實施例之一MEMS裝置之一製造操作之各種階段之示意性橫截面圖。應瞭解,可在由圖9A至圖9D展示之程序之前、該等程序期間及該等程序之後提供額外操作,且可針對方法之額外實施例來替換或消除下文將描述之一些操 作。操作/程序之順序可互換。相對於圖1A至圖7B所描述之材料、組態、尺寸及程序可應用於以下實施例且可省略其詳細描述。 In other embodiments, a silicon-on-insulator (SOI) wafer is used. In this case, a fusion bonding procedure is omitted, and the oxide layer of an SOI wafer acts as an etch stop layer in the recess etch. 9A, 9B, 9C and 9D show schematic cross-sectional views of various stages of a fabrication operation of a MEMS device according to an embodiment of the invention. It should be appreciated that additional operations may be provided before, during, and after the procedures shown by FIGS. 9A-9D , and that some of the operations described below may be replaced or eliminated for additional embodiments of the method. do. The order of operations/procedures may be interchanged. The materials, configurations, dimensions, and procedures described with respect to FIGS. 1A-7B are applicable to the following embodiments and detailed descriptions thereof may be omitted.

SOI基板包含一裝置層(半導體層)20'、氧化物層40'及一塊體層(半導體基板)30',如圖9A中所展示。 The SOI substrate includes a device layer (semiconductor layer) 20', an oxide layer 40' and a bulk layer (semiconductor substrate) 30', as shown in FIG. 9A.

如圖9A中所展示,在裝置層20'之一前表面區域中形成一CMOS電路25。在裝置層20'之前表面上方形成一或多個鈍化膜28。在一些實施例中,一或多個鈍化膜28包含氧化矽、氮化矽或一有機膜。在一些實施例中,形成穿過裝置層20'之由一填充材料140填充之TSV孔120。此外,在裝置層之前側上及TSV孔中形成一或多個第一導電層50,如圖9A中所展示。 As shown in FIG. 9A, a CMOS circuit 25 is formed in a front surface region of the device layer 20'. One or more passivation films 28 are formed over the front surface of device layer 20'. In some embodiments, one or more passivation films 28 include silicon oxide, silicon nitride, or an organic film. In some embodiments, TSV holes 120 filled with a filling material 140 are formed through the device layer 20'. In addition, one or more first conductive layers 50 are formed on the front side of the device layer and in the TSV holes, as shown in Figure 9A.

接著,如圖9B中所展示,藉由使用一或多個微影及蝕刻操作來使塊體層30'之後側凹進。在一些實施例中,蝕刻操作包含電漿乾式蝕刻或濕式實例。在一些實施例中,濕式蝕刻利用氫氧化四甲基銨(TMAH)或KOH溶液。 Next, as shown in FIG. 9B, the rear side of the bulk layer 30' is recessed by using one or more lithography and etching operations. In some embodiments, the etching operation includes plasma dry etching or wet etching. In some embodiments, the wet etch utilizes tetramethylammonium hydroxide (TMAH) or KOH solutions.

在一些實施例中,氧化物層40'充當用於形成凹槽35之一蝕刻停止層,如圖9B中所展示。 In some embodiments, oxide layer 40' acts as an etch stop for forming recess 35, as shown in Figure 9B.

在凹槽蝕刻停止於氧化物層40'處之後,由一或多個乾式蝕刻或濕式蝕刻操作進一步蝕刻氧化物層40'。在蝕刻氧化物層40'期間,亦自TSV孔120移除填充材料層140,如圖9C中所展示。 After the recess etch stops at the oxide layer 40', the oxide layer 40' is further etched by one or more dry etch or wet etch operations. During etching of oxide layer 40', fill material layer 140 is also removed from TSV hole 120, as shown in Figure 9C.

在一些實施例中,在塊體層30'之後側上形成一或多個第二導電層55,如圖9D中所展示。 In some embodiments, one or more second conductive layers 55 are formed on the rear side of bulk layer 30', as shown in Figure 9D.

在本發明之實施例中,藉由經由氧化物熔合接合之氧化矽接合層或使用一SOI基板接合一電路基板及一支撐基板來形成一MEMS裝 置。當蝕刻支撐基板以形成一凹槽時,氧化物接合層(氧化物層)亦充當用於一電漿乾式蝕刻之一蝕刻停止層,且因此保護形成於電路基板中之電子電路系統免受由電漿蝕刻引起之損壞。因為氧化矽接合層可由濕式蝕刻操作移除,所以氧化矽接合層之移除程序不引起形成於電路基板中之電子電路系統受損。 In an embodiment of the present invention, a MEMS device is formed by bonding a silicon oxide bonding layer through oxide fusion bonding or using an SOI substrate to bond a circuit substrate and a support substrate. place. The oxide bonding layer (oxide layer) also acts as an etch stop layer for a plasma dry etch when the support substrate is etched to form a recess, and thus protects the electronic circuitry formed in the circuit substrate from being damaged by Damage caused by plasma etching. Since the silicon oxide bonding layer can be removed by a wet etching operation, the removal process of the silicon oxide bonding layer does not cause damage to the electronic circuitry formed in the circuit substrate.

本文中所描述之各種實施例或實例提供相較於既有技術之若干優點,如上文所闡述。應瞭解,本文中未必討論所有優點,非所有實施例或實例需要特定優點,且其他實施例或實例可提供不同優點。 The various embodiments or examples described herein offer several advantages over the prior art, as set forth above. It should be appreciated that not all advantages are necessarily discussed herein, that not all embodiments or examples require a particular advantage, and that other embodiments or examples may provide different advantages.

根據本發明之一態樣,一種微機電系統(MEMS)包含:一電路基板,其包括電子電路系統;一支撐基板,其具有一凹槽;一接合層,其放置於該電路基板與該支撐基板之間;數個通孔,其等穿過該電路基板而至開口;一第一導電層,其放置於該電路基板之一前側上;一第二導電層,其放置於該凹槽之一內壁上;及一第三導電層,其放置於該等通孔之各者之一內壁上。在上述及以下實施例之一或多者中,該接合層包含氧化矽。在上述及以下實施例之一或多者中,在該凹槽中,不放置接合層且該電路基板之一底部與該第二導電層接觸。在上述及以下實施例之一或多者中,該電路基板包含具有不同組態之電極。在上述及以下實施例之一或多者中,該等電極包含其等之各者上放置一第一柱電極之第一電極及其等之各者上放置一第二柱電極之第二電極,且該第一柱電極之一高度不同於該第二柱電極之一高度。在上述及以下實施例之一或多者中,該第一柱電極與該第二柱電極之間的一高度差在自10μm至30μm之一範圍內。在上述及以下實施例之一或多者中,在平面圖中,該電路基板包括其中提供該等通孔之一中心區域及包圍該中心區域之一周邊區域,且具有不同於該 電極之組態之複數個凸塊下墊電極放置於該周邊區域中。在上述及以下實施例之一或多者中,該周邊區域在平面圖中不與該凹槽重疊。 According to an aspect of the present invention, a micro-electromechanical system (MEMS) includes: a circuit substrate, which includes an electronic circuit system; a support substrate, which has a groove; a bonding layer, which is placed between the circuit substrate and the support Between the substrates; several through holes, which pass through the circuit substrate to the opening; a first conductive layer, which is placed on a front side of the circuit substrate; a second conductive layer, which is placed on the groove on an inner wall; and a third conductive layer disposed on an inner wall of each of the through holes. In one or more of the above and following embodiments, the bonding layer includes silicon oxide. In one or more of the above and following embodiments, no bonding layer is placed in the groove and a bottom of the circuit substrate is in contact with the second conductive layer. In one or more of the above and following embodiments, the circuit substrate includes electrodes having different configurations. In one or more of the above and following embodiments, the electrodes include a first electrode on each of which places a first pillar electrode and each of which places a second electrode on which a second pillar electrode , and a height of the first pillar electrode is different from a height of the second pillar electrode. In one or more of the above and following embodiments, a height difference between the first pillar electrode and the second pillar electrode is in a range from 10 μm to 30 μm. In one or more of the above and following embodiments, in plan view, the circuit substrate includes a central area in which the through holes are provided and a peripheral area surrounding the central area, and has a shape different from the A plurality of under-bump electrodes of the electrode configuration are placed in the peripheral area. In one or more of the above and following embodiments, the peripheral region does not overlap the groove in plan view.

根據本發明之另一態樣,在一種製造一微機電系統(MEMS)之方法中,在一第一基板之一前側上方形成電子電路系統,形成穿透至該第一基板中之一或多個孔,由一填充材料填充該等孔,薄化該第一基板之一後側以暴露該等填充孔之部分,由一接合層將一第二基板接合至該第一基板之該後側,該接合層插入於該第二基板與該第一基板之該後側之間,且在該第二基板中形成一凹槽以暴露該第一基板之一底部。在上述及以下實施例之一或多者中,該接合層係氧化矽。在上述及以下實施例之一或多者中,在該第一基板之該後側上形成該接合層。在上述及以下實施例之一或多者中,在該第二基板上形成該接合層。在上述及以下實施例之一或多者中,當形成該凹槽時,藉由電漿乾式蝕刻來蝕刻該第二基板之一部分以暴露該接合層但不蝕刻該第一基板,且藉由一蝕刻來蝕刻該接合層,該蝕刻自該第一基板選擇性移除該接合層。在上述及以下實施例之一或多者中,在蝕刻該接合層時,亦自該等孔移除該填充材料以藉此形成通孔。在上述及以下實施例之一或多者中,在該第一基板之該前側上方及該等孔之各者之內壁上形成一第一導電層,且在該凹槽之一內壁上方形成一第二導電層。在上述及以下實施例之一或多者中,該第一導電層及該第二導電層之至少一者係一Ti層上一Au層之一堆疊層。在上述及以下實施例之一或多者中,該等孔在平面圖中配置成一矩陣。 According to another aspect of the invention, in a method of fabricating a microelectromechanical system (MEMS), electronic circuitry is formed over a front side of a first substrate, forming one or more holes, filling the holes with a filling material, thinning a rear side of the first substrate to expose portions of the filled holes, bonding a second substrate to the rear side of the first substrate by a bonding layer , the bonding layer is interposed between the second substrate and the rear side of the first substrate, and a groove is formed in the second substrate to expose a bottom of the first substrate. In one or more of the above and following embodiments, the bonding layer is silicon oxide. In one or more of the above and following embodiments, the bonding layer is formed on the rear side of the first substrate. In one or more of the above and following embodiments, the bonding layer is formed on the second substrate. In one or more of the above and following embodiments, when forming the groove, a portion of the second substrate is etched by plasma dry etching to expose the bonding layer but the first substrate is not etched, and by An etch to etch the bonding layer selectively removes the bonding layer from the first substrate. In one or more of the above and following embodiments, when etching the bonding layer, the fill material is also removed from the holes to thereby form vias. In one or more of the above and following embodiments, a first conductive layer is formed over the front side of the first substrate and on the inner walls of each of the holes, and over an inner wall of the groove A second conductive layer is formed. In one or more of the above and following embodiments, at least one of the first conductive layer and the second conductive layer is a stacked layer of an Au layer on a Ti layer. In one or more of the above and below embodiments, the holes are arranged in a matrix in plan view.

根據本發明之另一態樣,在一種製造一微機電系統(MEMS)之方法中,在一第一基板之一前側上方形成電子電路系統,在該第一基板上方形成電極,在除形成該等電極之外的區域處形成穿透至該第 一基板中之一或多個孔,由一填充材料填充該等孔,薄化該第一基板之一後側以暴露該等填充孔之部分,由氧化矽製成之一接合層將一第二基板接合至該第一基板之該後側,該接合層插入於該第二基板與該第一基板之該後側之間,分別在該等電極上方形成柱電極,且在該第二基板中形成一凹槽以暴露該第一基板之一底部。在上述及以下實施例之一或多者中,當形成該凹槽時,藉由電漿乾式蝕刻來蝕刻該第二基板之一部分以暴露該接合層但不蝕刻該第一基板,且藉由濕式蝕刻來蝕刻該接合層。在上述及以下實施例之一或多者中,由一或多個鍍覆操作形成該等柱。 According to another aspect of the invention, in a method of fabricating a microelectromechanical system (MEMS), electronic circuitry is formed over a front side of a first substrate, electrodes are formed over the first substrate, and in addition to forming the Formation of penetration to the second electrode at the region other than One or more holes in a substrate, the holes are filled with a filling material, a rear side of the first substrate is thinned to expose portions of the filled holes, a bonding layer made of silicon oxide connects a first Two substrates are bonded to the rear side of the first substrate, the bonding layer is interposed between the second substrate and the rear side of the first substrate, post electrodes are respectively formed above the electrodes, and on the second substrate A groove is formed in the middle to expose a bottom of the first substrate. In one or more of the above and following embodiments, when forming the groove, a portion of the second substrate is etched by plasma dry etching to expose the bonding layer but the first substrate is not etched, and by Wet etching is used to etch the bonding layer. In one or more of the above and following embodiments, the posts are formed by one or more plating operations.

上文已概述若干實施例或實例之特徵,使得熟習技術者可較佳理解本發明之態樣。熟習技術者應瞭解,其可易於將本揭示用作設計或修改其他程序及結構之一基礎以實施相同於本文中所引入之實施例或實例之目的及/或達成相同於本文中所引入之實施例或實例之優點。熟習技術者亦應認知,此等等效建構不應背離本發明之精神及範疇,且其可在不背離本發明之精神及範疇的情況下對本文作出各種改變、替代及更改。 The features of several embodiments or examples have been summarized above, so that those skilled in the art can better understand aspects of the present invention. Those skilled in the art will appreciate that they can readily use this disclosure as a basis for designing or modifying other programs and structures to carry out the same purposes and/or achieve the same purposes as the embodiments or examples introduced herein. Advantages of an embodiment or example. Those skilled in the art should also recognize that such equivalent constructions should not depart from the spirit and scope of the present invention, and that they can make various changes, substitutions and changes herein without departing from the spirit and scope of the present invention.

10C:微機電系統(MEMS)裝置 10C: Micro-Electro-Mechanical Systems (MEMS) Devices

20:電路基板 20: Circuit board

25:電子電路/電子電路系統/互補式金屬氧化物半導體(CMOS)電路 25: Electronic Circuits/Electronic Circuit Systems/Complementary Metal Oxide Semiconductor (CMOS) Circuits

30:支撐基板 30: Support substrate

35:開口/腔/凹槽 35: Opening/cavity/groove

40:接合層 40: joint layer

50:第一導電層 50: The first conductive layer

55:第二導電層 55: Second conductive layer

57:第三導電層 57: The third conductive layer

60:通孔 60: Through hole

L1:距離 L1: distance

L2:距離 L2: Distance

L3:距離 L3: Distance

T1:厚度 T1: Thickness

T2:總厚度 T2: total thickness

Claims (10)

一種微機電系統(MEMS),其包括:一電路基板,其包括電子電路系統;一支撐基板,其具有一凹槽;一接合層,其放置於該電路基板與該支撐基板之間;數個通孔,其等穿過該電路基板而至開口;一第一導電層,其放置於該電路基板之一前側上;一第二導電層,其放置於該凹槽之一內壁上;及一第三導電層,其放置於該等通孔之各者之一內壁上。 A micro-electromechanical system (MEMS), which includes: a circuit substrate, which includes an electronic circuit system; a support substrate, which has a groove; a bonding layer, which is placed between the circuit substrate and the support substrate; several through holes passing through the circuit substrate to openings; a first conductive layer placed on a front side of the circuit substrate; a second conductive layer placed on an inner wall of the groove; and A third conductive layer is disposed on an inner wall of each of the through holes. 如請求項1之MEMS,其中在該凹槽中,不放置接合層且該電路基板之一底部與該第二導電層接觸。 The MEMS according to claim 1, wherein no bonding layer is placed in the groove and a bottom of the circuit substrate is in contact with the second conductive layer. 如請求項1之MEMS,其中:該電路基板包含具有不同組態之電極,該等電極包含其等之各者上放置一第一柱電極之第一電極及其等之各者上放置一第二柱電極之第二電極,且該第一柱電極之一高度不同於該第二柱電極之一高度。 The MEMS as claimed in claim 1, wherein: the circuit substrate includes electrodes with different configurations, the electrodes include a first electrode on each of them, a first post electrode on each of them, and a first column electrode on each of them The second electrode of the two pillar electrodes, and the height of the first pillar electrode is different from the height of the second pillar electrode. 如請求項3之MEMS,其中:以俯視觀之,該電路基板包括其中提供該等通孔之一中心區域及包圍該中心區域之一周邊區域,且 具有不同於該電極之一組態之複數個凸塊下墊電極放置於該周邊區域中。 The MEMS of claim 3, wherein: viewed from above, the circuit substrate includes a central area in which the through holes are provided and a peripheral area surrounding the central area, and A plurality of under-bump electrodes having a configuration different from the electrode is placed in the peripheral region. 一種製造一微機電系統(MEMS)之方法,其包括:在一電路基板之一前側上方形成電子電路系統;形成穿透至該電路基板中之一或多個孔;由一填充材料填充該等孔;薄化該電路基板之一後側以暴露該等填充孔之部分;由一接合層將一支撐基板接合至該電路基板之該後側,該接合層插入於該支撐基板與該電路基板之該後側之間;在該支撐基板中形成一凹槽以暴露該電路基板之一底部;在該電路基板之該前側上方及該等孔之各者之內壁上形成一第一導電層;及在該凹槽之一內壁上方形成一第二導電層。 A method of manufacturing a microelectromechanical system (MEMS), comprising: forming electronic circuitry over a front side of a circuit substrate; forming one or more holes penetrating into the circuit substrate; filling the holes with a filling material holes; thinning a rear side of the circuit substrate to expose portions filling the holes; bonding a supporting substrate to the rear side of the circuit substrate by a bonding layer interposed between the supporting substrate and the circuit substrate between the rear sides; forming a groove in the support substrate to expose a bottom of the circuit substrate; forming a first conductive layer above the front side of the circuit substrate and on the inner walls of each of the holes ; and forming a second conductive layer over one of the inner walls of the groove. 如請求項5之方法,其中在該支撐基板上形成該接合層。 The method of claim 5, wherein the bonding layer is formed on the support substrate. 如請求項5之方法,其中由以下操作形成該凹槽:藉由電漿乾式蝕刻來蝕刻該支撐基板之一部分以暴露該接合層且不蝕刻該電路基板;及藉由一蝕刻來蝕刻該接合層,該蝕刻自該電路基板選擇性移除該接合層,且自該等孔移除該填充材料以藉此形成通孔。 The method of claim 5, wherein the groove is formed by: etching a part of the supporting substrate by plasma dry etching to expose the bonding layer and not etching the circuit substrate; and etching the bonding by an etching layer, the etching selectively removes the bonding layer from the circuit substrate, and removes the fill material from the holes to thereby form vias. 如請求項5之方法,其中在該電路基板之該後側上形成該接合層。 The method of claim 5, wherein the bonding layer is formed on the rear side of the circuit substrate. 一種製造一微機電系統(MEMS)之方法,其包括:在一電路基板之一前側上方形成電子電路系統;在該電路基板上方形成電極;在除形成該等電極之外的區域處形成穿透至該電路基板中之一或多個孔;由一填充材料填充該等孔;薄化該電路基板之一後側以暴露該等填充孔之部分;由氧化矽製成之一接合層將一支撐基板接合至該電路基板之該後側,該接合層插入於該支撐基板與該電路基板之該後側之間;分別在該等電極上方形成柱電極;在該支撐基板中形成一凹槽以暴露該電路基板之一底部;在該電路基板之該前側上方及該等孔之各者之內壁上形成一第一導電層;及在該凹槽之一內壁上方形成一第二導電層。 A method of manufacturing a microelectromechanical system (MEMS), comprising: forming electronic circuitry over a front side of a circuit substrate; forming electrodes over the circuit substrate; forming through-holes at areas other than where the electrodes are formed to one or more holes in the circuit substrate; filling the holes with a filling material; thinning a rear side of the circuit substrate to expose portions of the filled holes; bonding a bonding layer made of silicon oxide to a a supporting substrate is bonded to the rear side of the circuit substrate, the bonding layer is interposed between the supporting substrate and the rear side of the circuit substrate; post electrodes are respectively formed above the electrodes; a groove is formed in the supporting substrate to expose a bottom of the circuit substrate; form a first conductive layer over the front side of the circuit substrate and on the inner walls of each of the holes; and form a second conductive layer over an inner wall of the groove Floor. 如請求項9之方法,其中由以下操作形成該凹槽:藉由電漿乾式蝕刻來蝕刻該支撐基板之一部分以暴露該接合層且不蝕刻該電路基板;及藉由濕式蝕刻來蝕刻該接合層。 The method of claim 9, wherein the groove is formed by: etching a part of the support substrate by plasma dry etching to expose the bonding layer and not etching the circuit substrate; and etching the circuit substrate by wet etching bonding layer.
TW110101483A 2020-02-27 2021-01-14 Micro-electro mechanical system and manufacturing method thereof TWI783346B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062982712P 2020-02-27 2020-02-27
US62/982,712 2020-02-27
US202017023911A 2020-09-17 2020-09-17
US17/023,911 2020-09-17

Publications (2)

Publication Number Publication Date
TW202132205A TW202132205A (en) 2021-09-01
TWI783346B true TWI783346B (en) 2022-11-11

Family

ID=77270938

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101483A TWI783346B (en) 2020-02-27 2021-01-14 Micro-electro mechanical system and manufacturing method thereof

Country Status (4)

Country Link
KR (1) KR102472846B1 (en)
CN (1) CN113307222B (en)
DE (1) DE102020125201A1 (en)
TW (1) TWI783346B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11851321B2 (en) * 2021-03-01 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Micro-electro mechanical system and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI546246B (en) * 2013-03-14 2016-08-21 台灣積體電路製造股份有限公司 Mems pressure sensor and microphone devices having through-vias and methods of forming same
US9666787B2 (en) * 2013-05-01 2017-05-30 Sony Corporation Sensor device and electronic apparatus
TW201731054A (en) * 2016-02-25 2017-09-01 台灣積體電路製造股份有限公司 Semiconductor package and rework process for the same
TW201941809A (en) * 2018-02-26 2019-11-01 美商應美盛股份有限公司 Piezoelectric micromachined ultrasound transducer device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE511377C2 (en) * 1996-12-19 1999-09-20 Ericsson Telefon Ab L M via structure
JP3834271B2 (en) * 2002-07-16 2006-10-18 キヤノン株式会社 Multi-charged beam lens, charged particle beam exposure apparatus and device manufacturing method using the same
DE102009028177A1 (en) * 2009-07-31 2011-02-10 Robert Bosch Gmbh Component having a micromechanical microphone structure and method for producing such a component
JP2013232483A (en) * 2012-04-27 2013-11-14 Koito Mfg Co Ltd Circuit board and manufacturing method of the same
US9516428B2 (en) * 2013-03-14 2016-12-06 Infineon Technologies Ag MEMS acoustic transducer, MEMS microphone, MEMS microspeaker, array of speakers and method for manufacturing an acoustic transducer
US9682854B2 (en) * 2015-04-10 2017-06-20 Memsic, Inc Wafer level chip scale packaged micro-electro-mechanical-system (MEMS) device and methods of producing thereof
TWI629759B (en) * 2016-03-01 2018-07-11 精材科技股份有限公司 Chip package and method for forming the same
US9796582B1 (en) * 2016-11-29 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for integrating complementary metal-oxide-semiconductor (CMOS) devices with microelectromechanical systems (MEMS) devices using a flat surface above a sacrificial layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI546246B (en) * 2013-03-14 2016-08-21 台灣積體電路製造股份有限公司 Mems pressure sensor and microphone devices having through-vias and methods of forming same
US9666787B2 (en) * 2013-05-01 2017-05-30 Sony Corporation Sensor device and electronic apparatus
TW201731054A (en) * 2016-02-25 2017-09-01 台灣積體電路製造股份有限公司 Semiconductor package and rework process for the same
TW201941809A (en) * 2018-02-26 2019-11-01 美商應美盛股份有限公司 Piezoelectric micromachined ultrasound transducer device

Also Published As

Publication number Publication date
TW202132205A (en) 2021-09-01
KR102472846B1 (en) 2022-12-01
KR20210110173A (en) 2021-09-07
CN113307222B (en) 2024-05-28
DE102020125201A1 (en) 2021-09-02
CN113307222A (en) 2021-08-27

Similar Documents

Publication Publication Date Title
US7393758B2 (en) Wafer level packaging process
US8754529B2 (en) MEMS device with simplified electrical conducting paths
US9862593B2 (en) MEMS-CMOS device that minimizes outgassing and methods of manufacture
TWI612592B (en) Apparatus and method for preventing stiction of mems devices encapsulated by active circuitry
CN101213142A (en) A method of manufacturing a mems element
CN111151431A (en) Piezoelectric micromechanical ultrasonic transducer and method for producing same
US9278853B2 (en) Manufacturing process of MEMS device
TWI783346B (en) Micro-electro mechanical system and manufacturing method thereof
CA2752746C (en) Mems device with integrated via and spacer
US20240083742A1 (en) Micro-electro mechanical system and manufacturing method thereof
TWI782345B (en) Dual micro-electro mechanical system and manufacturing method thereof
TWI809366B (en) Micro-electro mechanical system and manufacturing method thereof
KR102503921B1 (en) Micro-electro mechanical system and manufacturing method thereof
US20190088598A1 (en) Method for forming through substrate vias in a trench
US9330874B2 (en) Solder bump sealing method and device
CN112744779A (en) Micro-electro-mechanical system and method of manufacturing the same