TWI780118B - Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch - Google Patents

Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch Download PDF

Info

Publication number
TWI780118B
TWI780118B TW107106750A TW107106750A TWI780118B TW I780118 B TWI780118 B TW I780118B TW 107106750 A TW107106750 A TW 107106750A TW 107106750 A TW107106750 A TW 107106750A TW I780118 B TWI780118 B TW I780118B
Authority
TW
Taiwan
Prior art keywords
feature
protective film
deposited
substrate
deposition
Prior art date
Application number
TW107106750A
Other languages
Chinese (zh)
Other versions
TW201842225A (en
Inventor
尼基 朵爾
艾瑞克 A 哈得森
喬治 馬踏米斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/449,799 external-priority patent/US10170324B2/en
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201842225A publication Critical patent/TW201842225A/en
Application granted granted Critical
Publication of TWI780118B publication Critical patent/TWI780118B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Abstract

Methods, apparatus and systems for forming a recessed feature in dielectric material on a semiconductor substrate are provided. Separate etching and deposition operations are employed in a cyclic manner. Each etching operation partially etches the feature. Each deposition operation forms a protective film on the sidewalls of the feature to prevent lateral etch of the dielectric material during the etching operations. The protective film may be deposited under different conditions (e.g., pressure, duration of reactant delivery, duration of plasma exposure, RF power, and/or RF duty cycle, etc.) in different deposition operations. Such conditions may affect the degree of conformality at which the protective film forms. In various embodiments, one or more protective films may be sub-conformal. In these or other embodiments, one or more other protective films may be conformal.

Description

用於高深寬比圓筒狀物蝕刻之側壁保護層沉積保形的調節技術Conformal Tuning Techniques for Sidewall Protect Deposition for High Aspect Ratio Cylindrical Etch

本發明係關於用於高深寬比圓筒狀物蝕刻之側壁保護層沉積保形的調節技術。The present invention relates to a conformal tuning technique for sidewall protection layer deposition for high aspect ratio cylindrical etch.

在半導體元件製造期間常用的一種製程為在介電材料中形成已蝕刻的圓筒狀物。可進行此類製程之示例性背景包含,但不限於,記憶體應用,例如DRAM及3D NAND結構。隨著半導體產業進步及元件尺寸愈變愈小,更難以均勻的方式蝕刻此類圓筒狀物,尤其是對於寬度窄及∕或深度深的高深寬比圓筒狀物而言。One process commonly used during semiconductor device fabrication is to form etched cylinders in a dielectric material. Exemplary contexts in which such processes may be performed include, but are not limited to, memory applications such as DRAM and 3D NAND structures. As the semiconductor industry advances and device sizes become smaller, it becomes more difficult to etch such cylinders in a uniform manner, especially for high aspect ratio cylinders that are narrow in width and/or deep in depth.

本文中之某些實施例係關於,在半導體基板上之介電材料中形成已蝕刻的特徵部之方法及設備。所揭露的實施例可使用某些技術,以將保護膜沉積在已蝕刻的特徵部之側壁上,藉此允許蝕刻發生在高深寬比的情況下。在各種實施例中,可調整沉積製程,俾使較早執行的沉積與較晚執行的沉積係發生在不同的沉積條件下。以此方式,可對於沉積在已部分蝕刻的特徵部之側壁上之保護膜進行調整,如進一步蝕刻該特徵部時所需。在一範例中,可調整保護膜之保形(conformality),俾使在不同重複處理(iteration)時所沉積的保護膜具有不同的保形程度。保形上之差異容許保護膜僅僅沉積在最有利的區域中,例如在弧形部(bow)正在形成(或即將形成)的區域。Certain embodiments herein relate to methods and apparatus for forming etched features in dielectric materials on semiconductor substrates. The disclosed embodiments may use certain techniques to deposit a protective film on the sidewalls of etched features, thereby allowing etching to occur at high aspect ratios. In various embodiments, the deposition process can be adjusted such that depositions performed earlier and depositions performed later occur under different deposition conditions. In this way, the protective film deposited on the sidewalls of a partially etched feature can be tailored as needed to further etch the feature. In one example, the conformality of the protective film can be adjusted so that the deposited protective film has different degrees of conformality at different iterations. The difference in conformality allows the protective film to be deposited only in the most favorable areas, such as where the bow is (or is about to be) formed.

在所揭露的實施例之一態樣中,提供一種在包括介電材料之基板中形成已蝕刻的特徵部之方法,此方法包括:(a) 產生包括蝕刻反應物之第一電漿、使基板暴露至第一電漿、及部分地蝕刻特徵部在基板中;(b) 在 (a) 之後,在特徵部之側壁上沉積保護膜,其中保護膜之沉積係藉由電漿輔助原子層沉積反應,包括:(i) 使基板暴露至第一沉積反應物,並且使第一沉積反應物吸附至特徵部之側壁上;(ii) 在 (i) 之後,使基板暴露至第二電漿,第二電漿包括第二沉積反應物,其中使基板暴露至第二電漿之步驟驅使在第一沉積反應物與第二沉積反應物之間之一表面反應,藉此形成保護膜在特徵部之側壁上;及 (c) 重覆 (a) - (b) 直到特徵部被蝕刻至一最終深度,其中在 (b) 中所沉積的保護膜實質上避免在 (a) 期間之特徵部之橫向蝕刻,及其中特徵部在其最終深度處具有大約5或更大之深寬比。In an aspect of the disclosed embodiments, there is provided a method of forming etched features in a substrate comprising a dielectric material, the method comprising: (a) generating a first plasma comprising an etch reactant, causing exposing the substrate to the first plasma, and partially etching the feature in the substrate; (b) after (a), depositing a protective film on the sidewalls of the feature, wherein the protective film is deposited by plasma assisted atomic layer a deposition reaction comprising: (i) exposing the substrate to a first deposition reactant and allowing the first deposition reactant to adsorb to the sidewall of the feature; (ii) after (i), exposing the substrate to a second plasma , the second plasma includes a second deposition reactant, wherein the step of exposing the substrate to the second plasma drives a surface reaction between the first deposition reactant and the second deposition reactant, thereby forming a protective film at the feature and (c) repeating (a)-(b) until the feature is etched to a final depth in which the protective film deposited in (b) substantially prevents the feature from forming during (a) and wherein the feature has an aspect ratio of about 5 or greater at its final depth.

在所揭露的實施例之另一態樣中,提供一種在包括介電材料之基板中形成已蝕刻的特徵部之設備,此設備包括:一或多個反應腔室,其中至少一反應腔室係設計或配置以執行蝕刻,且至少一反應腔室係設計或配置以執行沉積,每一反應腔室包括:用以將複數處理氣體導入反應腔室之一入口、用以自反應腔室移除材料之一出口、及一電漿源;及一控制器,具有複數指令以用於:(a) 產生包括蝕刻反應物之第一電漿、使基板暴露至第一電漿、及部分地蝕刻特徵部在基板中,其中 (a) 係於設計或配置以執行蝕刻之反應腔室中進行;(b) 在 (a) 之後,在特徵部之側壁上沉積保護膜,其中保護膜之沉積係藉由電漿輔助原子層沉積反應,包括:(i) 使基板暴露至第一沉積反應物,並且使第一沉積反應物吸附至特徵部之側壁上;(ii) 在 (i) 之後,使基板暴露至第二電漿,第二電漿包括第二沉積反應物,其中使基板暴露至第二電漿之步驟驅使在第一沉積反應物與第二沉積反應物之間之表面反應,藉此形成保護膜在特徵部之側壁上,其中 (b) 係於設計或配置以執行沉積之反應腔室中進行;及 (c) 重覆 (a) - (b) 直到特徵部被蝕刻至一最終深度,其中在 (b) 中所沉積的保護膜實質上避免在 (a) 期間之特徵部之橫向蝕刻,及其中特徵部在其最終深度處具有大約5或更大之深寬比。In another aspect of the disclosed embodiments, an apparatus for forming etched features in a substrate comprising a dielectric material is provided, the apparatus comprising: one or more reaction chambers, wherein at least one reaction chamber is designed or configured to perform etching, and at least one reaction chamber is designed or configured to perform deposition, each reaction chamber includes: an inlet for introducing a plurality of process gases into the reaction chamber; an outlet for removing material, and a plasma source; and a controller having instructions for: (a) generating a first plasma including etch reactants, exposing the substrate to the first plasma, and, in part, etching a feature in a substrate, wherein (a) is performed in a reaction chamber designed or configured to perform the etching; (b) after (a), depositing a protective film on the sidewalls of the feature, wherein the deposition of the protective film A plasma-assisted atomic layer deposition reaction comprising: (i) exposing the substrate to a first deposition reactant, and allowing the first deposition reactant to adsorb to the sidewall of the feature; (ii) after (i), exposing the substrate to a second plasma, the second plasma comprising a second deposition reactant, wherein exposing the substrate to the second plasma drives a surface reaction between the first deposition reactant and the second deposition reactant, Thereby forming a protective film on the sidewalls of the feature, wherein (b) is performed in a reaction chamber designed or configured to perform the deposition; and (c) repeating (a)-(b) until the feature is etched to A final depth, wherein the protective film deposited in (b) substantially prevents lateral etching of the feature during (a), and wherein the feature has an aspect ratio of about 5 or greater at its final depth.

在所揭露的實施例之又一態樣中,提供一種在包括介電材料之基板中形成已蝕刻的特徵部之方法,此方法包括:(a) 產生包括蝕刻反應物之第一電漿、使基板暴露至第一電漿、及部分地蝕刻特徵部在基板中;(b) 在 (a) 之後,在特徵部之側壁上沉積保護膜;及 (c) 重覆 (a) - (b) 直到特徵部被蝕刻至一最終深度,其中特徵部在其最終深度處具有大約5或更大之深寬比,及其中在 (b) 之第一重複處理中所沉積之保護膜比在 (b) 之第二重複處理中所沉積之保護膜更為保形。In yet another aspect of the disclosed embodiments, there is provided a method of forming etched features in a substrate comprising a dielectric material, the method comprising: (a) generating a first plasma comprising an etch reactant, exposing the substrate to the first plasma, and partially etching the feature in the substrate; (b) after (a), depositing a protective film on the sidewalls of the feature; and (c) repeating (a)-(b ) until the feature is etched to a final depth, wherein the feature has an aspect ratio of about 5 or greater at its final depth, and wherein the protective film deposited in the first iteration of (b) is less than ( The protective film deposited in the second iteration of b) was more conformal.

在某些實施例中,(b) 之第一重複處理係實施於 (b) 之第二重複處理之前。在其它實施例中,(b) 之第一重複處理係實施於 (b) 之第二重複處理之後。在 (b) 之第一重複處理中所沉積之保護膜可為保形的(conformal),在 (b) 之第二重複處理中所沉積之保護膜可為次保形的(sub-conformal)。在某些此類情況中,在 (b) 之第二重複處理中所沉積之保護膜可能並未延伸至已部分蝕刻的特徵部之底部。In certain embodiments, the first iteration of (b) is performed prior to the second iteration of (b). In other embodiments, the first iteration of (b) is performed after the second iteration of (b). The protective film deposited in the first iteration of (b) may be conformal and the protective film deposited in the second iteration of (b) may be sub-conformal . In some of these cases, the protective film deposited in the second iteration of (b) may not extend to the bottom of the partially etched feature.

在各種實施例中,相較於在 (b) 之第二重複處理中所沉積之保護膜,在 (b) 之第一重複處理中所沉積之保護膜可在不同的條件下進行沉積。在一範例中,相較於在 (b) 之第二重複處理中所沉積之保護膜,在 (b) 之第一重複處理中所沉積之保護膜可以較高的壓力進行沉積。在這些或其它範例中,相較於在 (b) 之第二重複處理中所沉積之保護膜,在 (b) 之第一重複處理中所沉積之保護膜可以較低的反應物傳送速率進行沉積。在這些或其它範例中,相較於在 (b) 之第二重複處理中所沉積之保護膜,在 (b) 之第一重複處理中所沉積之保護膜可以較短的反應物傳送持續時間進行沉積。在這些或其它範例中,相較於在 (b) 之第二重複處理中所沉積之保護膜,在 (b) 之第一重複處理中所沉積之保護膜可以較短的電漿暴露持續時間進行沉積。在這些或其它範例中,相較於在 (b) 之第二重複處理中所沉積之保護膜,在 (b) 之第一重複處理中所沉積之保護膜可以較高的RF功率進行沉積。在這些或其它範例中,相較於在 (b) 之第二重複處理中所沉積之保護膜,在 (b) 之第一重複處理中所沉積之保護膜可以較高的RF工作週期進行沉積。在各種實施例中,在 (b) 之第一重複處理中所沉積之保護膜可使用第一組沉積條件而進行沉積,在 (b) 之第二重複處理中所沉積之保護膜可使用第二組沉積條件而進行沉積,第一組與第二組沉積條件在至少兩參數方面可為不同的,該至少兩參數係選自於由壓力、反應物傳送流率、反應物傳送持續時間、電漿暴露持續時間、RF功率及RF工作週期所構成之群組。在某些此類實施例中,第一組沉積條件可具有較低的反應物傳送流率及 (i) 較短的反應物傳送持續時間、及∕或 (ii) 較短的電漿暴露持續時間其中任一者。In various embodiments, the protective film deposited in the first iteration of (b) may be deposited under different conditions than the protective film deposited in the second iteration of (b). In one example, the protective film deposited in the first iteration of (b) can be deposited at a higher pressure than the protective film deposited in the second iteration of (b). In these or other examples, the protective film deposited in the first iteration of (b) may proceed at a lower reactant transport rate than the protective film deposited in the second iteration of (b) deposition. In these or other examples, the protective film deposited in the first iteration of (b) can have a shorter duration of reactant delivery than the protective film deposited in the second iteration of (b) to deposit. In these or other examples, the protective film deposited in the first iteration of (b) can be exposed to the plasma for a shorter duration than the protective film deposited in the second iteration of (b) to deposit. In these or other examples, the protective film deposited in the first iteration of (b) can be deposited at a higher RF power than the protective film deposited in the second iteration of (b). In these or other examples, the protective film deposited in the first iteration of (b) can be deposited at a higher RF duty cycle than the protective film deposited in the second iteration of (b) . In various embodiments, the protective film deposited in the first iteration of (b) can be deposited using the first set of deposition conditions, and the protective film deposited in the second iteration of (b) can be deposited using the first set of deposition conditions. The deposition is performed under two sets of deposition conditions, the first set and the second set of deposition conditions may differ in at least two parameters selected from the group consisting of pressure, reactant delivery flow rate, reactant delivery duration, The group consisting of plasma exposure duration, RF power, and RF duty cycle. In some such embodiments, the first set of deposition conditions may have a lower reactant delivery flow rate and (i) a shorter duration of reactant delivery, and/or (ii) a shorter duration of plasma exposure any of the times.

在某些實行例中,(a) 之至少一重複處理可導致一弧形部形成在特徵部內,及 (b) 之一後續重複處理可導致保護膜形成在至少如弧形部一般深的地方,但並未如特徵部一般深。保護膜可藉由數個不同技術加以沉積。在一範例中,保護膜可藉由熱原子層沉積反應或電漿輔助原子層沉積反應加以沉積。在另一範例中,保護膜可藉由分子層沉積反應加以沉積。在另一範例中,保護膜可藉由自組裝單層反應加以沉積。在另一範例中,保護膜可藉由熱化學氣相沉積反應或電漿增強化學氣相沉積反應加以沉積。In some implementations, at least one iteration of (a) may result in an arc formed within the feature, and one subsequent iteration of (b) may result in the formation of a protective film at least as deep as the arc , but not as deep as the characteristic part. Protective films can be deposited by several different techniques. In one example, the protective film can be deposited by thermal ALD or plasma assisted ALD. In another example, the protective film can be deposited by molecular layer deposition. In another example, the protective film can be deposited by a self-assembled monolayer reaction. In another example, the protective film can be deposited by thermal chemical vapor deposition or plasma enhanced chemical vapor deposition.

在所揭露的實施例之又一態樣中,提供一種在包含介電材料之基板中形成已蝕刻的特徵部之設備,此設備包含:一或更多反應腔室,其中至少一反應腔室係設計或配置以執行蝕刻,其中至少一反應腔室係設計或配置以執行沉積,每一反應腔室包含:用以將處理氣體導入反應腔室之一入口,及用以自反應腔室移除材料之一出口,及一控制器,控制器具有複數指令以用於:(a) 產生包含蝕刻反應物之第一電漿、使基板暴露至第一電漿、及部分地蝕刻特徵部在基板中,其中 (a) 係在設計或配置以執行蝕刻之反應腔室中執行;在 (a) 之後,沉積保護膜在特徵部之側壁上,其中 (b) 係在設計或配置以執行沉積之反應腔室中執行;及 (c) 重複 (a)-(b) 直到特徵部被蝕刻至一最終深度,其中特徵部在其最終深度處具有約5或更大之深寬比,及其中在 (b) 之第一重複處理中所沉積之保護膜比在 (b) 之第二重複處理中所沉積之保護膜更為保形。In yet another aspect of the disclosed embodiments, an apparatus for forming etched features in a substrate comprising a dielectric material is provided, the apparatus comprising: one or more reaction chambers, wherein at least one reaction chamber Designed or configured to perform etching, wherein at least one reaction chamber is designed or configured to perform deposition, each reaction chamber comprising: an inlet for introducing process gas into the reaction chamber, and an inlet for removing process gas from the reaction chamber In addition to an outlet for material, and a controller having instructions for: (a) generating a first plasma comprising etch reactants, exposing the substrate to the first plasma, and partially etching features in In a substrate, where (a) is performed in a reaction chamber designed or configured to perform etching; after (a), depositing a protective film on the sidewalls of features, where (b) is performed in a chamber designed or configured to perform deposition and (c) repeating (a)-(b) until the feature is etched to a final depth, wherein the feature has an aspect ratio of about 5 or greater at its final depth, and wherein The protective film deposited in the first iteration of (b) was more conformal than the protective film deposited in the second iteration of (b).

在某些實施例中,設計或配置以執行蝕刻之反應腔室與設計或配置以執行沉積之反應腔室可能相同,俾使 (a) 及 (b) 兩者係發生在相同的反應腔室中。在某些其它實施例中,設計或配置以執行蝕刻之反應腔室與設計或配置以執行沉積之反應腔室可能不同,控制器可更包含複數指令,以用於在設計或配置以執行蝕刻之反應腔室與設計或配置以執行沉積之反應腔室之間轉移基板。In some embodiments, the reaction chamber designed or configured to perform etching may be the same as the reaction chamber designed or configured to perform deposition such that both (a) and (b) occur in the same reaction chamber middle. In certain other embodiments, the reaction chamber may be designed or configured to perform etching differently than the reaction chamber designed or configured to perform deposition, and the controller may further include a plurality of instructions for use in the design or configuration to perform etching The substrate is transferred between a reaction chamber and a reaction chamber designed or configured to perform deposition.

下面將參考相關圖式以說明此些與其它特徵。These and other features are described below with reference to the related drawings.

在本申請案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分製造完成的積體電路」等用語可互換使用。熟知此項技藝者應當瞭解,用語「部分製造完成的積體電路」可指在積體電路製造之眾多階段中之任何階段期間之矽晶圓。半導體元件業界中所用之晶圓或基板通常具有200 mm、300 mm、或450 mm之直徑。以下的詳細說明假設本發明係於晶圓上實施。然而,本發明不限於此。工作件可具有各種形狀、尺寸、及材料。除了半導體晶圓外,可受惠於本發明之其它工作件包含各種物品,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡、光學元件、微機械裝置等。In this application, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate" and "partially fabricated integrated circuit" are used interchangeably. Those skilled in the art will understand that the term "partially fabricated integrated circuit" may refer to a silicon wafer during any of the numerous stages of integrated circuit fabrication. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm, 300 mm, or 450 mm. The following detailed description assumes that the invention is implemented on a wafer. However, the present invention is not limited thereto. Workpieces can be of various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that may benefit from the present invention include various items such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical components, micromechanical devices, and the like.

在以下的敘述中,將提出各種特定細節以提供對所述實施例的全面瞭解。所揭露的實施例可在缺乏部分或全部此些特定細節之情況下實施。在其它的情況下,不詳細說明習知的製程操作,以免不必要地模糊所揭露的實施例。雖然將利用特定的實施例來說明所揭露的實施例,但應當瞭解,其並非意圖限制所揭露的實施例。 I. 在介電材料中蝕刻高深寬比特徵部之技術In the following description, various specific details are set forth in order to provide a thorough understanding of the described embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described using specific embodiments, it should be understood that no limitation of the disclosed embodiments is intended. I. Techniques for Etching High Aspect Ratio Features in Dielectric Materials

某些半導體元件之製造涉及將特徵部蝕刻至介電材料或複數介電材料中。介電材料可為材料之單層或複數材料之堆疊。在某些情況中,堆疊包含交替的介電材料層(例如,矽氮化物及矽氧化物)。已蝕刻的特徵部之一實例為圓筒狀物,其可具有高深寬比。隨著此類特徵部之深寬比持續增加,將特徵部蝕刻至介電材料中變得愈來愈困難。在蝕刻高深寬比特徵部期間所產生的一問題為非均勻的蝕刻輪廓。換言之,特徵部並非沿著垂直向下的方向蝕刻。反而,特徵部之側壁通常為弧形,使得已蝕刻的特徵部之中間部比特徵部之上部及∕或底部更寬(即受到更進一步的蝕刻)。靠近特徵部之中間部之此過度蝕刻可造成剩餘材料之結構及∕或電完整性受到不良影響。向外彎曲之特徵部部分可能佔有總特徵部深度之相對小部分或相對大部分。向外彎曲之特徵部部分為特徵部之關鍵尺寸(CD)最大的部分。關鍵尺寸係對應於特徵部在特定位置處之直徑。通常期望特徵部之最大CD大約等於特徵部中其它處(例如靠近特徵部底部處)之CD。The fabrication of certain semiconductor devices involves etching features into a dielectric material or dielectric materials. The dielectric material can be a single layer of material or a stack of multiple materials. In some cases, the stack includes alternating layers of dielectric material (eg, silicon nitride and silicon oxide). An example of an etched feature is a cylinder, which can have a high aspect ratio. As the aspect ratios of such features continue to increase, it becomes increasingly difficult to etch the features into the dielectric material. One problem that arises during etching of high aspect ratio features is a non-uniform etch profile. In other words, the features are not etched in a vertically downward direction. Instead, the sidewalls of the features are generally curved such that the middle of the etched feature is wider (ie, more etched) than the top and/or bottom of the feature. This overetching near the middle of the feature can cause the structural and/or electrical integrity of the remaining material to be adversely affected. The outwardly curved feature portion may occupy a relatively small portion or a relatively large portion of the total feature depth. The portion of the feature that bows outward is the portion of the feature that has the largest critical dimension (CD). A critical dimension corresponds to the diameter of a feature at a particular location. It is generally desirable that the maximum CD of a feature be approximately equal to the CD elsewhere in the feature, such as near the bottom of the feature.

不受限於任何理論或作用機制,一般相信,在圓筒狀物或其它特徵部之中間部處之過度蝕刻係至少部分因為圓筒狀物之側壁未受到充分保護對抗蝕刻而發生。習知的蝕刻化學品係使用氟碳化合物蝕刻劑在介電材料中形成圓筒狀物。氟碳化合物蝕刻劑受到電漿暴露所激發,因此形成各種氟碳化合物碎片,例如包含CF、CF2 、及CF3 。反應性氟碳化合物碎片在離子之協助下蝕刻去除特徵部(例如,圓筒狀物)底部處之介電材料。其它氟碳化合物碎片係沉積在受到蝕刻的圓筒狀物之側壁上,藉此形成保護性的聚合物側壁覆層。此保護性側壁覆層促進在特徵部底部處之優先蝕刻(相對於特徵部側壁)。若無此側壁保護,特徵部開始呈現非均勻的輪廓,在側壁保護不足之處具有較寬的蝕刻∕圓筒狀物寬度。Without being bound by any theory or mechanism of action, it is generally believed that overetching at the middle of a cylinder or other feature occurs at least in part because the sidewalls of the cylinder are not adequately protected against etching. Conventional etch chemistries use fluorocarbon etchants to form cylinders in dielectric materials. Fluorocarbon etchants are excited by plasma exposure, thus forming various fluorocarbon fragments including, for example, CF, CF2 , and CF3 . The reactive fluorocarbon fragments etch with the assistance of ions to remove the dielectric material at the bottom of the feature (eg, cylinder). Other fluorocarbon fragments are deposited on the sidewalls of the etched cylinder, thereby forming a protective polymer sidewall coating. This protective sidewall coating promotes preferential etching at the bottom of the feature (relative to the sidewall of the feature). Without this sidewall protection, the features start to take on a non-uniform profile, with wider etch/barrel widths where the sidewall protection is insufficient.

在高深寬比特徵部中,特別難以達成側壁保護。造成此困難的一原因為,現行基於氟碳化合物的製程無法在圓筒狀物中受到橫向過度蝕刻的位置處形成保護性的聚合物側壁覆層。圖1顯示被蝕刻至介電材料103中之圓筒狀物102之圖式,介電材料103被圖案化遮罩層106所覆蓋。雖然在以下的討論中有時會稱為圓筒狀物,但此概念適用於其它特徵部形狀,例如矩形及其它多邊形。保護性的聚合物側壁覆層104係集中在圓筒狀物102之上部附近。Cx Fy 化學品同時提供用以垂直地蝕刻圓筒狀物之蝕刻反應物(或複數蝕刻反應物)、以及用以形成保護性的聚合物側壁覆層104之反應物(或複數反應物)。由於保護性的聚合物側壁覆層104不會延伸至圓筒狀物中之足夠深處(亦即,在側壁上沒有充分的沉積),因此圓筒狀物102之中間部變得比圓筒狀物102之上部及底部更寬。圓筒狀物102之較寬中間部被稱為弧形部105。弧形部在數值上可以特徵部在弧形區域(相對較寬的區域)之關鍵尺寸與弧形區域下方(例如,特徵部之底部區域)之關鍵尺寸之間之比較來表示。弧形部在數值上可被記述成距離(例如,特徵部之最寬處之關鍵尺寸減去特徵部之弧形部下方之最窄處之關鍵尺寸)、或記述成比值∕百分比(特徵部之最寬處之關鍵尺寸除以特徵部之弧形部下方之最窄處之關鍵尺寸)。此弧形部105及相關的非均勻蝕刻輪廓為非期望的。由於在此類蝕刻製程中通常使用高離子能量,因此在蝕刻具有高深寬比之圓筒狀物時,經常產生弧形部。在某些應用中,即便深寬比低至約5,仍會產生弧形部。是以,習知的氟碳化合物蝕刻化學品通常被限定於在介電材料中形成相對低深寬比的圓筒狀物。某些現今應用所需的圓筒狀物之深寬比係高於習知蝕刻化學品所能達到之深寬比。 II. 背景及應用Sidewall protection is particularly difficult to achieve in high aspect ratio features. One reason for this difficulty is the inability of current fluorocarbon-based processes to form protective polymer sidewall coatings in the cylinder where lateral overetching occurs. FIG. 1 shows a diagram of a cylinder 102 etched into a dielectric material 103 covered by a patterned mask layer 106 . Although sometimes referred to as a cylinder in the following discussion, this concept applies to other feature shapes, such as rectangles and other polygons. A protective polymeric sidewall coating 104 is concentrated near the upper portion of the barrel 102 . The CxFy chemistry provides both the etch reactant (or reactants) to etch the cylinder vertically and the reactant (or reactants) to form the protective polymer sidewall coating 104 ). Since the protective polymeric sidewall coating 104 does not extend deep enough into the cylinder (i.e., is not sufficiently deposited on the sidewall), the middle portion of the cylinder 102 becomes longer than the cylinder. The top and bottom of the bar 102 are wider. The wider middle portion of the cylinder 102 is referred to as the arc 105 . The arcuate portion can be expressed numerically as a comparison between the critical dimension of the feature in the arcuate region (a relatively wide area) and the critical dimension below the arcuate region (eg, the bottom region of the feature). The arc can be expressed numerically as a distance (e.g., CD at the widest point of the feature minus CD at the narrowest point below the arc of the feature), or as a ratio/percentage (the CD of the feature Divide the critical dimension at the widest point by the critical dimension at the narrowest point below the arc of the feature). This arc 105 and associated non-uniform etch profile is undesirable. Since high ion energies are typically used in such etching processes, arcs are often created when etching cylinders with high aspect ratios. In some applications, even aspect ratios as low as about 5 may still result in cambers. Accordingly, conventional fluorocarbon etch chemistries are generally limited to forming relatively low aspect ratio cylinders in dielectric materials. Certain current applications require cylinder aspect ratios that are higher than those achievable with conventional etch chemistries. II. Background and Applications

在文中之各種實施例中,特徵部被蝕刻至表面上具有介電材料之基板(通常為半導體晶圓)中。介電材料可為複數材料之堆疊。蝕刻製程大致上為基於電漿的蝕刻製程。整體特徵部形成製程可以複數階段進行:一階段係關於蝕刻介電材料,另一階段係關於形成保護性側壁覆層但實質上不蝕刻介電材料。保護性側壁覆層將側壁鈍化,並且避免特徵部受到過度蝕刻(亦即,側壁覆層避免特徵部之橫向蝕刻)。可重覆此兩階段,直到特徵部被蝕刻至其最終深度。藉由循環此兩階段,可在特徵部之整個深度各處控制特徵部之直徑,藉此形成具有更均勻的直徑∕較佳的輪廓之特徵部。In various embodiments herein, features are etched into a substrate (typically a semiconductor wafer) with a dielectric material on the surface. The dielectric material can be a stack of plural materials. The etch process is generally a plasma-based etch process. The bulk feature formation process can be performed in multiple stages: one stage involves etching the dielectric material, and another stage involves forming protective sidewall coatings without substantially etching the dielectric material. The protective sidewall coatings passivate the sidewalls and protect the features from over-etching (ie, the sidewall coatings prevent lateral etching of the features). These two stages can be repeated until the feature is etched to its final depth. By cycling through these two stages, the diameter of the feature can be controlled throughout the depth of the feature, thereby forming features with a more uniform diameter/better profile.

一特徵部為在基板表面中之凹陷。特徵部可具有許多不同的形狀包含,但不限於,圓筒狀物、矩形、方形、其它多邊形凹陷、溝槽等。A feature is a depression in the surface of the substrate. Features may have many different shapes including, but not limited to, cylinders, rectangles, squares, other polygonal depressions, grooves, and the like.

深寬比為一特徵部之深度對該特徵部之關鍵尺寸之比值(通常為寬度∕直徑)。例如,具有2 µm深度與50 nm寬度之圓筒狀物具有40:1之深寬比,通常更簡要地記述為40。由於特徵部在其深度各處可能具有非均勻的關鍵尺寸,因此深寬比可能隨著量測位置而變化。例如,有時候,已蝕刻的圓筒狀物可能具有比上部與底部更寬之中間部。 如上所述,此較寬的中間部可被稱為弧形部。基於在圓筒狀物之上部(亦即,頸部)處之關鍵尺寸所測得之深寬比可能高於基於在圓筒狀物之較寬中間∕弧形處之關鍵尺寸所測得之深寬比。除非另外指出,否則在文中所用之深寬比係基於在特徵部之開口附近之關鍵尺寸所測得。Aspect ratio is the ratio of the depth of a feature to the critical dimension of the feature (usually width/diameter). For example, a cylinder with a depth of 2 µm and a width of 50 nm has an aspect ratio of 40:1, often written more briefly as 40. Since a feature may have a non-uniform critical dimension throughout its depth, the aspect ratio may vary with measurement location. For example, sometimes an etched cylinder may have a wider middle portion than the upper and bottom portions. As mentioned above, this wider middle portion may be referred to as an arcuate portion. Aspect ratios based on critical dimensions at the upper part of the barrel (i.e., neck) may be higher than measurements based on critical dimensions at the wider middle/arc of the barrel aspect ratio. Unless otherwise indicated, aspect ratios used herein are based on critical dimensions measured near the opening of the feature.

經由所揭露的方法所形成之特徵部可為高深寬比特徵部。在某些應用中,高深寬比特徵部為具有至少約5、至少約10、至少約20、至少約30、至少約40、至少約50、至少約60、至少約80、或至少約100之深寬比之特徵部。經由所揭露的方法所形成之特徵部之關鍵尺寸可為約200 nm或更小,例如約100 nm或更小、約50 nm或更小、或約20 nm或更小。Features formed by the disclosed methods can be high aspect ratio features. In certain applications, the high aspect ratio features are those having at least about 5, at least about 10, at least about 20, at least about 30, at least about 40, at least about 50, at least about 60, at least about 80, or at least about 100 The characteristic part of the aspect ratio. Features formed by the disclosed methods can have a critical dimension of about 200 nm or less, such as about 100 nm or less, about 50 nm or less, or about 20 nm or less.

在各種情況中,受到蝕刻而形成特徵部之材料可為介電材料。示例性的材料包含,但不限於,矽氧化物、矽氮化物、矽碳化物、氮氧化物(oxynitride)、碳氧化物(oxycarbide)、碳氮化物(carbo-nitride)、此些材料之摻雜形式(例如,以硼、磷等摻雜)、此些材料之任何組合所形成的堆疊。特定的示例性材料包含SiO2 、SiN、SiON、SiOC、SiCN等之理想化學配比及非理想化學配比之配方物。在各種情況中,受到蝕刻的材料或複數材料亦可包含其它元素,例如氫。在某些實施例中,受到蝕刻的氮化物及∕或氧化物材料具有包含氫之組成。應當瞭解,本文中所用的矽氧化物材料、矽氮化物材料等包含此類材料之理想化學配比及非理想化學配比之形式,且此類材料可能具有其它元素,如上所述。In various cases, the material etched to form the features may be a dielectric material. Exemplary materials include, but are not limited to, silicon oxide, silicon nitride, silicon carbide, oxynitride, oxycarbide, carbo-nitride, doped Heterogeneous forms (eg, doped with boron, phosphorus, etc.), stacks of any combination of these materials. Certain exemplary materials include stoichiometric and non-stoichiometric formulations of SiO 2 , SiN, SiON, SiOC, SiCN, and the like. In various cases, the material or materials being etched may also contain other elements, such as hydrogen. In some embodiments, the nitride and/or oxide material that is etched has a composition that includes hydrogen. It should be understood that silicon oxide materials, silicon nitride materials, etc., as used herein include both stoichiometric and non-stoichiometric forms of such materials, and that such materials may have other elements, as described above.

所揭露的方法之一應用為在形成DRAM元件之背景下。在此情況中,主要可將特徵部蝕刻在矽氧化物中。基板亦可包含,例如,一、二、或更多層之矽氮化物。在一範例中,基板包含夾置在兩矽氮化物層之間之矽氧化物層,矽氧化物層之厚度係介於約800-1200 nm之間,且一或更多矽氮化物層之厚度係介於約300-400 nm之間。已蝕刻的特徵部可為圓筒狀物,具有介於約1-3 µm之間之深度,例如介於約1.5-2 µm之間。圓筒狀物可具有介於約20-50 nm之間之寬度,例如介於約25-30 nm之間。在圓筒狀物之蝕刻之後,可在其中形成電容器記憶胞。One application of the disclosed method is in the context of forming DRAM devices. In this case, the features may primarily be etched in silicon oxide. The substrate may also include, for example, one, two, or more layers of silicon nitride. In one example, the substrate includes a silicon oxide layer sandwiched between two silicon nitride layers, the thickness of the silicon oxide layer is between about 800-1200 nm, and the thickness of the one or more silicon nitride layers is The thickness is between about 300-400 nm. The etched feature may be a cylinder having a depth of between about 1-3 µm, such as between about 1.5-2 µm. The cylinder may have a width of between about 20-50 nm, such as between about 25-30 nm. After etching of the cylinder, capacitor memory cells may be formed therein.

所揭露的方法之另一應用為在形成垂直NAND(VNAND,亦稱為3D NAND)元件之背景下。在此情況中,受到蝕刻以於其中形成特徵部之材料可具有重複的層狀結構。例如,材料可包含氧化物(例如,SiO2 )與氮化物(例如,SiN)之複數交替膜層、或氧化物(例如,SiO2 )與多晶矽之複數交替膜層。複數交替膜層形成複數成對的材料。在某些情況中,成對的數目可為至少約20、至少約30、至少約40、至少約60、或至少約70。氧化物層之厚度可介於約20-50 nm之間,例如介於約30-40 nm之間。氮化物或多晶矽層之厚度可介於約20-50 nm之間,例如介於約30-40 nm之間。被蝕刻至交替膜層中之特徵部之深度可介於約2-6 µm之間,例如介於約3-5 µm之間。特徵部之寬度可介於約50-150 nm之間,例如介於約50-100 nm之間。 III. 蝕刻∕沉積製程Another application of the disclosed method is in the context of forming vertical NAND (VNAND, also known as 3D NAND) devices. In this case, the material that is etched to form the features therein may have a repeating layered structure. For example, the material may comprise a plurality of alternating layers of oxide (eg, SiO 2 ) and nitride (eg, SiN), or a plurality of alternating layers of oxide (eg, SiO 2 ) and polysilicon. A plurality of alternating layers forms a plurality of pairs of materials. In certain cases, the number of pairs can be at least about 20, at least about 30, at least about 40, at least about 60, or at least about 70. The thickness of the oxide layer may be between about 20-50 nm, such as between about 30-40 nm. The thickness of the nitride or polysilicon layer may be between about 20-50 nm, such as between about 30-40 nm. The depth of the features etched into the alternating layers may be between about 2-6 μm, such as between about 3-5 μm. The width of the features may be between about 50-150 nm, such as between about 50-100 nm. III. Etching/deposition process

圖2顯示用以在半導體基板上形成已蝕刻的特徵部之方法200之流程圖。圖2中所示之操作係參考圖3A-3D而加以說明,圖3A-3D顯示當蝕刻特徵部時部分製造完成的半導體基板。圖2之方法200之一特定實施例係參考圖3E-3I而說明如下。在操作201中,在具有材料303及圖案化遮罩層306之基板中,將特徵部302蝕刻至第一深度。材料303可為單一材料或複數材料之堆疊。材料303通常包含一或多層之介電材料。第一深度僅為特徵部之最終期望深度之一部分。用於蝕刻特徵部之化學品可為基於氟碳化合物的化學品(Cx Fy )。可使用其它的蝕刻化學品。此蝕刻操作201可導致第一側壁覆層304之形成。如參考圖1所述,第一側壁覆層304可為一聚合物側壁覆層。第一側壁覆層304朝向第一深度延伸,但在許多情況中第一側壁覆層304實際上不會到達特徵部 302之底部。尤其是,在許多情況中,第一側壁覆層304不會到達在特徵部中形成弧形部之區域。FIG. 2 shows a flowchart of a method 200 for forming etched features on a semiconductor substrate. The operations shown in FIG. 2 are described with reference to FIGS. 3A-3D , which show a partially fabricated semiconductor substrate as features are etched. A particular embodiment of the method 200 of FIG. 2 is described below with reference to FIGS. 3E-3I. In operation 201 , a feature 302 is etched to a first depth in a substrate having a material 303 and a patterned mask layer 306 . Material 303 can be a single material or a stack of multiple materials. Material 303 typically includes one or more layers of dielectric material. The first depth is only a fraction of the final desired depth of the feature. The chemistry used to etch the features may be a fluorocarbon based chemistry (C x F y ). Other etch chemistries may be used. This etch operation 201 may result in the formation of a first sidewall cladding layer 304 . As described with reference to FIG. 1 , the first sidewall coating 304 may be a polymer sidewall coating. The first sidewall cladding 304 extends toward the first depth, but in many cases the first sidewall cladding 304 does not actually reach the bottom of the feature 302 . In particular, in many cases, the first sidewall cladding 304 does not reach the region where the arc is formed in the feature.

當某些氟碳化合物物種∕碎片沉積在特徵部之側壁上時,第一側壁覆層304間接地形成自Cx Fy 蝕刻化學品(亦即,某些氟碳化合物物種為第一側壁覆層304之前驅物)。第一側壁覆層304不會到達特徵部302之底部之一原因可能與形成覆層之前驅物之黏滯係數有關。具體而言,一般相信,對於某些蝕刻劑而言,這些第一側壁覆層前驅物之黏滯係數太高,造成實質上大多數的前驅物分子在進入特徵部後很快地便黏附至側壁。是以,少數的側壁覆層前驅物分子能夠進入特徵部深處產生有利的側壁保護。因此,第一側壁覆層304僅能對特徵部302之側壁提供對抗過度蝕刻的部分保護。在某些實行例中,蝕刻條件能提供的側壁保護很少,若有的話。The first sidewall coating 304 is formed indirectly from the CxFy etch chemistry when certain fluorocarbon species/debris deposits on the sidewalls of the feature ( i.e., certain fluorocarbon species are the first sidewall coating layer 304 precursor). One reason why the first sidewall cladding 304 does not reach the bottom of the feature 302 may be related to the viscosity of the precursor before the cladding is formed. In particular, it is generally believed that the viscosity coefficients of these first sidewall cladding precursors are too high for certain etchants, causing substantially most of the precursor molecules to adhere to the feature very quickly after entering the feature. side wall. Consequently, a small number of sidewall cladding precursor molecules can penetrate deep into the feature resulting in favorable sidewall protection. Thus, the first sidewall cladding 304 can only provide partial protection to the sidewalls of the feature 302 against overetching. In some implementations, the etch conditions provide little, if any, sidewall protection.

接下來,在操作203中,停止蝕刻製程。在停止蝕刻後,在操作205中沉積第二側壁覆層310。在某些情況中,第二側壁覆層310可能實際上是第一側壁覆層。第二側壁覆層310經常被稱為保護膜或保護層。此沉積可經由各種反應機制進行,反應機制包含,但不限於,化學氣相沉積(CVD)法、原子層沉積(ALD)法(其任一者可受電漿輔助或熱驅動)、分子層沉積(MLD)法、及自組裝單層(SAM)法。分子層沉積法係進一步討論於美國專利第9,384,998號中,自組裝單層沉積法係進一步討論於美國專利申請案第15/225,489號,其每一者係合併於此做為參考。Next, in operation 203, the etching process is stopped. After the etch is stopped, a second sidewall cladding 310 is deposited in operation 205 . In some cases, the second sidewall cladding 310 may actually be the first sidewall cladding. The second sidewall coating 310 is often referred to as a protective film or protective layer. This deposition can be performed via various reaction mechanisms including, but not limited to, chemical vapor deposition (CVD), atomic layer deposition (ALD) (either of which can be plasma assisted or thermally driven), molecular layer deposition (MLD) method, and self-assembled monolayer (SAM) method. Molecular layer deposition is further discussed in US Patent No. 9,384,998, and self-assembled monolayer deposition is further discussed in US Patent Application Serial No. 15/225,489, each of which is incorporated herein by reference.

ALD及其它基於吸附的方法特別適合用於以期望的保形程度來形成膜,以覆蓋或部分覆蓋特徵部側壁之表面。例如,某些基於吸附的沉積法有利於形成高度保形膜,其係藉由此類方法之吸附驅動性質而傳送反應物至特徵部深處。在保形膜中,經沉積的膜之厚度在特徵部之所有區域處是相對均勻的。相較之下,對於次保形的膜沉積而言,在特徵部之上部附近,被沉積的膜係相對較厚,而在特徵部之底部附近,被沉積的膜係相對較薄(或完全沒有)。對於超保形的膜沉積而言,在特徵部之上部附近,被沉積的膜係相對較薄,而在特徵部之底部附近,被沉積的膜係相對較厚。這些類型的沉積之每一者可能有利於本文中所述之一或多個沉積製程。保形、次保形、超保形的保護膜可因特定應用所需而加以結合。在某些情況中,亦可使用非基於吸附的沉積技術(例如,CVD)以形成保形、次保形、及∕或超保形的保護膜。ALD and other adsorption-based methods are particularly suitable for forming films with a desired degree of conformality to cover or partially cover the surface of a feature sidewall. For example, certain adsorption-based deposition methods facilitate the formation of highly conformal films that transport reactants deep into features through the adsorption-driven nature of such methods. In conformal films, the thickness of the deposited film is relatively uniform at all areas of the feature. In contrast, for subconformal film deposition, the deposited film is relatively thick near the top of the feature and relatively thin (or completely thin) near the bottom of the feature. No). For ultra-conformal film deposition, the deposited film is relatively thin near the top of the feature and relatively thick near the bottom of the feature. Each of these types of deposition may facilitate one or more of the deposition processes described herein. Conformal, sub-conformal, and super-conformal protective films can be combined as desired for a particular application. In some cases, non-adsorption-based deposition techniques (eg, CVD) may also be used to form conformal, sub-conformal, and/or ultra-conformal protective films.

當使用在本文中,保形(conformality)可根據方程式1加以計算。 方程式1:

Figure 02_image001
As used herein, conformality can be calculated according to Equation 1. Equation 1:
Figure 02_image001

當使用在本文中,保形或高度保形膜係具有介於約1.0-1.5之間之保形之膜。次保形膜係具有至少約1.5之保形之膜。在某些情況中,次保形膜可具有至少約2.5之保形。超保形膜係具有約1.0或更小之保形之膜。這些保形係根據上述的方程式1而計算。As used herein, a conformal or highly conformal film is a film having a conformality of between about 1.0-1.5. A sub-conformal film is a film having a conformality of at least about 1.5. In some cases, a sub-conformal film can have a conformality of at least about 2.5. A superconformal film is a film having a conformality of about 1.0 or less. These conformal systems are calculated according to Equation 1 above.

在某些實施例中,第二側壁覆層310之沉積(在沉積操作205及∕或215之一或多個重複處理期間)係使用具有相對低的黏滯係數之反應物(例如,含矽反應物及∕或含硼反應物)。在傳送反應物時,無論何種物種存在於側壁上,黏滯係數可為低的(例如,相對於原生側壁及∕或存在於側壁上之任何物種,黏滯係數可為低的)。此外,相關的黏滯係數為實際接觸側壁之物種之黏滯係數;此類物種可能不是進入腔室之反應物。在一實施例中,第二側壁覆層310係藉由ALD法加以沉積,在操作205及∕或操作215中之沉積包含:(a)使低黏滯係數反應物流至反應腔室中,並且允許反應物吸附至基板表面上,藉此形成受吸附的前驅物層312;(b)可選地吹淨(purge)反應腔室(例如,藉由使用吹淨氣體吹掃、抽空反應腔室、或兩者);(c)使基板暴露至由含氧及∕或含氮反應物所產生之電漿(通常亦與氫一起提供),從而驅動表面反應以形成一層第二側壁覆層310(此第二側壁覆層310一般為抗蝕刻膜);(d)可選地吹淨反應腔室;及(e)重複(a)-(d),以形成第二側壁覆層310之額外層。受吸附的前驅物層312係顯示於圖3B中,且第二側壁覆層310係顯示於圖3C中。前驅物吸附(圖3B)及膜形成(圖3C)可循環數次,以形成具有期望厚度之膜。In some embodiments, the deposition of second sidewall cladding layer 310 (during one or more iterations of deposition operations 205 and/or 215) uses reactants with relatively low viscosities (e.g., silicon-containing reactants and/or boron-containing reactants). In transporting reactants, the coefficient of viscosity may be low regardless of what species are present on the sidewall (eg, the coefficient of viscosity may be low relative to the native sidewall and/or any species present on the sidewall). Furthermore, the relevant viscosity is that of the species actually contacting the sidewall; such species may not be reactants entering the chamber. In one embodiment, the second sidewall cladding layer 310 is deposited by ALD, and the deposition in operation 205 and/or operation 215 includes: (a) flowing a low viscosity reactant into the reaction chamber, and allowing the reactants to adsorb onto the substrate surface, thereby forming the adsorbed precursor layer 312; (b) optionally purging the reaction chamber (e.g., by purging, evacuating the reaction chamber with a purge gas; , or both); (c) exposing the substrate to a plasma generated by oxygen- and/or nitrogen-containing reactants (usually also provided together with hydrogen) to drive surface reactions to form a second sidewall coating 310 (The second sidewall coating 310 is generally an etch-resistant film); (d) optionally blowing the reaction chamber; and (e) repeating (a)-(d) to form an additional layer of the second sidewall coating 310 Floor. The adsorbed precursor layer 312 is shown in FIG. 3B, and the second sidewall coating 310 is shown in FIG. 3C. Precursor adsorption (FIG. 3B) and film formation (FIG. 3C) can be cycled several times to form a film with a desired thickness.

在另一實施例中,第二側壁覆層310係藉由CVD法加以沉積,沉積可包含使低黏滯係數反應物流至反應腔室中,選擇性地伴隨共反應物(例如,含氧反應物及∕或含氮反應物,選擇性地與氫一起提供),且同時使基板暴露至電漿。電漿驅動氣相反應,其導致第二側壁覆層310之沉積。在此範例中,該方法係由圖3A、3C、及3D加以表示(沒有形成受吸附的前驅物層312,因此省略圖3B)。In another embodiment, the second sidewall cladding layer 310 is deposited by CVD, which may include flowing a low-viscosity reactant into the reaction chamber, optionally accompanied by co-reactants (e.g., oxygen-containing reactions). and/or nitrogen-containing reactants, optionally together with hydrogen), while simultaneously exposing the substrate to the plasma. The plasma drives a gas phase reaction that results in the deposition of the second sidewall cladding layer 310 . In this example, the method is represented by FIGS. 3A , 3C, and 3D (the adsorbed precursor layer 312 is not formed, so FIG. 3B is omitted).

黏滯係數係一用語,用以描述在相同的時間週期期間,與撞擊表面之物種之總數目相比,吸附∕黏附於表面之被吸附物種(例如,原子或分子)之數目之比例。符號Sc有時用於表示黏滯係數。Sc的數值係在0(表示沒有物種黏附)和1(表示所有撞擊物種黏附)之間。各種因素影響黏滯係數,包含撞擊物種之類型、表面溫度、表面覆蓋率、表面之結構細節、及撞擊物種之動能。某些物種係本質上比其它物種更「黏」,使得每一次該物種撞擊表面時,其更可能吸附至表面上。這些較黏的物種具有較大的黏滯係數(所有其他因素係相同),且與具有較低黏滯係數之黏性較低物種相比較,係更可能吸附在凹陷特徵部之入口附近。諸如使用在習知蝕刻製程中(且可形成第一側壁覆層304)之氟碳化合物物種具有相對高的黏滯係數,且因此集中在特徵部302之上部附近,特徵部302之上部為其第一次撞擊側壁之處。相較之下,具有較低黏滯係數之物種,即使它們撞擊在側壁上部附近之表面上,於每次撞擊期間較不可能吸附,且因而具有較高機率到達特徵部302之較深處。The viscosity coefficient is a term used to describe the ratio of the number of adsorbed species (eg, atoms or molecules) adsorbed/adhered to a surface compared to the total number of species hitting the surface during the same period of time. The symbol Sc is sometimes used to indicate the coefficient of viscosity. The value of Sc is between 0 (indicating that no species adheres) and 1 (indicating that all impacting species adhere). Various factors affect the viscosity coefficient, including the type of impacting species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the impacting species. Some species are inherently more "sticky" than others, making it more likely that each time the species hits the surface, it will stick to the surface. These viscous species have larger viscosity coefficients (all other factors being equal) and are more likely to adsorb near the entrances of depressed features than less viscous species with lower viscosity coefficients. Fluorocarbon species such as those used in conventional etch processes (and which may form first sidewall cladding 304 ) have relatively high viscosity coefficients and thus concentrate near the top of feature 302 , where Where it hits the side wall for the first time. In contrast, species with lower viscosity coefficients, even if they impinge on surfaces near the upper portion of the sidewall, are less likely to adsorb during each impact and thus have a higher probability of reaching deeper into the feature 302 .

在某些實施例中,含矽反應物及含硼反應物係用以形成第二側壁覆層310,並且比形成第一側壁覆層304之氟碳化合物物種具有較低的黏滯係數。因此,這些反應物適合於形成到達已蝕刻的特徵部之底部之保護性覆層。此外,某些基於吸附的ALD法係特別適合於形成到達已蝕刻的特徵部之底部之第二側壁覆層,因為反應物可被傳送直到其實質上覆蓋特徵部之整個側壁。反應物不會堆積在特徵部之上部附近,因為在每個循環期間,通常只有單層的反應物吸附至表面上。In some embodiments, the silicon-containing reactant and the boron-containing reactant are used to form the second sidewall cladding layer 310 and have a lower viscosity than the fluorocarbon species forming the first sidewall cladding layer 304 . Thus, these reactants are suitable for forming a protective coating that reaches the bottom of etched features. In addition, certain adsorption-based ALD methods are particularly well-suited for forming a second sidewall coating that reaches the bottom of an etched feature because the reactants can be transported until they cover substantially the entire sidewall of the feature. The reactants do not accumulate near the upper top of the feature because typically only a monolayer of reactants adsorbs to the surface during each cycle.

返回到圖2,方法在操作207中繼續,其中沉積製程停止。該方法接著重複下列操作:部分地蝕刻特徵部於基板中(操作211,類似於操作201);停止蝕刻(操作213,類似於操作203);沉積保護性覆層在已部分蝕刻的特徵部之側壁上(操作215,類似於操作205);及停止沉積(操作217,類似於操作207)。Returning to FIG. 2 , the method continues at operation 207 where the deposition process is stopped. The method then repeats the following operations: partially etching features into the substrate (operation 211, similar to operation 201); stopping etching (operation 213, similar to operation 203); depositing a protective coating over the partially etched features on the sidewall (operation 215, similar to operation 205); and stop deposition (operation 217, similar to operation 207).

在不同的重複處理期間,每一操作所使用之製程條件可為相同的或不同的。例如,第一蝕刻操作之蝕刻條件可不同於第二蝕刻操作之蝕刻條件。類似地,第一沉積操作之沉積條件可不同於第二沉積操作之沉積條件。當特徵部被蝕刻的更深,改變的條件可能導致改變的特徵部形狀。例如,當特徵部被蝕刻的更深,特徵部內之弧形區域之相對位置會改變。當執行額外的蝕刻及∕或沉積重複處理時,藉由改變蝕刻及∕或沉積條件,每一重複處理之製程條件可為了當前的特徵部形狀而量身打造∕最佳化。此容許在特徵部之任何深度處之弧形區域之保護(藉此最小化在蝕刻期間弧形部形成之程度)。在一範例中,進一步利用圖3E-3I加以解釋,在複數沉積操作之至少兩個重複處理之間,沉積條件是不同的。在一特定範例中,在兩個沉積操作之間,壓力、反應物流率、反應物供給時間、RF時間、RF功率、及∕或RF工作週期可為不同,例如,使得在每一操作中所沉積之保護膜之保形程度有所不同。藉由量身打造每一沉積之保形,每一保護膜可沉積在其最有用的地方(例如,可能會形成弧形部的地方)。The process conditions used for each operation may be the same or different during the different iterations. For example, the etching conditions of the first etching operation may be different from the etching conditions of the second etching operation. Similarly, the deposition conditions of the first deposition operation may be different from the deposition conditions of the second deposition operation. As features are etched deeper, changing conditions may result in changing feature shapes. For example, as a feature is etched deeper, the relative position of the arcuate regions within the feature changes. When additional etch and/or deposition iterations are performed, the process conditions for each iteration can be tailored/optimized for the current feature shape by varying the etch and/or deposition conditions. This allows protection of the arcuate region at any depth of the feature (thereby minimizing the extent of arcuate formation during etching). In one example, further explained using FIGS. 3E-3I , the deposition conditions are different between at least two iterations of the plurality of deposition operations. In a specific example, the pressure, reactant flow rate, reactant supply time, RF time, RF power, and/or RF duty cycle may be different between two deposition operations, e.g., such that in each operation The degree of conformality of the deposited protective films varies. By tailoring the conformality of each deposition, each protective film can be deposited where it is most useful (for example, where arcs may be formed).

返回到圖2,在操作219中,決定特徵部是否蝕刻完全。若特徵部尚未蝕刻完全,則該方法從操作211重覆,進行額外的蝕刻及保護性覆層之沉積。蝕刻操作211可改變第二側壁覆層310以形成一膜,該膜甚至比在操作205及215中所沉積之膜更耐蝕刻。在一範例中,沉積操作205形成一層硼氮化物(例如,藉由交替循環BCl3 和N2 +H2 及暴露至電漿),且蝕刻操作211使硼氮化物膜反應以形成硼氧化物(例如,使用具有氟碳化合物(或複數氟碳化合物)及氧之組合之化學品)。一旦特徵部蝕刻完全,則該方法完成。Returning to FIG. 2 , in operation 219 , it is determined whether the feature is fully etched. If the feature has not been etched completely, the method repeats from operation 211 with additional etching and deposition of a protective coating. Etching operation 211 may alter second sidewall cladding 310 to form a film that is even more etch resistant than the films deposited in operations 205 and 215 . In one example, a deposition operation 205 forms a layer of boronitride (e.g., by alternating cycles of BCl3 and N2 + H2 and exposure to plasma), and an etch operation 211 reacts the boronitride film to form boron oxide (for example, using chemicals with a combination of fluorocarbons (or fluorocarbons) and oxygen). Once the features are etched completely, the method is complete.

在各種實施例中,蝕刻操作201及保護性側壁覆層沉積操作205係循環地重複數次。例如,這些操作可各自發生至少兩次(如圖2所示),例如至少約3次,或至少約5次。在某些情況下,循環之數目係在約2-10之間,例如在約2-5之間(每一循環包含蝕刻操作201及保護性側壁覆層沉積操作205,其中蝕刻操作211及沉積操作215算作第二循環)。每次蝕刻操作發生時,蝕刻深度增加。蝕刻之距離在循環之間可為相同的,或其可為不相同的。在某些實施例中,在每一循環中所蝕刻之距離隨著執行額外蝕刻而減少(亦即,相較於較早執行的蝕刻操作,較晚執行的蝕刻操作可能較少量地蝕刻)。在每一沉積操作205中所沉積之第二側壁覆層310之厚度在循環之間可為相同的,或此類覆層之厚度可改變。在每一循環期間,第二側壁覆層310之示例厚度之範圍可在約1-10 nm之間,例如在約3-5 nm之間。類似地,第二側壁覆層310之保形在循環之間可為相同的,或在循環之間可改變,如圖3E-3I所說明。此外,所形成之覆層之類型在循環之間可為相同的,或其可改變。In various embodiments, the etch operation 201 and the protective sidewall coating deposition operation 205 are repeated several times in a cycle. For example, each of these operations may occur at least two times (as shown in FIG. 2 ), such as at least about 3 times, or at least about 5 times. In some cases, the number of cycles is between about 2-10, such as between about 2-5 (each cycle includes etching operation 201 and protective sidewall coating deposition operation 205, wherein etching operation 211 and deposition Operation 215 counts as the second loop). Each time an etching operation occurs, the etching depth increases. The distance to etch can be the same between cycles, or it can be different. In some embodiments, the distance etched in each cycle decreases as additional etching is performed (i.e., etching operations performed later may etch a smaller amount than etching operations performed earlier) . The thickness of the second sidewall cladding 310 deposited in each deposition operation 205 may be the same between cycles, or the thickness of such cladding may vary. An example thickness of the second sidewall cladding layer 310 may range between about 1-10 nm, such as between about 3-5 nm, during each cycle. Similarly, the conformality of the second sidewall cladding 310 may be the same from cycle to cycle, or may vary from cycle to cycle, as illustrated in Figures 3E-3I. Furthermore, the type of coating formed may be the same between cycles, or it may change.

蝕刻操作201及沉積操作205可發生在相同的反應腔室中或在不同的反應腔室中。在一範例中,蝕刻操作201發生在第一反應腔室中,而沉積操作205發生在第二反應腔室中,其中第一及第二反應腔室一起形成一多腔室製程設備,例如叢集式工具。可提供裝載鎖定部(loadlock)及其它合適的真空密封件,用以在某些情況下在相關的腔室之間轉移基板。基板可藉由機器人手臂或其它機械結構加以轉移。用於蝕刻(及沉積,在某些情況中)之反應腔室可為Flex™反應腔室,例如,可購自Lam Research Corporation of Fremont, CA之2300® Flex™產品系列。用於沉積之反應腔室可為來自Vector® 產品系列或Altus® 產品系列之腔室,皆可購自Lam Research Corporation。在某些實施例中,使用結合式反應器來進行蝕刻及沉積兩者可為有利的,因為避免了轉移基板之需求。在其它實施例中,希望針對每一操作而特別將反應器最佳化,則使用不同反應器來進行蝕刻及沉積可為有利的。相關的反應腔室係進一步討論於下。Etching operation 201 and deposition operation 205 may occur in the same reaction chamber or in different reaction chambers. In one example, etch operation 201 occurs in a first reaction chamber and deposition operation 205 occurs in a second reaction chamber, wherein the first and second reaction chambers together form a multi-chamber process tool, such as a cluster formula tool. Loadlocks and other suitable vacuum seals may be provided for transferring substrates between associated chambers in some cases. Substrates can be transferred by robotic arms or other mechanical structures. The reaction chamber used for etching (and deposition, in some cases) can be a Flex™ reaction chamber, eg, the 2300® Flex product series available from Lam Research Corporation of Fremont, CA. The reaction chamber used for deposition can be a chamber from the Vector ® product line or the Altus ® product line, both commercially available from Lam Research Corporation. In certain embodiments, it may be advantageous to use a bonded reactor for both etching and deposition because the need to transfer the substrate is avoided. In other embodiments, where it is desired to specifically optimize the reactor for each operation, it may be advantageous to use different reactors for etching and deposition. Related reaction chambers are discussed further below.

如上所述,藉由形成保護層在相關的側壁位置處,沉積操作有助於將蝕刻操作最佳化,保護層於蝕刻操作期間最小化或防止特徵部之橫向蝕刻。此促成了具有非常垂直的側壁之已蝕刻的特徵部之形成,沒有或幾乎沒有弧形部。在某些實行例中,深寬比至少約80之已蝕刻的最終特徵部具有小於約60%之弧形部(以(最寬臨界尺寸 – 在其下方之最窄臨界尺寸)∕在其下方之最窄臨界尺寸*100加以測量)。例如,具有最寬CD 50 nm及最窄CD 40 nm之特徵部(在特徵部中,40 nm CD係位在50 nm CD之下)具有25%之弧形部(100*(50 nm - 40 nm)/40 nm = 25%)。在另一實行例中,具有至少約40之深寬比之已蝕刻的最終特徵部具有小於約20%之弧形部。As noted above, the deposition operation helps to optimize the etch operation by forming a protective layer at the relevant sidewall locations that minimizes or prevents lateral etching of features during the etch operation. This facilitates the formation of etched features with very vertical sidewalls, with little or no curvature. In certain implementations, the etched final feature having an aspect ratio of at least about 80 has an arc of less than about 60% (by (widest CD - narrowest CD below) / below The narrowest critical dimension * 100 to be measured). For example, a feature with the widest CD 50 nm and the narrowest CD 40 nm (where the 40 nm CD is below the 50 nm CD in the feature) has a 25% arc (100*(50 nm - 40 nm nm)/40 nm = 25%). In another implementation, the etched final features having an aspect ratio of at least about 40 have a curvature of less than about 20%.

在某些實行例中,可調節沉積條件以用於特定的沉積操作。例如,可調節條件,俾使用於第一沉積操作中之第一組沉積條件與用於第二沉積操作中之第二組沉積條件有所不同。沉積條件之差異可能導致膜厚、保形、密度、組成等之差異。圖3E-3I繪示在一實施例中、在製程期間之不同點處之已部分蝕刻的基板,其中保護膜之保形被調整以用於不同的沉積操作。保形之調整容許保護膜形成在保護膜最有用之特徵部深度處(例如,可能會形成弧形部的地方)。In certain implementations, deposition conditions may be adjusted for a particular deposition operation. For example, conditions may be adjusted such that a first set of deposition conditions used in a first deposition operation differs from a second set of deposition conditions used in a second deposition operation. Differences in deposition conditions may result in differences in film thickness, conformality, density, composition, etc. 3E-3I illustrate a partially etched substrate at various points during the process, in one embodiment, where the conformality of the protective film is adjusted for different deposition operations. Adjustment of conformality allows the protective film to be formed at feature depths where the protective film is most useful (eg, where arcs are likely to form).

圖3E繪示已部分蝕刻的基板,其包含圖案化遮罩層306在材料303上。如上所述,材料303可為單一材料或複數材料之堆疊,並且可包含一或更多介電材料。特徵部302係形成在基板中。在圖3E-3I中,在蝕刻操作期間所形成之任何保護膜層(例如,在圖3A-3D中之第一側壁覆層304)在圖式中被省略。在蝕刻操作期間,此類膜層可形成或可不形成。在圖3E-3I中之星號(*)強調若沒有保護膜沉積在側壁上、可能會形成弧形部之側壁區域。在某些實施例中,即使提供了保護膜,弧形部可能真的形成在此區域中(雖然此弧形部小於沒有保護膜時所形成者)。在部分地蝕刻特徵部302之後,如圖3E所示,沉積第一保護膜320a在特徵部203之側壁上,如圖3F所示。在此範例中,第一保護膜320a是高度保形的,並且實質上沿著側壁之整個長度而沉積。第一保護膜320a可形成或可不形成在特徵部之底表面上,取決於所使用之沉積條件及特徵部之形狀。FIG. 3E shows a partially etched substrate including a patterned mask layer 306 on material 303 . As noted above, material 303 may be a single material or a stack of multiple materials, and may include one or more dielectric materials. Features 302 are formed in the substrate. In FIGS. 3E-3I , any protective film layers formed during the etching operation (eg, first sidewall cladding 304 in FIGS. 3A-3D ) are omitted from the drawings. Such film layers may or may not be formed during etching operations. The asterisks (*) in FIGS. 3E-3I emphasize areas of the sidewalls where arcs may form if no protective film is deposited on the sidewalls. In some embodiments, even though a protective film is provided, an arc may indeed form in this region (although this arc is smaller than it would be without the protective film). After partially etching feature 302, as shown in FIG. 3E, a first protective film 320a is deposited on the sidewall of feature 203, as shown in FIG. 3F. In this example, the first protective film 320a is highly conformal and deposited along substantially the entire length of the sidewalls. The first protective film 320a may or may not be formed on the bottom surface of the feature, depending on the deposition conditions used and the shape of the feature.

接著,將特徵部蝕刻至較深的深度,如圖3G所示。在此蝕刻操作期間,第一保護膜320a可能部分地、大致上、或完全地被蝕刻掉。明顯地,第一保護膜320a被提供的足夠深,以到達星號(*)所標示之區域,若第一保護膜320a並未覆蓋此區域,則可能在此處形成弧形部。在蝕刻之後,沉積第二保護膜320b,如圖3H所示。在此範例中,第二保護膜320b為次保形的,表示其在特徵部302之開口附近之側壁上沉積較大的厚度,且在特徵部302之底部附近之側壁上沉積較低的厚度(或無厚度)。第二保護膜320b被沉積的足夠深,以覆蓋星號(*)所標示之區域,若第二保護膜320b並未覆蓋此區域,則可能在此處形成弧形部。在形成第二保護膜320b之後,進一步蝕刻特徵部302,如圖3I所示。Next, the features are etched to a greater depth, as shown in Figure 3G. During this etching operation, the first protection film 320a may be partially, substantially, or completely etched away. Apparently, the first protective film 320a is provided deep enough to reach the area marked with an asterisk (*), if the first protective film 320a does not cover this area, an arc may be formed there. After etching, a second protective film 320b is deposited, as shown in FIG. 3H. In this example, second protective film 320b is subconformal, meaning that it is deposited to a greater thickness on the sidewalls near the opening of feature 302 and to a lower thickness on the sidewall near the bottom of feature 302 (or no thickness). The second protective film 320b is deposited deep enough to cover the area marked with an asterisk (*), if the second protective film 320b does not cover this area, an arc may be formed there. After forming the second protective film 320b, the feature 302 is further etched, as shown in FIG. 3I.

圖3J繪示在基板330中形成之已部分蝕刻的特徵部302。在此範例中,在先前的蝕刻操作期間,弧形部已經被蝕刻至特徵部中。弧形部係緊鄰著星號。保護膜320c係以次保形的方式沉積。保護膜320c係沉積超過弧形部之深度,但並非整個向下到特徵部之底部。以此方式,保護膜320c對準其最有用的地方。在後續的蝕刻操作中,保護膜320c將防止或最小化弧形區域橫向蝕刻之程度。在往後的蝕刻操作期間,保護膜320c係做為犧牲材料,以確保特徵部之側壁不會在此區域中被過度蝕刻。保護膜320c之次保形特性亦確保特徵部之底部是打開的(其容許在後續的蝕刻操作中使用相對較嚴苛∕較快速的蝕刻條件),其可減少製程時間及增加產量。FIG. 3J illustrates partially etched feature 302 formed in substrate 330 . In this example, the arc has been etched into the feature during a previous etch operation. The arc is next to the asterisk. The protective film 320c is deposited in a sub-conformal manner. Protective film 320c is deposited beyond the depth of the arc, but not all the way down to the bottom of the feature. In this way, the protective film 320c is aligned where it is most useful. During subsequent etching operations, the protection film 320c will prevent or minimize the degree of lateral etching of the arc-shaped region. During subsequent etching operations, the protective film 320c acts as a sacrificial material to ensure that the sidewalls of the feature are not over-etched in this area. The sub-conformal nature of the protective film 320c also ensures that the bottom of the feature is open (which allows relatively harsher/faster etch conditions to be used in subsequent etch operations), which reduces process time and increases throughput.

在各種實施例中,當弧形區域處之關鍵尺寸之縮減(由於保護膜之沉積)相較於在緊鄰特徵部底部處之關鍵尺寸之縮減為大時,保護膜之優勢是最大的。換言之,當保護膜以對準的方式沉積在可能形成或正在形成弧形部之區域中(相對於沉積在可能形成弧形部之區域之下方)時,保護膜可能是最有用的。因為弧形部是特徵部之最大關鍵尺寸(例如,在弧形區域處)與弧形部下方之最窄區域之間之差異之度量,所以當保護層保護弧形區域而不保護(或不過度保護)在弧形區域下方之側壁時,保護層是最具優勢的。當保護膜沉積在弧形部可能形成處下方(下部的側壁)之區域中時,保護膜可能保護下部的側壁過多,導致在緊鄰特徵部底部處之非期望的小關鍵尺寸,並且限制了後續的蝕刻製程。In various embodiments, the advantage of the protective film is greatest when the critical dimension reduction (due to the deposition of the protective film) is greater at the arcuate region compared to the critical dimension reduction immediately adjacent the bottom of the feature. In other words, the protective film may be most useful when it is deposited in an aligned manner in the area where the arc may be formed or is being formed (as opposed to deposited below the area where the arc may be formed). Because the arc is a measure of the difference between the largest critical dimension of the feature (for example, at the arc) and the narrowest area below the arc, when the cover protects the arc it does not protect (or does not Overprotection) is most advantageous on the sidewalls below the curved area. When the protective film is deposited in the area below where the arc may form (the lower sidewall), the protective film may protect the lower sidewall too much, resulting in an undesirably small critical dimension immediately adjacent the bottom of the feature, and limiting subsequent etching process.

量身打造保護膜之保形以用於不同的沉積操作之另一優點為,其使得蝕刻製程得以最佳化,以更具攻擊性的方式打開∕蝕刻特徵部之底部(例如,因為在弧形部形成或可能形成處下方之區域中,特徵部之底部側壁可能未被保護膜所覆蓋)。與調節在不同沉積操作中所沉積之保護膜之保形有關之進一步優勢為,可改善特徵部底部之形狀。在各種情況中,相較於總是以高度保形的方式沉積保護膜,當調節∕改變保護膜之保形以用於不同的保護膜沉積時,特徵部底部是較方正的(較不圓)。Another advantage of tailoring the conformality of the protective film for different deposition operations is that it allows the etch process to be optimized to open/etch the bottom of the feature in a more aggressive manner (e.g. because of the The bottom sidewall of the feature may not be covered by the protective film in the region under which the feature is or may be formed). A further advantage associated with adjusting the conformality of the deposited protective film in different deposition operations is that the shape of the bottom of the feature can be improved. In each case, when the conformality of the resist was adjusted/changed for different resist depositions, the feature bottoms were squarer (less rounded) than when the resist was always deposited in a highly conformal manner. ).

相關地,所揭露的實施例導致具有小斜度之高度期望的(例如,高度垂直的)特徵部輪廓之形成。通常,在保護膜皆為高度保形之情況下,產生的特徵部具有斜度,此係由於高度保形的保護膜導致特徵部之底部被過度保護且不足以蝕刻開。相較之下,當保護膜以對準的方式被沉積在弧形部形成或可能形成之區域中時,可避免在弧形部下方之區域之此過度保護,並且產生較垂直的輪廓。與所揭露的實施例有關之另一優點為,可使弧形部之生長速率最小化。因為保護膜係以對準的方式形成在弧形部形成或可能形成之區域中、以及此區域下方不遠處,所以相較於在弧形部下方之區域,弧形區域受到較大程度的保護。因為弧形部可以在弧形區域處之最大CD與在弧形區域下方之最窄CD之間之差異加以度量,所以相較於在弧形部下方之區域,在弧形區域處之對準的保護減緩了弧形部在特徵部中之成長。Relatedly, the disclosed embodiments result in the formation of highly desirable (eg, highly vertical) feature profiles with small slopes. Typically, where the resists are both highly conformal, the resulting features have slopes because the bottoms of the features are overprotected and not etched away enough due to the highly conformal resists. In contrast, when the protective film is deposited in an aligned manner in the area where the arc is or may form, this overprotection of the area below the arc is avoided and a more vertical profile results. Another advantage associated with the disclosed embodiments is that the growth rate of the arcs can be minimized. Because the protective film is formed in an aligned manner in and immediately below the area where the arc is or may form, the arc area is subject to a greater degree of stress than the area below the arc. Protect. Because the arc can be measured by the difference between the largest CD at the arc and the narrowest CD below the arc, alignment at the arc compared to the area below the arc The protection slows down the growth of the arc in the feature.

與所揭露的實施例有關之另一優點為,降低了使特徵部加蓋∕阻塞之風險。與較保形的保護膜沉積有關之相當高的反應物流率及長的反應物供給時間係與在沉積期間阻塞一或更多特徵部之增加風險有關。藉由使用較低的反應物流率及∕或較短的反應物供給時間(單獨或與其它製程條件之改變一起)於至少某些保護層沉積中,此類阻塞之風險被最小化。此外,使用次保形保護膜於至少某些保護膜沉積操作中會降低蝕刻停止或變慢之風險。在某些情況中,以高度保形方式沉積在特徵部之側壁及底部上之保護膜可能阻止特徵部之進一步蝕刻。此結果為非期望的。藉由在至少某些沉積操作中以次保形的方式沉積保護層,此風險被降低。Another advantage associated with the disclosed embodiments is that the risk of capping/blocking features is reduced. The relatively high reactant flow rates and long reactant supply times associated with more conformal protective film deposition are associated with an increased risk of clogging one or more features during deposition. The risk of such clogging is minimized by using lower reactant flow rates and/or shorter reactant supply times (alone or in combination with other process condition changes) in at least some protective layer depositions. In addition, the use of sub-conformal resists in at least some resist deposition operations reduces the risk of etch stalls or slowdowns. In some cases, a protective film deposited in a highly conformal manner on the sidewalls and bottom of the feature may prevent further etching of the feature. This result is undesirable. This risk is reduced by depositing the protective layer in a sub-conformal manner during at least some of the deposition operations.

所揭露的實施例之進一步優點為,次保形保護膜可使物種之不同的混合物能夠形成在特徵部之不同深度處。中性物種擴散至凹陷特徵部中係取決於在特徵部中之表面之反應性及組成。藉由提供次保形保護膜,在不同特徵部深度處之側壁反應性及組成可能不同(例如,相較於特徵部之底部側壁,選擇性地提供保護膜朝向特徵部之上部側壁)。此可能造成中性物種之不同混合物為在特徵部中之深度之函數,其在某些實施例中是具有優勢的。所揭露的實施例已顯示,即使在相當大的製程溫度範圍中,亦產生高品質的結果。A further advantage of the disclosed embodiments is that the sub-conformal protective film can enable different mixtures of species to be formed at different depths of the features. Diffusion of neutral species into recessed features depends on the reactivity and composition of the surface in the feature. By providing a sub-conformal protective film, sidewall reactivity and composition may be different at different feature depths (eg, selectively providing the protective film toward the upper sidewall of a feature as compared to the bottom sidewall of the feature). This may result in different mixtures of neutral species as a function of depth in the feature, which may be advantageous in certain embodiments. The disclosed embodiments have been shown to produce high quality results even over a wide range of process temperatures.

為了對準保護膜之沉積在期望的區域中,可取決於當時的特徵部形狀∕深度而量身打造特定沉積操作之沉積條件。例如,參考圖3E-3I,第一保護膜320a係以高度保形的方式加以沉積,俾使其實質上覆蓋已部分蝕刻的特徵部302之整個深度。此沉積係量身打造為高度保形的,以確保第一保護膜320a覆蓋由星號(*)所標示之區域處之側壁,其中弧形部可能形成在星號(*)所標示之區域處。相較之下,第二保護膜320b係以次保形的方式加以沉積,俾使其到達一深度,該深度為(a)至少如星號(*)所標示之區域一般深,其中弧形部可能形成在星號(*)所標示之區域處,及(b)並非足夠深而到達特徵部之底部。在許多情況中,次保形保護膜320b可沉積至一深度,該深度實質上並未超過弧形部可能形成(若未提供保護層的話)之深度。在某些情況中,此可能表示,次保形保護膜到達之深度不超過弧形部可能形成之深度之約2倍(在某些情況中,不超過約1.5倍)。在這些或其它情況中,此可能表示,第二保護膜到達之深度不超過約1 μm、或不超過約0.5 μm,低於弧形部可能形成之深度。在這些或其它情況中,次保形保護膜可到達一深度,其自特徵部之底部離開至少約0.5 μm之距離,例如至少約1 μm。一特定保護膜之期望深度係取決於在一特定時間時特徵部之幾何形狀及用於蝕刻之條件。In order to align the deposition of the protective film in the desired area, the deposition conditions for a particular deposition operation can be tailored depending on the then feature shape/depth. For example, referring to FIGS. 3E-3I , the first protective film 320a is deposited in a highly conformal manner such that it covers substantially the entire depth of the partially etched feature 302 . This deposition is tailored to be highly conformal to ensure that the first protective film 320a covers the sidewalls at the areas indicated by asterisks (*), where arcs may form. In contrast, the second protective film 320b is deposited in a sub-conformal manner so that it reaches a depth that is (a) at least as deep as the region indicated by the asterisk (*), wherein the arcuate portion May form in the area marked by an asterisk (*), and (b) is not deep enough to reach the bottom of the feature. In many cases, the sub-conformal protective film 320b can be deposited to a depth that does not substantially exceed the depth at which the arc would have formed if no protective layer had been provided. In some cases, this may mean that the sub-conformal protective film reaches no more than about 2 times (and in some cases, no more than about 1.5 times) the depth at which the arc may form. In these or other cases, this may mean that the second protective film reaches a depth of no more than about 1 μm, or no more than about 0.5 μm, below the depth at which the arc may be formed. In these or other cases, the sub-conformal protective film can reach a depth that is a distance of at least about 0.5 μm, such as at least about 1 μm, from the bottom of the feature. The desired depth of a particular protective film depends on the geometry of the feature and the conditions used for etching at a particular time.

雖然圖3E-3I所示之範例涉及在沉積較不保形的保護膜之前先沉積較保形的保護膜,但實施例並非如此受限。在某些其它的實施例中,相較於較晚沉積的保護膜,較早沉積的保護膜可能較不保形。在一實行例中,當特徵部被進一步蝕刻至基板中時,保護膜變得較不保形。在一不同的實行例中,當特徵部被進一步蝕刻至基板中時,保護膜變得更保形。在另一實行例中,第一保護膜係以高度保形的方式加以沉積,第二保護膜係以次保形的方式加以沉積,第三保護膜係以高度保形的方式加以沉積,其中第一、第二及第三保護膜係以此順序加以沉積。一般而言,每一保護膜沉積可根據需求而量身打造。Although the examples shown in FIGS. 3E-3I involve depositing a more conformal protective film before depositing a less conformal protective film, embodiments are not so limited. In certain other embodiments, an earlier deposited protective film may be less conformal than a later deposited protective film. In one implementation, the protective film becomes less conformal as the features are etched further into the substrate. In a different implementation, the protective film becomes more conformal as the features are etched further into the substrate. In another implementation, the first protective film is deposited in a highly conformal manner, the second protective film is deposited in a subconformal manner, and the third protective film is deposited in a highly conformal manner, wherein The first, second and third protective films are deposited in this order. In general, each protective film deposition can be tailored according to the needs.

可單獨或一起改變各種的製程條件,以量身打造所沉積的保護膜層之保形(及∕或其它膜特性)。在不同保護膜沉積之間可改變之示例性製程條件包含壓力、反應物流率、反應物接觸時間、RF時間、RF功率、及∕或RF工作週期。對於在基於電漿的製程中沉積保護膜之情況而言,RF時間係表示在提供RF能量以產生∕維持電漿期間之持續時間。RF功率係表示用於驅動電漿之RF功率之量。RF工作週期係表示主動施加RF功率之時間分率。RF功率可以連續的方式或以脈衝的方式提供。Various process conditions can be varied individually or together to tailor the conformality (and/or other film properties) of the deposited protective film layer. Exemplary process conditions that can be changed between different protective film depositions include pressure, reactant flow rate, reactant contact time, RF time, RF power, and/or RF duty cycle. For the case of depositing a protective film in a plasma-based process, RF time refers to the duration during which RF energy is supplied to generate/sustain a plasma. RF power refers to the amount of RF power used to drive the plasma. RF duty cycle refers to the fraction of time that RF power is actively applied. RF power can be provided continuously or in pulses.

一般認為,較高的反應腔室壓力通常導致較保形的膜。類似地,一般認為,較高的反應物流率及較長的反應物接觸時間導致較保形的膜。這些因素可能影響到反應物能夠進入已部分蝕刻的特徵部中多深,藉此控制保護膜形成多深。一般亦認為,較長的RF時間及∕或較高的RF功率及∕或較高的RF工作週期導致較保形的膜,例如是由於反應物之較完全轉換。對於保形之調節,這些變數之每一者,在某些範圍內,可能是有效的。It is generally believed that higher reaction chamber pressures generally result in more conformal membranes. Similarly, it is generally believed that higher reactant flow rates and longer reactant contact times result in more conformal films. These factors may affect how deep reactants can penetrate into partially etched features, thereby controlling how deep the protective film is formed. It is also generally believed that longer RF times and/or higher RF powers and/or higher RF duty cycles result in more conformal films, eg, due to more complete conversion of reactants. For conformal adjustments, each of these variables may be effective, within certain limits.

對於循環的沉積製程,在本文中所記述之相關製程變數係與在單一沉積循環期間所使用之製程變數有關。例如,當保護層藉由電漿輔助原子層沉積而形成時,RF暴露時間及反應物傳送時間每一者係對應至在單一電漿輔助ALD循環期間此類操作之持續期間(而不是在所有ALD循環期間之累計持續期間)。For cyclic deposition processes, the relative process variables described herein relate to the process variables used during a single deposition cycle. For example, when the protective layer is formed by plasma-assisted atomic layer deposition, the RF exposure time and reactant delivery time each correspond to the duration of such operations during a single plasma-assisted ALD cycle (rather than across all cumulative duration of the ALD cycle).

在一範例中,在第一沉積操作中使用第一組沉積條件以沉積第一保護膜,在第二沉積操作中使用第二組沉積條件以沉積第二保護膜,在第一組與第二組沉積條件中至少一沉積參數是不同的,沉積參數係選自於由反應腔室壓力、反應物流率、反應物供給時間、RF時間及RF功率所構成之群組。相較於第一保護膜,第二保護膜可在較低的壓力下進行沉積。相較於第一保護膜,第二保護膜可在較低的反應物流率下進行沉積。相較於第一保護膜,第二保護膜可在較短的反應物供給時間下進行沉積。相較於第一保護膜,第二保護膜可在較短的RF時間下進行沉積。相較於第一保護膜,第二保護膜可在較低的RF功率下進行沉積。相較於第一保護膜,第二保護膜可在較低的工作週期下進行沉積。在一特定範例中,第一保護膜係以連續式電漿而形成,第二保護膜係以脈衝式電漿而形成。第二保護膜可在第一保護膜之後沉積。在其它例子中,第二保護膜可在第一保護膜之前沉積。當然,本文中之方法可使用任何數目之不同組沉積條件以沉積任何數目之保護膜。 IV. 製程操作之材料及參數 A. 基板In one example, the first set of deposition conditions is used in the first deposition operation to deposit the first protective film, and the second set of deposition conditions is used in the second deposition operation to deposit the second protective film. At least one deposition parameter in the set of deposition conditions is different, and the deposition parameter is selected from the group consisting of reaction chamber pressure, reactant flow rate, reactant supply time, RF time and RF power. The second protective film can be deposited at a lower pressure than the first protective film. Compared with the first protective film, the second protective film can be deposited at a lower reactant flow rate. The second protective film can be deposited with a shorter reactant supply time than the first protective film. The second protective film can be deposited with a shorter RF time than the first protective film. The second protective film can be deposited at lower RF power than the first protective film. Compared with the first protective film, the second protective film can be deposited at a lower duty cycle. In a specific example, the first protective film is formed by continuous plasma, and the second protective film is formed by pulsed plasma. The second protective film may be deposited after the first protective film. In other examples, the second protective film may be deposited before the first protective film. Of course, the methods herein can use any number of different sets of deposition conditions to deposit any number of protective films. IV. Materials and Parameters for Process Operation A. Substrate

本文中所揭示之方法對於蝕刻具有介電材料於其上之半導體基板係特別有用。示例性介電材料包含矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、此些材料之摻雜形式(例如,以硼、磷等摻雜)、及此些材料之任何組合所形成的堆疊。特定的示例性材料包含SiO2 、SiN、SiON、SiOC、SiCN等之理想化學配比及非理想化學配比之配方物。如上所述,被蝕刻的介電材料可包含多於一種類型∕層的材料。在特殊的情況下,介電材料可以SiN及SiO2 之複數交替膜層或多晶矽及SiO2 之複數交替膜層加以提供。進一步的細節提供如上。基板可具有覆蓋遮罩層,覆蓋遮罩層定義特徵部將被蝕刻之處。在某些情況中,遮罩層為Si,其厚度可介於約500-1500 nm之間。 B. 蝕刻製程The methods disclosed herein are particularly useful for etching semiconductor substrates having dielectric materials thereon. Exemplary dielectric materials include silicon oxides, silicon nitrides, silicon carbides, oxynitrides, oxycarbides, carbonitrides, doped versions of these materials (eg, doped with boron, phosphorus, etc.), and A stack formed of any combination of these materials. Certain exemplary materials include stoichiometric and non-stoichiometric formulations of SiO 2 , SiN, SiON, SiOC, SiCN, and the like. As noted above, the etched dielectric material may comprise more than one type/layer of material. In special cases, the dielectric material can be provided in multiple alternating layers of SiN and SiO 2 or in multiple alternating layers of polysilicon and SiO 2 . Further details are provided above. The substrate may have a blanket mask layer that defines where the features will be etched. In some cases, the mask layer is Si, which may be between about 500-1500 nm thick. B. Etching process

在各種實施例中,蝕刻製程係反應性離子蝕刻製程,其牽涉到使化學蝕刻劑流至反應腔室中(通常經由噴淋頭)、由蝕刻劑(例如)產生電漿、及使基板暴露至電漿。電漿使蝕刻劑化合物解離成中性物種及離子物種(例如,帶電或中性的材料,例如CF、CF2 及CF3 )。在許多情況下,電漿係電容耦合式電漿,但其它類型的電漿可適當地加以使用。電漿中之離子被導向晶圓,並且導致介電材料在撞擊時被蝕刻掉。In various embodiments, the etching process is a reactive ion etching process that involves flowing a chemical etchant into a reaction chamber (typically through a showerhead), generating a plasma from the etchant (for example), and exposing the substrate to to plasma. The plasma dissociates etchant compounds into neutral and ionic species (eg, charged or neutral materials such as CF, CF 2 and CF 3 ). In many cases, the plasma is a capacitively coupled plasma, but other types of plasmas may be suitably used. Ions in the plasma are directed towards the wafer and cause the dielectric material to be etched away upon impact.

可用以執行蝕刻製程之示例性設備包括,可購自Lam Research Corporation of Fremont, CA之反應性離子蝕刻反應器之2300® FLEX™產品系列。此類型的蝕刻反應器係進一步描述於下列美國專利中:美國專利第8,552,334號及美國專利第6,841,943號,其每一者係合併於此做為參考。Exemplary equipment that can be used to perform the etch process includes the 2300® FLEX product line of reactive ion etch reactors available from Lam Research Corporation of Fremont, CA. Etch reactors of this type are further described in the following US Patents: US Patent No. 8,552,334 and US Patent No. 6,841,943, each of which is incorporated herein by reference.

可使用各種反應物之選項,以將特徵部蝕刻至介電材料中。在某些情況中,蝕刻化學品包含一或更多氟碳化合物。在此些或其它情況中,蝕刻化學品可包含其它蝕刻劑,例如NF3 。亦可提供一或更多共反應物。在某些情況中,提供氧(O2 )做為共反應物。氧可助於適度形成保護性聚合物側壁覆層 (例如,圖3A-3D之第一側壁覆層304)。Various reagent options may be used to etch features into the dielectric material. In some cases, the etch chemistry includes one or more fluorocarbons. In these or other cases, the etch chemistry may include other etchants, such as NF3 . One or more co-reactants may also be provided. In some cases, oxygen (O 2 ) is provided as a co-reactant. Oxygen may assist in the modest formation of a protective polymeric sidewall coating (eg, first sidewall coating 304 of FIGS. 3A-3D ).

在某些實行例中,蝕刻化學品包含氟碳化合物與氧之組合。例如,在一範例中,蝕刻化學品包含C4 F6 、C4 F8 、N2 、CO、CF4 、及O2 。亦可使用其它習知的蝕刻化學品及非習知的化學品。氟碳化合物流動之流速可介於約0-500 sccm之間,例如介於約10-200 sccm之間。當使用C4 F6 與C4 F8 時,C4 F6 之流速範圍可介於約10-200 sccm之間,而C4 F8 之流速範圍可介於約10-200 sccm之間。氧之流速範圍可介於約0-500 sccm之間,例如介於約10-200 sccm之間。氮之流速範圍可介於約0-500 sccm之間,例如介於約10-200 sccm之間。四氟甲烷之流速範圍可介於約0-500 sccm之間,例如介於約10-200 sccm之間。一氧化碳之流速範圍可介於約0-500 sccm之間,例如介於約10-200 sccm之間。在大約50公升之反應器體積中,此些流速是適當的。In some implementations, the etch chemistry includes a combination of fluorocarbons and oxygen. For example, in one example, the etch chemistry includes C 4 F 6 , C 4 F 8 , N 2 , CO, CF 4 , and O 2 . Other known etch chemistries and non-conventional chemistries can also be used. The flow rate of the fluorocarbon flow may be between about 0-500 seem, such as between about 10-200 seem. When C4F6 and C4F8 are used , the flow rate of C4F6 can range between about 10-200 seem, and the flow rate of C4F8 can range between about 10-200 seem . The flow rate of oxygen may range between about 0-500 seem, such as between about 10-200 seem. The flow rate of nitrogen may range between about 0-500 seem, such as between about 10-200 seem. The flow rate of tetrafluoromethane may range between about 0-500 seem, such as between about 10-200 seem. The carbon monoxide flow rate may range between about 0-500 sccm, such as between about 10-200 sccm. In a reactor volume of about 50 liters, these flow rates are adequate.

在某些實施例中,在蝕刻期間,基板溫度係介於約30-200 ºC之間。在某些實施例中,在蝕刻期間,壓力係介於約5-80 mTorr之間。離子能量可能相當高,例如介於約1-10 kV之間。離子能量係由所施加的RF功率所決定。在各種情況中,使用雙頻率RF功率以產生電漿。因此,RF功率可包含第一頻率部分(例如,約2 MHz)及第二頻率部分(例如,約60 MHz)。可在每一頻率部分處提供不同的功率。例如,可以介於約3-24 kW之間(例如,約10 kW)之功率而提供第一頻率部分(例如,約2 MHz),並以例如介於約0.5-10 kW之間(例如,約2 kW)之較低功率而提供第二頻率部分(例如,約60 MHz)。在某些實施例中,使用三個不同頻率的RF功率以產生電漿。例如,可為2 MHz、27 MHz、及60 MHz之組合。第三頻率部分(例如,約27 MHz)之功率位準可類似於上面針對第二頻率部分所提供之該些功率。此些功率位準係假定將RF功率傳送至單一300 mm晶圓。對於額外的基板及∕或其它尺寸的基板,可根據基板面積而線性地縮放功率位準(藉此維持傳送至基板之均勻功率密度)。在某些實施例中,在蝕刻期間所施加的RF功率,可以介於約100 – 40,000 Hz之間之重覆率、在一較高功率與一較低功率之間加以調控。In some embodiments, the substrate temperature is between about 30-200 ºC during etching. In some embodiments, the pressure is between about 5-80 mTorr during etching. The ion energy can be quite high, for example between about 1-10 kV. Ion energy is determined by the applied RF power. In each case, dual frequency RF power was used to generate the plasma. Thus, RF power may include a first frequency portion (eg, about 2 MHz) and a second frequency portion (eg, about 60 MHz). Different powers may be provided at each frequency portion. For example, the first frequency portion (eg, about 2 MHz) may be provided at a power of between about 3-24 kW (eg, about 10 kW), and at a power of, for example, between about 0.5-10 kW (eg, about 10 kW) A second frequency portion (eg, about 60 MHz) is provided at a lower power of about 2 kW). In some embodiments, three different frequencies of RF power are used to generate the plasma. For example, a combination of 2 MHz, 27 MHz, and 60 MHz can be used. The power levels for the third frequency portion (eg, about 27 MHz) may be similar to those provided above for the second frequency portion. These power levels assume RF power is delivered to a single 300 mm wafer. For additional substrates and/or substrates of other sizes, the power level can be scaled linearly with the substrate area (thereby maintaining a uniform power density delivered to the substrate). In some embodiments, the RF power applied during etching can be adjusted between a higher power and a lower power at a repetition rate between about 100 - 40,000 Hz.

蝕刻製程之每一循環將介電材料蝕刻至某個程度。在每一循環期間所蝕刻之距離可介於約10-500 nm之間,例如介於約50-200 nm之間。總蝕刻深度將取決於特定的應用。對於某些情況(例如,DRAM)而言,總蝕刻深度可介於約1.5-2 µm之間。對於其它情況(例如,3D NAND)而言,總蝕刻深度可為至少約3 µm,例如至少約4 µm。在此些或其它情況中,總蝕刻深度可為約5 µm或更少。Each cycle of the etch process etches the dielectric material to some extent. The distance etched during each cycle may be between about 10-500 nm, such as between about 50-200 nm. The total etch depth will depend on the particular application. For some cases (eg, DRAM), the total etch depth can be between about 1.5-2 µm. For other cases (eg, 3D NAND), the total etch depth may be at least about 3 µm, such as at least about 4 µm. In these or other cases, the total etch depth can be about 5 μm or less.

如在圖3A-3D之討論中所解釋,蝕刻製程可產生第一側壁覆層(例如,第一側壁覆層304,其可為聚合物)。然而,此側壁覆層之深度可能被限制於在特徵部上部附近之區域,可能並未整個向下延伸至特徵部中亦需要側壁保護處。因此,如本文中所述,報行一單獨的沉積操作,以形成能實質上覆蓋已蝕刻的特徵部之整個深度之側壁覆層。As explained in the discussion of FIGS. 3A-3D , the etch process may result in a first sidewall cladding (eg, first sidewall cladding 304 , which may be a polymer). However, the depth of this sidewall cladding may be limited to an area near the upper portion of the feature and may not extend all the way down into the feature where sidewall protection is also required. Thus, as described herein, a single deposition operation is performed to form a sidewall cladding that covers substantially the entire depth of the etched feature.

在某些製程中,沉積保護性側壁覆層(例如,在圖3C及3D中之第二側壁履覆層310)之操作導致第一類型之膜之沉積,蝕刻操作改變此第一類型之膜以形成第二類型之膜。第二類型之膜可能比第一類型之膜更耐蝕刻。例如,沉積操作可牽涉到硼氮化物(BN)膜之形成,其接著在蝕刻操作期間被處理成硼氧化物(BO)膜。在蝕刻化學品中包含氧可至少部分地驅使此變化。硼氧化物膜可特別地耐受蝕刻,從而針對側壁之過度蝕刻提供非常好的保護。 C. 沉積製程In some processes, the operation of depositing a protective sidewall coating (e.g., the second sidewall cladding layer 310 in FIGS. 3C and 3D ) results in the deposition of a first type of film, and the etching operation alters this first type of film. to form the second type of film. Films of the second type may be more etch resistant than films of the first type. For example, a deposition operation may involve the formation of a boron nitride (BN) film, which is then processed into a boron oxide (BO) film during an etch operation. The inclusion of oxygen in the etch chemistry may at least partially drive this change. Oxide boron films are particularly resistant to etching, providing very good protection against overetching of the sidewalls. C. Deposition process

首先執行沉積製程,以在已蝕刻的特徵部內之側壁上沉積保護層。基於,例如,在沉積相關的保護層時之特徵部形狀,可調整特定沉積操作之沉積製程。因為當特徵部之蝕刻更完全時,特徵部形狀會改變,所以可改變沉積條件以符合正在改變的特徵部形狀。此外,對於不同的沉積操作,可改變保護膜之某些性質。在一範例中,在完全蝕刻特徵部之整個過程中,可改變保護層之保形(例如,較早沉積的保護層與較晚沉積的保護層係形成為不同的保形)。在另一範例中,在完全蝕刻特徵部之整個過程中,可改變保護層之厚度、密度及∕或組成(例如,較早沉積的保護層與較晚沉積的保護層具有不同的厚度、密度或組成)。A deposition process is first performed to deposit a protective layer on the sidewalls within the etched features. The deposition process for a particular deposition operation may be tuned based, for example, on the shape of the feature at the time of deposition of the associated protective layer. Because the feature shape changes as the etch of the feature is more complete, the deposition conditions can be changed to conform to the changing feature shape. Furthermore, certain properties of the protective film can be changed for different deposition operations. In one example, the conformality of the protective layer may vary throughout the process of fully etching a feature (eg, an earlier deposited protective layer is formed to a different conformality than a later deposited protective layer). In another example, the thickness, density, and/or composition of the protective layer can be varied throughout the process of fully etching the feature (e.g., an earlier deposited protective layer has a different thickness, density, and/or density than a later deposited protective layer). or composition).

在某些情況中,保護層延伸至特徵部深處中是有利的。即使在高深寬比的特徵部中,此保護層應延伸進入特徵部深處。在高深寬比特徵部內之深處形成保護層,可藉由具有相對低的黏滯係數之反應物達成。此外,依賴基於吸附的沉積(例如,ALD反應、MLD反應、及SAM反應)之反應機制可促進保護層在已蝕刻的特徵部內之深處形成,尤其是以相對高壓力、高反應物流率、長反應物供給時間、長RF時間、高RF功率及高RF工作週期。在此些及其它情況中,以次保形的方式沉積保護膜可能是有利的,表示其在側壁之上部附近係沉積地相對較厚,而在側壁之底部附近係相對較薄(或完全沒有)。在某些情況中,高度保形或次保形的保護層沉積可結合在單一實施例中,例如,與圖3E-3I相關之所述。In some cases it may be advantageous for the protective layer to extend deep into the feature. Even in high aspect ratio features, this protective layer should extend deep into the feature. Formation of protective layers deep within high aspect ratio features can be achieved with reactants having relatively low viscosity coefficients. In addition, reaction mechanisms that rely on adsorption-based deposition (e.g., ALD reactions, MLD reactions, and SAM reactions) can promote the formation of protective layers deep within etched features, especially at relatively high pressures, high reactant flow rates, Long reactant supply time, long RF time, high RF power and high RF duty cycle. In these and other cases, it may be advantageous to deposit the protective film in a subconformal manner, meaning that it is deposited relatively thick near the top of the sidewall and relatively thin (or completely absent) near the bottom of the sidewall. ). In some cases, highly conformal or subconformal protective layer deposition may be combined in a single embodiment, eg, as described in relation to Figures 3E-3I.

在特徵部被部分蝕刻之後,開始保護層之沉積。如在圖2之討論中所述,沉積操作可與蝕刻操作一起循環,以當特徵部被蝕刻至介電材料中較深處時形成額外的側壁保護。在某些情況中,在特徵部被蝕刻至其最終深度之至少1/3時或之後,開始保護層之沉積。在某些實施例中,一旦特徵部達到至少約2、至少約5、至少約10、至少約15、至少約20、或至少約30之深寬比,便開始保護層之沉積。在這些或其它的情況中,在特徵部達到約4、約10、約15、約20、約30、約40、或約50之深寬比之前,可開始沉積。在某些實施例中,在特徵部為至少約1 µm深、或至少約1.5 µm深之後,開始沉積(例如,在最終特徵部深度為3-4 µm之3D NAND實施例中)。在其它實施例中,在特徵部為至少約600 nm深、或至少約800 nm深之後,開始沉積(例如,在最終特徵部深度為1.5-2 µm深之DRAM實施例中)。開始保護層沉積之最佳時間為,緊接在若非如此則側壁將被過度蝕刻而形成弧形部之前。此事件之確切時間係取決於被蝕刻的特徵部之形狀、被蝕刻的材料、用以蝕刻及沉積保護層之化學品、及用以蝕刻及沉積相關材料之製程條件。After the features are partially etched, deposition of the protective layer begins. As described in the discussion of FIG. 2, the deposition operation can be cycled with the etch operation to form additional sidewall protection when the feature is etched deeper into the dielectric material. In some cases, deposition of the protective layer begins when or after the feature is etched to at least 1/3 of its final depth. In certain embodiments, the deposition of the protective layer begins once the features reach an aspect ratio of at least about 2, at least about 5, at least about 10, at least about 15, at least about 20, or at least about 30. In these or other cases, deposition may begin before the features reach an aspect ratio of about 4, about 10, about 15, about 20, about 30, about 40, or about 50. In certain embodiments, deposition begins after the features are at least about 1 µm deep, or at least about 1.5 µm deep (eg, in 3D NAND embodiments with final feature depths of 3-4 µm). In other embodiments, deposition begins after the features are at least about 600 nm deep, or at least about 800 nm deep (eg, in DRAM embodiments where the final feature depth is 1.5-2 μm deep). The best time to start the deposition of the protective layer is immediately before the sidewalls would otherwise be over-etched to form the bow. The exact timing of this event depends on the shape of the feature being etched, the material being etched, the chemicals used to etch and deposit the protective layer, and the process conditions used to etch and deposit the associated materials.

在沉積製程期間形成之保護層可具有各種組成。如所述,保護層可進入或可不進入已蝕刻的特徵部深處中,且通常相對地耐受用於蝕刻特徵部之蝕刻化學品。在某些情況中,保護層(或複數保護層其中一者)為陶瓷材料或有機聚合物。亦可使用有機、非聚合物膜。示例性無機材料可包含,但不限於,含硼材料,例如硼氧化物(Bx Oy )及硼氮化物(Bx Ny )之理想化學配比及非理想化學配比之配方物。其它範例包含含矽材料之理想化學配比及非理想化學配比之配方物,含矽材料例如為矽氧化物(Six Oy )、矽氮化物(Six Ny )、矽碳化物(Six Cy )、矽氮氧化物(Six Oy Ny )、矽碳氧化物(Six Oy Cz )、矽氧硫化物(Six Oy Sz )。其它範例包含含金屬材料之理想化學配比及非理想化學配比之配方物,含金屬材料例如為金屬氧化物、金屬氮化物、金屬碳化物及其組合。其它範例包含碳聚合物膜。The protective layer formed during the deposition process can have various compositions. As noted, the protective layer may or may not penetrate deep into the etched feature, and is generally relatively resistant to the etch chemistry used to etch the feature. In some cases, the protective layer (or one of the plurality of protective layers) is a ceramic material or an organic polymer. Organic, non-polymeric films can also be used. Exemplary inorganic materials may include, but are not limited to, boron-containing materials such as stoichiometric and non-stoichiometric formulations of boron oxides (B x O y ) and boron nitrides (B x N y ). Other examples include formulations of ideal stoichiometry and non-ideal stoichiometry of silicon-containing materials such as silicon oxide ( Six O y ) , silicon nitride ( Six N y ) , silicon carbide ( Six C y ), silicon oxynitride ( Six O y N y ) , silicon oxycarbide ( Six O y C z ), silicon oxysulfide ( Six O y S z ). Other examples include stoichiometric and non-stoichiometric formulations of metal-containing materials, such as metal oxides, metal nitrides, metal carbides, and combinations thereof. Other examples include carbon polymer films.

在某些情況中,示例性有機材料可包含聚烯烴,例如聚氟烯烴。一特定範例為聚四氟乙烯。用於形成某些聚氟烯烴之前驅物片段為CF2 (在某些情況中,其可來自六氟環氧丙烷(HFPO)),其具有非常低的黏滯係數,因此有利於進入已蝕刻的特徵部之深處。其他範例可包含硼碳化物或矽碳化物之理想化學配比及非理想化學配比之配方物。在進一步的實施例中,在沉積製程期間形成之保護層可為金屬氧化物、金屬氮化物、或金屬碳化物。In some cases, exemplary organic materials can include polyolefins, such as polyfluoroolefins. A specific example is polytetrafluoroethylene. The precursor fragment used to form certain polyfluoroolefins is CF 2 (which in some cases can be derived from hexafluoropropylene oxide (HFPO)), which has a very low viscosity and thus facilitates access to the etched The depth of the characteristic department. Other examples may include stoichiometric and non-stoichiometric formulations of borocarbides or silicon carbides. In further embodiments, the protective layer formed during the deposition process may be a metal oxide, metal nitride, or metal carbide.

在某些實施例中,在沉積製程期間形成之保護層為有機聚合物。在某些情況中,有機聚合物為聚醯胺或聚酯。在一特定情況中,聚醯胺保護層係由醯氯與二胺之組合所形成。在某些其它情況中,聚醯胺保護層可由酸酐與二胺之組合所形成。在某些其它實施例中,聚酯保護層可由醯氯與二醇之組合所形成。在某些實施例中,聚酯保護層可由酸酐與二醇之組合所形成。在某些實行例中,保護層可為含金屬聚合物,由有機金屬前驅物與二胺之組合所形成。在某些其它實行例中,保護層可為含金屬聚合物,由有機金屬前驅物與二醇之組合所形成。示例性酸酐包含,但不限於,馬來酸酐。示例性金屬有機前驅物包含,但不限於,三甲基鋁。在某些特定範例中,保護層為由丙二醯氯(malonyl dichloride)與乙二胺之組合所形成之聚醯胺層。在各種實施例中,此類反應物可用於MLD製程中,以形成保護層。In some embodiments, the protective layer formed during the deposition process is an organic polymer. In some cases, the organic polymer is polyamide or polyester. In a specific instance, the polyamide protective layer is formed from a combination of amide chloride and diamine. In certain other cases, the polyamide protective layer can be formed from a combination of anhydrides and diamines. In certain other embodiments, the polyester protective layer may be formed from a combination of amide chloride and diol. In some embodiments, the polyester protective layer may be formed from a combination of anhydrides and diols. In some implementations, the protective layer can be a metal-containing polymer formed from a combination of an organometallic precursor and a diamine. In certain other implementations, the protective layer can be a metal-containing polymer formed from a combination of an organometallic precursor and a diol. Exemplary anhydrides include, but are not limited to, maleic anhydride. Exemplary metal organic precursors include, but are not limited to, trimethylaluminum. In some specific examples, the protective layer is a polyamide layer formed of a combination of malonyl dichloride and ethylenediamine. In various embodiments, such reactants may be used in MLD processes to form protective layers.

在保護層包含硼之情況下,可使用含硼反應物。示例性含硼反應物包含,但不限於,硼酸三異丙酯([(CH3 )2 CHO]3 B)、三甲基硼-d9(B(CD3 )3 )、三苯硼烷((C6 H5 )3 B)、及參(五氟苯基)硼烷((C6 F5 )3 B)。其它含硼反應物之範例包含三氯化硼(BCl3 )、硼烷(BH3 )、二硼烷(B2 H6 )、三氟化硼(BF3 )、及硼酸三甲酯(B(OCH3 )3 )。在一特定範例中,含硼反應物係選自於由B2 H6 、BCl3 、BF3 、及其組合所構成之群組。循環的ALD或類ALD沉積反應可沉積含硼保護層。或者,非循環的製程(例如,主體CVD沉積)可沉積含硼保護層。In cases where the protective layer comprises boron, boron-containing reactants may be used. Exemplary boron-containing reactants include, but are not limited to, triisopropyl borate ([(CH 3 ) 2 CHO] 3 B), trimethylboron-d9 (B(CD 3 ) 3 ), triphenylborane ( (C 6 H 5 ) 3 B), and para(pentafluorophenyl)borane ((C 6 F 5 ) 3 B). Examples of other boron-containing reactants include boron trichloride (BCl 3 ), borane (BH 3 ), diborane (B 2 H 6 ), boron trifluoride (BF 3 ), and trimethyl borate (B (OCH 3 ) 3 ). In a specific example, the boron-containing reactant is selected from the group consisting of B 2 H 6 , BCl 3 , BF 3 , and combinations thereof. Cyclic ALD or ALD-like deposition reactions can deposit boron-containing protective layers. Alternatively, a non-cyclic process (eg, bulk CVD deposition) can deposit the boron-containing protective layer.

在保護層包含矽之情況下,可使用含矽反應物。含矽反應物可為例如,矽烷、鹵矽烷或胺基矽烷。矽烷包含氫及∕或碳基團,但不包含鹵素。矽烷之範例為矽烷(SiH4 )、二矽烷(Si2 H6 )、及有機矽烷,例如甲基矽烷、乙基矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、二級丁基矽烷、叔己基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷等。鹵矽烷包含至少一鹵素基團,可包含或可不包含氫及∕或碳基團。鹵矽烷之範例為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵矽烷(尤其是氟矽烷)可能形成能夠蝕刻矽材料之反應性鹵化物物種,但在本文所述之某些實施例中,當電漿點燃時,含矽反應物並不存在。具體的氯矽烷為四氯矽烷(SiCl4 )、三氯矽烷(HSiCl3 )、二氯矽烷(H2 SiCl2 )、一氯矽烷(ClSiH3 )、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯二級丁基矽烷、叔丁基二甲基氯矽烷、叔己基二甲基氯矽烷等。一特定的溴矽烷為SiBr4 。胺基矽烷包含與矽原子鍵結之至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷之範例為一、二、三、及四胺基矽烷(分別為H3 Si(NH2 )4 、H2 Si(NH2 )2 、HSi(NH2 )3 及Si(NH2 )4 ),以及取代的一、二、三、及四胺基矽烷,例如叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、雙(叔丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 ,BTBAS)、叔丁基矽基胺甲酸酯(tert-butyl silylcarbamate)、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 等。胺基矽烷之進一步範例為三矽基胺(N(SiH3 )3 )。在一特定範例中,含矽反應物係選自於由SiCl4 、SiH4 、SiF4 、SiBr4 、及其組合所構成之群組。循環的ALD或類ALD沉積反應可沉積含矽保護層。或者,非循環的製程(例如,主體CVD沉積)可沉積含矽保護層。在某些實施例中,含矽前驅物與氧化劑(例如,一氧化二氮及∕或分子氧)進行反應,以產生矽氧化物保護性覆層。Where the protective layer comprises silicon, a silicon-containing reactant may be used. Silicon-containing reactants can be, for example, silanes, halosilanes, or aminosilanes. Silanes contain hydrogen and/or carbon groups, but no halogens. Examples of silanes are silane (SiH 4 ), disilane (Si 2 H 6 ), and organosilanes such as methylsilane, ethylsilane, isopropylsilane, tert-butylsilane, dimethylsilane, diethylsilane Silane, di-tert-butylsilane, allylsilane, secondary butylsilane, tert-hexylsilane, isoamylsilane, tert-butyldisilane, di-tert-butyldisilane, etc. Halosilanes contain at least one halogen group, which may or may not contain hydrogen and/or carbon groups. Examples of halosilanes are iodosilanes, bromosilanes, chlorosilanes, and fluorosilanes. While halosilanes, especially fluorosilanes, may form reactive halide species capable of etching silicon materials, in certain embodiments described herein, silicon-containing reactants are not present when the plasma is ignited. Specific chlorosilanes are tetrachlorosilane (SiCl 4 ), trichlorosilane (HSiCl 3 ), dichlorosilane (H 2 SiCl 2 ), monochlorosilane (ClSiH 3 ), chloroallylsilane, chloromethylsilane, Dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, tert-butylchlorosilane, di-tert-butylchlorosilane, chloroisopropylsilane, chlorodibutylsilane, tert-butyldimethylsilane Silane, tert-hexyldimethylchlorosilane, etc. A particular bromosilane is SiBr 4 . Aminosilanes contain at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogen, oxygen, halogens, and carbon. Examples of aminosilanes are mono-, di-, tri-, and tetraaminosilanes (H 3 Si(NH 2 ) 4 , H 2 Si(NH 2 ) 2 , HSi(NH 2 ) 3 and Si(NH 2 ) 4 ), and substituted one, two, three, and tetraaminosilanes, such as tert-butylaminosilane, methylaminosilane, tert-butylsilylamine, bis(tert-butylamino)silane (SiH 2 (NHC(CH 3 ) 3 ) 2 , BTBAS), tert-butyl silylcarbamate, SiH(CH 3 )-(N(CH 3 ) 2 ) 2 , SiHCl-(N( CH 3 ) 2 ) 2 , (Si(CH 3 ) 2 NH) 3 , etc. A further example of an aminosilane is trisilylamine (N(SiH 3 ) 3 ). In a specific example, the silicon-containing reactant is selected from the group consisting of SiCl 4 , SiH 4 , SiF 4 , SiBr 4 , and combinations thereof. Cyclic ALD or ALD-like deposition reactions can deposit silicon-containing protective layers. Alternatively, a non-cyclic process (eg, bulk CVD deposition) can deposit the silicon-containing protective layer. In some embodiments, a silicon-containing precursor is reacted with an oxidizing agent (eg, nitrous oxide and/or molecular oxygen) to produce a silicon oxide protective coating.

在保護膜包含氮(例如,矽氮化物、矽氮氧化物、或硼氮化物)之情況下,可使用含氮反應物。含氮反應物包含至少一個氮,例如氮、氨、聯胺、胺類(例如,帶有碳之胺類),胺類例如為甲基胺、二甲基胺、乙基胺、異丙基胺、叔丁基胺、二叔丁基胺、環丙基胺、二級丁基胺、環丁基胺、異戊基胺、2-甲基丁-2-胺、三甲基胺、二異丙基胺、二乙基異丙基胺、二叔丁基聯胺,以及含芳香族的胺類(例如,苯胺、吡啶、及苯甲胺)。胺類可為一級、二級、三級、或四級(例如,四烷基銨化合物)。含氮反應物可包含除了氮以外之雜原子,例如,羥胺、叔丁氧羰基胺、及N-叔丁基羥基胺係含氮反應物。另一範例為一氧化二碳。In cases where the protective film contains nitrogen (eg, silicon nitride, silicon oxynitride, or boronitride), nitrogen-containing reactants may be used. Nitrogen-containing reactants containing at least one nitrogen, such as nitrogen, ammonia, hydrazine, amines (for example, amines with carbon), such as methylamine, dimethylamine, ethylamine, isopropylamine Amine, tert-butylamine, di-tert-butylamine, cyclopropylamine, secondary butylamine, cyclobutylamine, isopentylamine, 2-methylbutan-2-amine, trimethylamine, di Isopropylamine, diethylisopropylamine, di-t-butylhydrazine, and aromatic-containing amines (such as aniline, pyridine, and benzylamine). Amines can be primary, secondary, tertiary, or quaternary (eg, tetraalkylammonium compounds). Nitrogen-containing reactants may contain heteroatoms other than nitrogen, for example, hydroxylamine, tert-butoxycarbonylamine, and N-tert-butylhydroxylamine-based nitrogen-containing reactants. Another example is carbon monoxide.

在保護膜包含氧(例如,矽氧化物、硼氧化物、或金屬氧化物)之情況下,可使用含氧反應物。含氧反應物之範例包含,但不限於,氧、臭氧、一氧化二氮、一氧化氮、二氧化氮、一氧化碳、二氧化碳、硫氧化物、二氧化硫、含氧烴(Cx Hy Oz )、水、及其混合物等。所揭露的前驅物並非意圖為限定的。In cases where the protective film contains oxygen (eg, silicon oxide, boron oxide, or metal oxide), an oxygen-containing reactant may be used. Examples of oxygenated reactants include, but are not limited to, oxygen, ozone, nitrous oxide, nitrogen monoxide, nitrogen dioxide, carbon monoxide, carbon dioxide, sulfur oxides, sulfur dioxide, oxygenated hydrocarbons (C x H y O z ) , water, and mixtures thereof. The disclosed precursors are not intended to be limiting.

當保護性覆層包含有機聚合物時,使用低黏滯係數之前驅物。此類前驅物之範例包含產生CF2 片段之前驅物。When the protective coating comprises an organic polymer, a low viscosity precursor is used. Examples of such precursors include precursors that produce CF2 fragments.

亦可使用此技術領域具有通常知識者所熟知的其它反應物。例如,在保護膜包含金屬(例如,金屬氧化物、金屬氮化物、金屬碳化物等)之情況下,可使用含金屬反應物。示例性金屬包含,但不限於,鎢、鈦、鉭、釕、鋁、鐵及鉿。Other reactants known to those of ordinary skill in the art may also be used. For example, where the protective film includes a metal (eg, metal oxide, metal nitride, metal carbide, etc.), a metal-containing reactant may be used. Exemplary metals include, but are not limited to, tungsten, titanium, tantalum, ruthenium, aluminum, iron, and hafnium.

示例性含鋁反應物包含,但不限於,參(2,2,6,6-四甲基-3,5-庚二酮酸)鋁、三異丁基鋁、三甲基鋁、及參(二甲基醯胺)鋁(III)、等。Exemplary aluminum-containing reactants include, but are not limited to, aluminum para(2,2,6,6-tetramethyl-3,5-heptanedionate), triisobutylaluminum, trimethylaluminum, and (Dimethylamide)aluminum(III), etc.

示例性含鎢反應物包含,但不限於,二碘化雙(丁基環戊二烯基)鎢(IV)、雙(叔丁基亞胺基)雙(叔丁基胺基)鎢、雙(叔丁基亞胺基)雙(二甲基胺基)鎢(VI)、二氯化雙(環戊二烯基)鎢(IV)、二氫化雙(環戊二烯基)鎢(IV)、二氫化雙(異丙基環戊二烯基)鎢(IV)、三羰基氫化環戊二烯基鎢(II)、四羰基(1,5-環辛二烯基)鎢(0)、三羰基三胺鎢(IV)、六羰鎢、六氟化鎢、等。Exemplary tungsten-containing reactants include, but are not limited to, bis(butylcyclopentadienyl)tungsten(IV) diiodide, bis(tert-butylimino)bis(tert-butylamino)tungsten, bis(tert-butylamino)tungsten, (tert-butylimino)bis(dimethylamino)tungsten(VI), bis(cyclopentadienyl)tungsten(IV) dichloride, bis(cyclopentadienyl)tungsten(IV) dihydrogenate ), bis(isopropylcyclopentadienyl) tungsten(IV) dihydrogenate, cyclopentadienyl tungsten tricarbonyl(II), tetracarbonyl(1,5-cyclooctadienyl)tungsten(0) , Tungsten (IV) tricarbonyltriamine, tungsten hexacarbonyl, tungsten hexafluoride, etc.

示例性含鈦反應物包含,但不限於,二氯化雙(叔丁基環戊二烯基)鈦(IV)、肆(二乙基醯胺基)鈦(IV)、肆(二甲基醯胺基)鈦(IV)、肆(乙基甲基醯胺基)鈦(IV)、二異丙氧化雙(2,2,6,6-四甲基-3,5-庚二酮酸)鈦(IV)、異丙氧化鈦(IV)、四氯化鈦、等。Exemplary titanium-containing reactants include, but are not limited to, bis(tert-butylcyclopentadienyl)titanium(IV) dichloride, tetrakis(diethylamido)titanium(IV), tetrakis(dimethyl Amido)titanium(IV), tetrakis(ethylmethylamido)titanium(IV), diisopropoxybis(2,2,6,6-tetramethyl-3,5-heptanedionate ) titanium(IV), titanium(IV) isopropoxide, titanium tetrachloride, etc.

示例性含鉭反應物包含,但不限於,伍(二甲基胺基)鉭(V)、乙氧化鉭(V)、參(二乙基醯胺基)(叔丁基醯亞胺基)鉭(V)、參(乙基甲基醯胺基)(叔丁基醯亞胺基)鉭(V)、等。Exemplary tantalum-containing reactants include, but are not limited to, tantalum(V)(dimethylamido)tantalum(V), tantalum(V)ethoxide, cerium(diethylamido)(tert-butylamido) Tantalum (V), ginseng (ethylmethylamido) (tert-butylimide) tantalum (V), etc.

示例性含釕反應物包含,但不限於,雙(環戊二烯基)釕(II)、雙(乙基環戊二烯基)釕(II)、雙(五甲基環戊二烯基)釕(II)、十二羰基三釕、等。Exemplary ruthenium-containing reactants include, but are not limited to, bis(cyclopentadienyl)ruthenium(II), bis(ethylcyclopentadienyl)ruthenium(II), bis(pentamethylcyclopentadienyl) ) ruthenium(II), triruthenium dodecacarbonyl, etc.

示例性含鐵反應物包含,但不限於,[1,1’-雙(二苯基膦基)二茂鐵]四羰基鉬(0)、雙(五甲基環戊二烯基)鐵(II)、1,1’-二乙基二茂鐵、五羰基鐵(0)、參(2,2,6,6-四甲基-3,5-庚二酮酸)鐵(III)、等。Exemplary iron-containing reactants include, but are not limited to, [1,1'-bis(diphenylphosphino)ferrocene]molybdenum(0)tetracarbonyl, bis(pentamethylcyclopentadienyl)iron ( II), 1,1'-diethylferrocene, iron pentacarbonyl (0), reference (2,2,6,6-tetramethyl-3,5-heptanedionate) iron (III), Wait.

示例性含鉿反應物包含,但不限於,雙(叔丁基環戊二烯基)二甲基鉿(IV)、雙(甲基-η5-環戊二烯基)二甲基鉿、雙(甲基-η5-環戊二烯基)甲氧基甲基鉿、氯化雙(三甲基矽基)醯胺基鉿(IV)、二甲基雙(環戊二烯基)鉿(IV)、叔丁氧化鉿(IV)、異丙氧化鉿異丙醇、肆(二乙基醯胺基)鉿(IV)、肆(二甲基醯胺基)鉿(IV)、肆(乙基甲基醯胺基)鉿(IV)、等。Exemplary hafnium-containing reactants include, but are not limited to, bis(tert-butylcyclopentadienyl)dimethylhafnium(IV), bis(methyl-η5-cyclopentadienyl)dimethylhafnium, bis (Methyl-η5-cyclopentadienyl)methoxymethyl hafnium, bis(trimethylsilyl)amido hafnium(IV) chloride, dimethyl bis(cyclopentadienyl) hafnium( IV), hafnium(IV) tert-butoxide, hafnium isopropoxide isopropanol, tetrakis(diethylamido)hafnium(IV), tetrakis(dimethylamido)hafnium(IV), tetrakis(ethylamido)hafnium(IV), methylamido) hafnium(IV), etc.

類似地,在保護膜包含碳之情況下,可使用含碳反應物。Similarly, where the protective film comprises carbon, carbon-containing reactants may be used.

以下將提供反應物組合之幾個特定範例,但這些範例並非意圖為限定性的。在一範例中,藉由將含硼反應物(例如B2 H6 、BCl3 或BF3 )吸附至基板表面,以形成含硼前驅物膜。前驅物膜係藉由暴露至氧化或氮化的電漿(例如,由O2 、N2 、NH3 、N2 O、H2 、及其組合所產生之電漿)而轉變成保護膜。A few specific examples of reactant combinations are provided below, but these examples are not intended to be limiting. In one example, a boron-containing precursor film is formed by adsorbing a boron-containing reactant (such as B 2 H 6 , BCl 3 or BF 3 ) onto the substrate surface. The precursor film is converted into a protective film by exposure to an oxidizing or nitridating plasma such as a plasma generated from O2 , N2 , NH3 , N2O , H2 , and combinations thereof.

在一特定範例中,BCl3 被吸附以形成含硼前驅物層,且著由N2 及H2 之組合物產生電漿,其驅使硼氮化物保護膜之形成。該反應可經由循環的製程(例如ALD)而發生。在類似的範例中,反應可經由連續的製程(例如CVD)而發生,其中當基板暴露至電漿時,係全部同時供應BCl3 、N2 及H2 。在形成硼氮化物膜之後,可進一步蝕刻基板。蝕刻化學品可包含氧(伴隨著其它蝕刻化學品,例如,氟碳化物,例如C4 F6 及∕或C4 F8 ),其可與硼氮化物膜反應而形成硼氧化物。硼氧化物係特別耐受基於氟碳化物的蝕刻化學品,從而針對側壁之過度蝕刻提供非常好的保護。In a specific example, BCl3 is adsorbed to form a boron-containing precursor layer, and a plasma is generated from the combination of N2 and H2 , which drives the formation of the boron nitride protective film. The reaction can occur via a cyclic process such as ALD. In a similar example, the reaction can occur via a continuous process, such as CVD, where BCl3 , N2 , and H2 are all supplied simultaneously when the substrate is exposed to the plasma. After the boronitride film is formed, the substrate may be further etched. The etch chemistry may include oxygen (along with other etch chemistries, eg, fluorocarbons such as C4F6 and/or C4F8 ), which may react with the boronitride film to form boron oxide. Boron oxides are particularly resistant to fluorocarbon-based etch chemistries, providing very good protection against overetching of sidewalls.

在另一範例中,含矽物種(例如,SiCl4 、SiH4 、SiF4 、SiBr4 等)係吸附在基板表面上以形成含矽前驅物膜。藉由暴露於由O2 、N2 、NH3 、N2 O、H2 、及其組合物所產生之電漿,可將含矽前驅物膜轉變為矽氧化物或矽氮化物。若被蝕刻的介電材料包含矽氧化物,則形成如矽氮化物之保護層係較佳的(反之亦然)。In another example, silicon-containing species (eg, SiCl 4 , SiH 4 , SiF 4 , SiBr 4 , etc.) are adsorbed on the substrate surface to form a silicon-containing precursor film. Silicon-containing precursor films can be converted to silicon oxides or silicon nitrides by exposure to plasmas generated by O2 , N2 , NH3 , N2O , H2 , and combinations thereof. If the dielectric material to be etched comprises silicon oxide, it is preferred to form a protective layer such as silicon nitride (and vice versa).

如上所述,用於形成一或更多保護層之前驅物(或複數前驅物)可能具有相對低的黏滯係數,從而使前驅物能夠進入已蝕刻的特徵部中之深處,以形成高度保形的保護膜。在某些情況中,在保護層其中至少一者之形成期間,前驅物之黏滯係數(在相關的沉積條件下)可為約0.05或更小,例如,約0.001或更小。在期望形成次保形保護膜之情況中,可使用較高黏滯係數的前驅物(或複數前驅物)。As noted above, the precursor (or precursors) used to form one or more protective layers may have a relatively low viscosity, allowing the precursors to penetrate deep into etched features to form highly Conformal protective film. In some cases, during formation of at least one of the protective layers, the viscosity coefficient of the precursor (under relevant deposition conditions) may be about 0.05 or less, eg, about 0.001 or less. In cases where it is desired to form a sub-conformal protective film, a higher viscosity precursor (or precursors) may be used.

反應機制可為循環的(例如,ALD)或連續的(例如,CVD)。可使用導致保護性側壁膜在期望的側壁位置處形成之任何方法。如所述,由於可調節的保形及自我限制性質,ALD、MLD及SAM反應可能特別適合於此目的。電漿輔助原子層沉積可能特別適合於可調節的保形。然而,可使用其它類型的反應,只要膜係能夠形成於期望的位置處以保護在已蝕刻的特徵部中之側壁(尤其是在弧形部形成、或若未提供保護層的話可能形成弧形部之區域處)。用於ALD及CVD反應之基本操作係描述於以上關於圖2之操作205。簡言之,電漿輔助ALD反應涉及循環地執行下列操作:(a) 第一反應物之傳送,以形成吸附前驅物層,(b) 可選的吹淨操作,以從反應腔室移除第一反應物,(c) 第二反應物之傳送,經常以電漿之形式提供,(d) 可選的吹淨,以移除過量的反應物,及 (e) 重複 (a) – (d) 直到膜達到期望的厚度。因為反應物之提供是在不同的時間,且反應是表面反應,所以該膜是吸附受限的。此造成非常保形的膜之形成,該非常保形的膜可覆蓋整個凹陷的特徵部之表面。相較之下,電漿輔助CVD反應涉及將反應物連續地傳送至基板,同時使基板暴露至電漿。CVD反應為氣相反應,其沉積反應產物在基板表面上。如上所述,MLD及SAM反應係進一步描述於某些專利或專利申請案中,該等專利或專利申請案係合併於此做為參考。簡言之,MLD反應係使用牽涉到兩個半反應之類ALD循環,以沉積有機聚合物之薄膜。SAM反應係使用具有頭部基團(head group)及尾部基團(tail group)之單一前驅物,以沉積有機材料之吸附受限的薄膜。The reaction mechanism can be cyclic (eg, ALD) or continuous (eg, CVD). Any method that results in the formation of a protective sidewall film at desired sidewall locations may be used. As mentioned, ALD, MLD and SAM reactions may be particularly suitable for this purpose due to their tunable conformal and self-limiting properties. Plasma-assisted atomic layer deposition may be particularly suitable for adjustable conformality. However, other types of reactions can be used as long as a film can be formed at the desired location to protect the sidewalls in etched features (especially where arcs are formed, or possibly arcs if no protective layer is provided). area). The basic operations for ALD and CVD reactions are described above with respect to operation 205 of FIG. 2 . Briefly, a plasma-assisted ALD reaction involves cyclically performing the following operations: (a) delivery of a first reactant to form an adsorbed precursor layer, (b) optional purge operation to remove delivery of the first reactant, (c) delivery of the second reactant, often in the form of a plasma, (d) optional purge to remove excess reactant, and (e) repeating (a) - ( d) until the film reaches the desired thickness. Because the reactants are provided at different times and the reactions are surface reactions, the membrane is adsorption limited. This results in the formation of a very conformal film that can cover the entire surface of the recessed feature. In contrast, plasma assisted CVD reactions involve the continuous delivery of reactants to the substrate while exposing the substrate to the plasma. The CVD reaction is a gas phase reaction that deposits the reaction product on the substrate surface. As noted above, MLD and SAM reactions are further described in certain patents or patent applications, which are hereby incorporated by reference. Briefly, the MLD reaction uses an ALD cycle involving two half-reactions to deposit thin films of organic polymers. The SAM reaction uses a single precursor with a head group and a tail group to deposit adsorption-limited thin films of organic materials.

在某些實施例中,可使用下列反應條件,其中用於形成至少一層保護膜之沉積反應係藉由ALD方法發生。基板溫度可維持在約0-500 °C之間,例如在約20-200 °C之間。壓力可維持低至約100或200毫托及高至約1、2、或3托。離子能量可為相對地低,例如低於約1 kV。用以產生電漿之RF頻率可為約60 MHz,雖然亦可使用其它頻率。RF功率可為幾百瓦,例如約500 W或更少、約400 W或更少、或約300 W或更少(假設功率係傳送至單一300 mm晶圓,功率基於額外或不同尺寸基板的基板面積線性地縮放)。在每一ALD循環期間,吸附的反應物可以在約0.5-20秒之間之持續時間、以約50-1000 sccm之間之流率加以傳送。第一吹淨可具有在約0-60秒之間之持續時間。基板可暴露於電漿在約0.5-120秒之間之持續時間,反應物(不包含與反應物一起提供之任何惰性氣體)之流率在約50-1000 sccm之間。在電漿暴露期間,氫之流率可在約0-1000 sccm之間。RF後吹淨可具有在約0-60秒之間之持續時間。In certain embodiments, the following reaction conditions may be used, wherein the deposition reaction for forming at least one protective film occurs by an ALD method. The substrate temperature may be maintained between about 0-500°C, such as between about 20-200°C. Pressure can be maintained as low as about 100 or 200 mTorr and as high as about 1, 2, or 3 Torr. Ion energies can be relatively low, eg, below about 1 kV. The RF frequency used to generate the plasma may be about 60 MHz, although other frequencies may also be used. RF power can be several hundred watts, such as about 500 W or less, about 400 W or less, or about 300 W or less (assuming power is delivered to a single 300 mm wafer, power is based on additional or different sized substrates substrate area scales linearly). The adsorbed reactants may be delivered at a flow rate between about 50-1000 seem for a duration between about 0.5-20 seconds during each ALD cycle. The first purge may have a duration between about 0-60 seconds. The substrate may be exposed to the plasma for a duration between about 0.5-120 seconds at a flow rate of the reactants (excluding any inert gas provided with the reactants) between about 50-1000 sccm. During plasma exposure, the flow rate of hydrogen may be between about 0-1000 sccm. The RF post purge may have a duration between about 0-60 seconds.

在某些實施例中,可使用下列反應條件,其中用於形成至少一層保護膜之沉積反應係藉由CVD方法發生。基板溫度可維持在約0-500 °C之間,例如在約20-200 °C之間。壓力可維持在約100-3000 mT之間。用以產生電漿之RF頻率可為2-60 MHz。用以產生電漿之RF功率可在約50-2000 W之間,例如在約100-800 W之間(假如為單一300 mm基板)。反應物傳送及電漿暴露之持續時間可在約1-180秒之間。流率取決於特定的反應物。在一範例中,提供BCl3 、N2 及H2 ,其中以在約50-1000 sccm之間之流率提供BCl3 ,以在約50-1000 sccm之間之流率提供N2 ,及以在約50-1000 sccm之間之流率提供H2 。ALD及CVD反應條件係提供作為引導之用,並非意圖為限定性的。 V. 設備In certain embodiments, the following reaction conditions may be used, wherein the deposition reaction for forming at least one protective film occurs by a CVD method. The substrate temperature may be maintained between about 0-500°C, such as between about 20-200°C. The pressure can be maintained between about 100-3000 mT. The RF frequency used to generate the plasma can be 2-60 MHz. The RF power used to generate the plasma may be between about 50-2000 W, such as between about 100-800 W (assuming a single 300 mm substrate). The duration of reactant delivery and plasma exposure can be between about 1-180 seconds. Flow rates depend on the specific reactants. In one example, BCl3 , N2 , and H2 are provided, wherein BCl3 is provided at a flow rate between about 50-1000 sccm, N2 is provided at a flow rate between about 50-1000 sccm, and H2 is provided at a flow rate between about 50-1000 sccm. ALD and CVD reaction conditions are provided as a guide and are not intended to be limiting. v. equipment

本文中所描述之方法可藉由任何合適的設備或設備之組合加以實施。合適的設備包含用於完成製程操作之硬體、及具有用於根據本發明而控制製程操作之指令之系統控制器。例如,在某些實施例中,硬體可包含一或更多處理站,該一或更多處理站包含在處理工具中。一處理站可為蝕刻站,而另一處理站可為沉積站。在另一實施例中,蝕刻及沉積發生在單一站∕腔室中。The methods described herein can be performed by any suitable device or combination of devices. Suitable equipment includes hardware for performing process operations, and a system controller having instructions for controlling process operations in accordance with the present invention. For example, in some embodiments, hardware may include one or more processing stations included in a processing tool. One processing station may be an etching station, while the other processing station may be a deposition station. In another embodiment, etching and deposition occur in a single station/chamber.

圖4A-4C說明可調間隙電容耦合式限制RF電漿反應器400之實施例,RF電漿反應器400可用於實施本文中所述之蝕刻操作。如圖所示,真空腔室402包含腔室外罩404,腔室外罩404圍繞著容納下電極406之內部空間。在腔室402之上部中,上電極408與下電極406在垂直方向上分隔開。上及下電極408、406之平坦表面係實質上平行且與電極之間之垂直方向正交。較佳地,上及下電極408、406為圓形且相對於一垂直軸為共軸。上電極408之下表面係面對下電極406之上表面。隔開且相向的電極表面定義其間之可調間隙410。在操作期間,由RF功率供應器(匹配器)420供應RF功率至下電極406。RF功率係經由RF供應導管422、RF帶424及RF功率構件426而供應至下電極406。接地屏蔽436可圍繞著RF功率構件426,以提供更均勻的RF場至下電極406。將晶圓經由晶圓埠482插入並且支撐在下電極406上之間隙410中以進行處理,將製程氣體供應至間隙410並且藉由RF功率而激發為電漿態,如共同擁有之美國專利第7,732,728號所述,其全部內容係藉由參照而納入本文中。上電極408可供電或接地。4A-4C illustrate an embodiment of an adjustable-gap capacitively coupled confinement RF plasma reactor 400 that may be used to perform the etching operations described herein. As shown, the vacuum chamber 402 includes a chamber cover 404 surrounding an interior space containing a lower electrode 406 . In the upper part of the chamber 402, the upper electrode 408 is separated from the lower electrode 406 in the vertical direction. The planar surfaces of the upper and lower electrodes 408, 406 are substantially parallel and orthogonal to the vertical direction between the electrodes. Preferably, the upper and lower electrodes 408, 406 are circular and coaxial with respect to a vertical axis. The lower surface of the upper electrode 408 is facing the upper surface of the lower electrode 406 . The spaced and facing electrode surfaces define an adjustable gap 410 therebetween. During operation, RF power is supplied to the bottom electrode 406 by an RF power supplier (matcher) 420 . RF power is supplied to the lower electrode 406 via RF supply conduit 422 , RF strap 424 and RF power member 426 . A ground shield 436 may surround the RF power member 426 to provide a more uniform RF field to the bottom electrode 406 . A wafer is inserted through the wafer port 482 and supported in the gap 410 above the bottom electrode 406 for processing, process gases are supplied to the gap 410 and excited into a plasma state by RF power, as described in commonly owned U.S. Patent No. 7,732,728 No., the entire contents of which are incorporated herein by reference. The upper electrode 408 can be powered or grounded.

在顯示於圖4A-4C之實施例中,下電極406係支撐在下電極支撐板416上。插入在下電極406與下電極支撐板416之間之絕緣環414使下電極406與支撐板416隔離。In the embodiment shown in FIGS. 4A-4C , the lower electrode 406 is supported on a lower electrode support plate 416 . An insulating ring 414 interposed between the lower electrode 406 and the lower electrode support plate 416 isolates the lower electrode 406 from the support plate 416 .

RF偏壓外罩430將下電極406支撐在RF偏壓外罩碗體432上。藉由RF偏壓外罩430之一臂434,碗體432經由在腔室壁板418中之開口而連接至導管支撐板438。在一較佳實施例中,RF偏壓外罩碗體432及RF偏壓外罩臂434係整合形成為一個構件,然而,臂434及碗體432亦可為被栓緊或連結在一起之兩個獨立的構件。RF bias housing 430 supports lower electrode 406 on RF bias housing bowl 432 . The bowl 432 is connected to a conduit support plate 438 through an opening in the chamber wall 418 by an arm 434 of the RF bias housing 430 . In a preferred embodiment, the RF bias housing bowl 432 and the RF bias housing arm 434 are integrally formed as one piece, however, the arm 434 and bowl 432 could also be two parts that are bolted or joined together. independent components.

RF偏壓外罩臂434包含一或更多中空通路,用於將RF功率及設施(例如,氣體冷卻劑、液體冷卻劑、RF能量、用於升降銷控制之電纜、電力監控及致動信號)從真空腔室402外部傳送至真空腔室402內部之在下電極406之背側上之空間。RF供應導管422係與RF偏壓外罩臂434絕緣,RF偏壓外罩臂434提供RF功率之返回路徑至RF功率供應器420。設施導管440提供設施構件之通道。設施構件之進一步細節係描述於美國專利第5,948,704號及第7,732,728號中,而為了說明之簡要在此將不顯示。間隙410較佳地被限制環組件或護圈(未顯示)所圍繞,其細節可在共同擁有之美國專利第7,740,736號中找到,其藉由參照而納入本文中。真空腔室402之內部係經由真空入口480連接至真空泵而維持在低壓。RF bias housing arm 434 includes one or more hollow passages for routing RF power and utilities (e.g., gas coolant, liquid coolant, RF energy, cables for lift pin control, power monitoring, and actuation signals) The space on the back side of the lower electrode 406 is conveyed from outside the vacuum chamber 402 to inside the vacuum chamber 402 . The RF supply conduit 422 is insulated from the RF bias housing arm 434 which provides a return path for the RF power to the RF power supply 420 . Facility conduit 440 provides access to facility components. Further details of the facility components are described in US Patent Nos. 5,948,704 and 7,732,728 and will not be shown here for brevity of illustration. Gap 410 is preferably surrounded by a confinement ring assembly or retainer (not shown), details of which can be found in commonly owned US Patent No. 7,740,736, which is incorporated herein by reference. The interior of the vacuum chamber 402 is maintained at a low pressure by being connected to a vacuum pump through a vacuum inlet 480 .

導管支撐板438係附接於致動機構442。致動機構之細節係描述於共同擁有之美國專利第7,732,728號中,其於上納入本文中。致動機構442(例如伺服機械馬達、步進馬達或類似物)係附接於垂直線性軸承444,例如藉由螺旋齒輪446(例如滾珠螺桿及用於轉動滾珠螺桿之馬達)而附接。在調整間隙410之大小之操作期間,致動機構442沿著垂直線性軸承444移動。圖4A說明當致動機構442在線性軸承444上之高位置時之配置,其導致小間隙410a。圖4B說明當致動機構442在線性軸承444上之中間位置時之配置。如圖所示,下電極406、RF偏壓外罩430、導管支撐板438、RF功率供應器420皆相對於腔室外罩404及上電極408向較低處移動,導致中等大小的間隙410b。Conduit support plate 438 is attached to actuation mechanism 442 . Details of the actuation mechanism are described in commonly-owned US Patent No. 7,732,728, which is incorporated herein above. An actuation mechanism 442 such as a servo mechanical motor, stepper motor or the like is attached to a vertical linear bearing 444 such as by a helical gear 446 such as a ball screw and a motor for turning the ball screw. During the operation of adjusting the size of gap 410 , actuation mechanism 442 moves along vertical linear bearing 444 . Figure 4A illustrates the configuration when the actuator mechanism 442 is in a high position on the linear bearing 444, which results in a small gap 410a. FIG. 4B illustrates the configuration when the actuator mechanism 442 is in an intermediate position on the linear bearing 444 . As shown, the lower electrode 406, RF bias housing 430, conduit support plate 438, and RF power supply 420 are all moved lower relative to the chamber housing 404 and upper electrode 408, resulting in a moderately sized gap 410b.

圖4C說明當致動機構442在線性軸承上之低位置時之大間隙410c。較佳地,上及下電極408、406在間隙調整期間保持共軸,且上及下電極的相對表面橫跨間隙而保持平行。Figure 4C illustrates the large gap 410c when the actuator mechanism 442 is in the low position on the linear bearing. Preferably, the upper and lower electrodes 408, 406 remain coaxial during gap adjustment, and the opposing surfaces of the upper and lower electrodes remain parallel across the gap.

例如,為了維持整個大直徑基板(例如300 mm晶圓或平板顯示器)之均勻蝕刻,此實施例容許在CCP腔室402中之下及上電極406、408之間之間隙410在多步驟製程配方(BARC、HARC、及STRIP等)期間可加以調整。具體而言,此腔室關於一機械配置,其允許在下及上電極406、408之間提供可調間隙所需之線性移動。For example, in order to maintain uniform etching across large diameter substrates (such as 300 mm wafers or flat panel displays), this embodiment allows the gap 410 between the lower and upper electrodes 406, 408 in the CCP chamber 402 to be processed in a multi-step process recipe. (BARC, HARC, and STRIP, etc.) period can be adjusted. Specifically, this chamber is about a mechanical arrangement that allows the linear movement required to provide an adjustable gap between the lower and upper electrodes 406,408.

圖4A說明橫向偏斜波紋管(bellow)450,波紋管450在近端處密封至導管支撐板438且在遠端處密封至腔室壁板418之階梯狀凸緣428。階梯狀凸緣之內徑定義了在腔室壁板418中之開口412,RF偏壓外罩臂434通過該開口412。波紋管450之遠端係由夾圈452加以夾緊。4A illustrates a laterally deflected bellow 450 that is sealed proximally to catheter support plate 438 and distally to stepped flange 428 of chamber wall 418 . The inner diameter of the stepped flange defines an opening 412 in the chamber wall 418 through which the RF bias housing arm 434 passes. The distal end of bellows 450 is clamped by clamping ring 452 .

橫向偏斜波紋管450提供真空密封,同時容許RF偏壓外罩430、導管支撐板438及致動機構442之垂直移動。RF偏壓外罩430、導管支撐板438及致動機構442可視為懸臂組件。較佳地,RF功率供應器420與懸臂組件一起移動,並可附接於導管支撐板438。圖4B顯示當懸臂組件係在中間位置時,波紋管450在中立位置。圖4C顯示當懸臂組件在低位置時,波紋管450橫向偏斜。The laterally deflected bellows 450 provide a vacuum seal while allowing vertical movement of the RF bias housing 430 , conduit support plate 438 and actuation mechanism 442 . The RF bias housing 430, conduit support plate 438, and actuation mechanism 442 can be considered a cantilever assembly. Preferably, the RF power supply 420 moves with the boom assembly and is attachable to the conduit support plate 438 . Figure 4B shows the bellows 450 in a neutral position when the boom assembly is tethered in the neutral position. Figure 4C shows the bellows 450 deflecting laterally when the boom assembly is in the low position.

曲徑填封448在波紋管450與電漿製程腔室外罩404之內部之間提供微粒阻隔。固定屏蔽456在腔室壁板418處不可移動地附接於腔室外罩404之內部內壁,以便提供曲徑溝槽460(狹縫),可移動屏蔽板458在曲徑溝槽460中垂直地移動以適應懸臂組件之垂直移動。在下電極406之所有垂直位置,可移動屏蔽板458之外部保持在狹縫中。Labyrinth packing 448 provides a particle barrier between bellows 450 and the interior of plasma processing chamber enclosure 404 . The fixed shield 456 is non-removably attached to the inner inner wall of the chamber housing 404 at the chamber wall 418 so as to provide a labyrinth 460 (slit) in which the movable shield 458 is perpendicular ground movement to accommodate the vertical movement of the cantilever assembly. In all vertical positions of the lower electrode 406, the outer portion of the movable shield 458 remains in the slot.

在所示的實施例中,曲徑填封448包含固定屏蔽456,固定屏蔽456在腔室壁板418中之開口412之周緣處附接於腔室壁板418之內表面,定義了曲徑溝槽460。可移動屏蔽板458係附接並且自RF偏壓外罩臂434徑向延伸,其中臂434通過在腔室壁板418中之開口412。可移動屏蔽板458延伸至曲徑溝槽460中,同時與固定屏蔽456以第一間隙隔開,並且與腔室壁板418之內部表面以第二間隙隔開,因而容許懸臂組件垂直地移動。曲徑填封448阻擋自波紋管450剝落之微粒遷移進入真空腔室內部405,並阻擋來自製程氣體電漿之自由基遷移至波紋管450,於該處自由基可能形成隨後剝落之沉積物。In the illustrated embodiment, the labyrinth packing 448 includes a fixed shield 456 attached to the inner surface of the chamber wall 418 at the periphery of the opening 412 in the chamber wall 418, defining the labyrinth. groove 460 . A movable shield plate 458 is attached and extends radially from the RF bias housing arm 434 , where the arm 434 passes through the opening 412 in the chamber wall plate 418 . The movable shield plate 458 extends into the labyrinth channel 460 while being spaced from the fixed shield 456 by a first gap and from the interior surface of the chamber wall 418 by a second gap, thereby allowing the cantilever assembly to move vertically . The labyrinth seal 448 blocks the migration of particles exfoliated from the bellows 450 into the vacuum chamber interior 405 and prevents free radicals from the process gas plasma from migrating to the bellows 450 where they may form deposits that subsequently exfoliate.

圖4A顯示當懸臂組件在高位置(小間隙410a)時,可移動屏蔽板458是在RF偏壓外罩臂434上在曲徑溝槽460中之較高位置。圖4C顯示當懸臂組件在低位置(大間隙410c)時,可移動屏蔽板458是在RF偏壓外罩臂434上在曲徑溝槽460中之較低位置。圖4B顯示當懸臂組件在中間位置(中等間隙410b)時,可移動屏蔽板458是在曲徑溝槽460內之中立或中間位置。雖然曲徑填封448係顯示為相對於RF偏壓外罩臂434對稱,但在其它實施例中,曲徑填封448可相對於RF偏壓臂434不對稱。Figure 4A shows the movable shield plate 458 is in a higher position in the labyrinth channel 460 on the RF bias housing arm 434 when the cantilever assembly is in the high position (small gap 410a). Figure 4C shows the movable shield plate 458 is in a lower position in the labyrinth channel 460 on the RF bias housing arm 434 when the cantilever assembly is in the lower position (large gap 410c). Figure 4B shows the movable shield 458 in a neutral or neutral position within the labyrinth 460 when the boom assembly is in the neutral position (medium gap 410b). While the labyrinth packing 448 is shown as being symmetrical with respect to the RF bias housing arm 434 , in other embodiments the labyrinth packing 448 may be asymmetrical with respect to the RF biasing arm 434 .

圖5提供描繪各種反應器構件之簡易方塊圖,該等反應器構件用於實施本文中所述之沉積方法。如圖所示,反應器500包含製程腔室524,製程腔室524包圍著反應器之其它構件並且用於容納由電容放電式系統所產生之電漿,電容放電式系統包含與接地的加熱器塊520結合運作之噴淋頭514。高頻(HF)射頻(RF)產生器504及低頻(LF)RF產生器502可連接至匹配網路506及噴淋頭514。由匹配網路506所供應之功率及頻率可足以由供應至製程腔室524之製程氣體而產生電漿。例如,匹配網路506可提供50 W至500 W之HFRF功率。在某些範例中,匹配網路506可提供100 W至5000 W之HFRF功率及100 W至5000 W之LFRF功率總能量。在典型的製程中,HFRF構件通常可在5 MHz至60 MHz之間,例如13.56 MHz。在具有LF構件之操作中,LF構件可從約100 kHz至2 MHz,例如430 kHz。Figure 5 provides a simplified block diagram depicting the various reactor components used to practice the deposition methods described herein. As shown, the reactor 500 includes a process chamber 524 that surrounds the other components of the reactor and is used to contain the plasma generated by a capacitive discharge system that includes a heater connected to ground. Block 520 incorporates the showerhead 514 in operation. High frequency (HF) radio frequency (RF) generator 504 and low frequency (LF) RF generator 502 may be connected to matching network 506 and showerhead 514 . The power and frequency supplied by the matching network 506 may be sufficient to generate a plasma from the process gases supplied to the process chamber 524 . For example, matching network 506 may provide 50 W to 500 W of HFRF power. In some examples, the matching network 506 can provide 100 W to 5000 W of HFRF power and 100 W to 5000 W of LFRF power total energy. In a typical process, the HFRF component can typically be between 5 MHz and 60 MHz, eg 13.56 MHz. In operation with LF components, the LF components may be from about 100 kHz to 2 MHz, eg 430 kHz.

在反應器內,晶圓底座518可支撐基板516。晶圓底座518可包含夾盤、叉、或升降銷(未顯示),以在沉積及∕或電漿處理反應期間及之間固定及傳送基板。夾盤可為靜電夾盤、機械夾盤、或各種可用於工業上的使用及∕或用於研究之其它類型的夾盤。Within the reactor, a wafer pedestal 518 may support a substrate 516 . Wafer base 518 may include chucks, forks, or lift pins (not shown) to hold and transport substrates during and between deposition and/or plasma processing reactions. The chuck can be an electrostatic chuck, a mechanical chuck, or various other types of chucks that are available for industrial use and/or for research.

可經由入口512而引入各種製程氣體。多個來源氣體管線510係連接至歧管508。該等氣體可預先混合或可不預先混合。可使用適當的閥調節及質量流量控制機構,以確保在製程之沉積及電漿處理階段期間傳送正確的製程氣體。在以液體形式傳送化學前驅物之情況中,可使用液體流量控制機構。接著,在到達沉積腔室之前,可使此類液體汽化,並且在輸送期間、在歧管中與製程氣體混合,歧管被加熱至高於以液體形式供應之化學前驅物之汽化點。Various process gases may be introduced through inlet 512 . A plurality of source gas lines 510 are connected to manifold 508 . The gases may or may not be premixed. Appropriate valve adjustment and mass flow control mechanisms can be used to ensure that the correct process gases are delivered during the deposition and plasma treatment stages of the process. Where the chemical precursor is delivered in liquid form, a liquid flow control mechanism may be used. Such liquids can then be vaporized before reaching the deposition chamber and mixed with process gases during delivery in a manifold heated above the vaporization point of the chemical precursors supplied in liquid form.

製程氣體可經由出口522而離開腔室524。真空泵540(例如,一或二階段之機械乾式泵及∕或渦輪分子泵)可用於將製程氣體抽出製程腔室524,並且使用閉迴路控制的流量限制裝置(例如節流閥或擺閥)以維持製程腔室524內之適當低壓。Process gases may exit chamber 524 through outlet 522 . A vacuum pump 540 (e.g., a one- or two-stage mechanical dry pump and/or a turbomolecular pump) can be used to pump process gases out of the process chamber 524 and use a closed-loop controlled flow restriction device (e.g., a throttle or pendulum valve) to An appropriate low pressure within the process chamber 524 is maintained.

如上所述,本文中所討論之沉積技術可實施於多站或單站工具上。在特定實行例中,可使用具有4站沉積架構之300 mm Lam VectorTM 工具、或具有6站沉積架構之200 mm SequelTM 工具。在某些實行例中,可使用用於處理450 mm晶圓之工具。在各種實行例中,晶圓可在每一沉積及∕或沉積後電漿處理之後進行索引,或若蝕刻腔室或站亦為相同工具之部分時可在蝕刻操作之後進行索引,或在索引晶圓之前可在單一站實施多個沉積及處理。As noted above, the deposition techniques discussed herein can be implemented on multi-station or single-station tools. In a particular implementation, a 300 mm Lam Vector tool with a 4-station deposition architecture, or a 200 mm Sequel tool with a 6-station deposition architecture may be used. In some implementations, tools for processing 450 mm wafers may be used. In various implementations, the wafer can be indexed after each deposition and/or post-deposition plasma treatment, or after the etch operation if the etch chamber or station is also part of the same tool, or after the indexing Wafers can be previously deposited and processed at a single station.

在某些實施例中,可提供一種設備,用以實施本文中所述之技術。合適的設備可包含用於實施各種製程操作之硬體,以及具有用於根據所揭露實施例而控制製程操作之指令之系統控制器530。系統控制器530通常包含一或更多記憶體裝置及一或更多處理器,其與各種製程控制設備(例如閥、RF產生器、晶圓搬運系統、等)通訊連接並用以執行指令,俾使該設備將實施根據所揭露實施例之技術。包含用於根據本揭露內容而控制製程操作之指令之機器可讀媒體可耦接至系統控制器530。系統控制器530可與各種硬體裝置(例如質量流量控制器、閥、RF產生器、真空泵、等)通訊連接,以協助與本文中所述之沉積操作有關之各種製程參數之控制。In some embodiments, an apparatus may be provided for implementing the techniques described herein. Suitable equipment may include hardware for performing various process operations, as well as a system controller 530 having instructions for controlling the process operations in accordance with disclosed embodiments. System controller 530 typically includes one or more memory devices and one or more processors that communicate with and execute instructions from various process control devices (e.g., valves, RF generators, wafer handling systems, etc.) to causing the device to implement techniques in accordance with the disclosed embodiments. A machine-readable medium containing instructions for controlling process operations in accordance with the present disclosure may be coupled to system controller 530 . System controller 530 can be in communication with various hardware devices (eg, mass flow controllers, valves, RF generators, vacuum pumps, etc.) to assist in the control of various process parameters associated with the deposition operations described herein.

在某些實施例中,系統控制器530可控制反應器500之所有活動。系統控制器530可執行系統控制軟體,系統控制軟體係儲存在大容量儲存裝置中、載入至記憶體裝置中、以及在處理器上執行。系統控制軟體可包含用以控制以下者之指令:氣體流動之時序、晶圓移動、RF產生器啓動、等,以及氣體之混合、腔室及∕或站壓力、腔室及∕或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板底座、夾盤及∕或托座位置、及藉由反應器設備500而實施之特定製程之其它參數。系統控制軟體可以任何適當的方式加以配置。例如,可撰寫各種處理工具構件子程序或控制物件,以控制用於實行各種處理工具處理所需之處理工具構件之操作。系統控制軟體可以任何適當的電腦可讀程式語言加以編碼。In some embodiments, system controller 530 may control all activities of reactor 500 . The system controller 530 can execute system control software, which is stored in the mass storage device, loaded into the memory device, and executed on the processor. The system control software may include instructions to control the timing of gas flow, wafer movement, RF generator activation, etc., as well as mixing of gases, chamber and/or station pressure, chamber and/or station temperature, Wafer temperature, target power level, RF power level, substrate mount, chuck and/or holder position, and other parameters for a particular process being performed by reactor apparatus 500 . System control software may be configured in any suitable manner. For example, various process tool component subroutines or control objects can be written to control the operation of the process tool components needed to perform the various process tool processes. System control software can be coded in any suitable computer readable programming language.

系統控制器530通常可包含一或更多記憶體裝置、以及用以執行指令之一或更多處理器,俾使設備將實施根據本揭露內容之技術。包含用以根據所揭露實施例而控制製程操作之指令之機器可讀媒體可耦接至系統控制器530。System controller 530 may generally include one or more memory devices, and one or more processors for executing instructions such that a device will implement techniques in accordance with this disclosure. A machine-readable medium containing instructions to control process operations according to disclosed embodiments may be coupled to system controller 530 .

一或更多處理站可包含於多站處理工具中。圖6顯示多站處理工具600之實施例之概要圖,具有入站負載鎖室602及出站負載鎖室604,入站負載鎖室602及出站負載鎖室604其中一或兩者可包含遠端電漿源。在大氣壓力下之機械臂606係用以將晶圓自卡匣(透過盒608而裝載)經由大氣埠610移動至入站負載鎖室602中。藉由機械臂606將晶圓放置在入站負載鎖室602中之底座612上,關閉大氣埠610,且抽空負載鎖室。在入站負載鎖室602包含遠端電漿源之情況中,可使晶圓在被導入製程腔室614之前在負載鎖室中暴露至遠端電漿處理。此外,晶圓亦可在入站負載鎖室602中進行加熱,例如,以移除濕氣及吸附的氣體。接著,打開往製程腔室614之腔室傳送埠616,另一機械臂(未顯示)將晶圓放置在反應器中且在第一站(顯示在反應器中)之底座上,以進行處理。雖然所繪示之實施例包含負載鎖室,但應當了解,在某些實施例中,晶圓可直接進入處理站中。One or more processing stations may be included in a multi-station processing tool. 6 shows a schematic diagram of an embodiment of a multi-station processing tool 600 having an inbound load lock chamber 602 and an outbound load lock chamber 604, either or both of which may contain Remote plasma source. Robot arm 606 at atmospheric pressure is used to move wafers from cassettes (loaded through cassette 608 ) into inbound load lock chamber 602 via atmospheric port 610 . The wafer is placed on the pedestal 612 in the inbound load lock chamber 602 by the robotic arm 606, the atmospheric port 610 is closed, and the load lock chamber is evacuated. Where the inbound load lock chamber 602 includes a remote plasma source, the wafers may be exposed to remote plasma processing in the load lock chamber before being introduced into the process chamber 614 . In addition, the wafer may also be heated in the inbound load lock chamber 602, for example, to remove moisture and sorbed gases. Next, the chamber transfer port 616 to the process chamber 614 is opened and another robotic arm (not shown) places the wafer in the reactor and on the base of the first station (shown in the reactor) for processing . While the illustrated embodiment includes a load lock chamber, it should be understood that in some embodiments wafers may enter directly into a processing station.

在圖6所示之實施例中,所繪示之製程腔室614包含四處理站,編號為1到4。每一站具有受到加熱的底座(顯示於站1之618)、及氣體管線入口。應當了解,在某些實施例中,每一處理站可具有不同或多個目的。例如,處理站1-4每一者可為用於實施ALD、CVD、CFD、或蝕刻(其中任一者可為電漿輔助的)其中一或更多者之腔室。在一實施例中,處理站其中至少一者為具有如圖5中所示之反應腔室之沉積站,且其它處理站其中至少一者為具有如圖4A-4C中所示之反應腔室之蝕刻站。儘管所描繪的製程腔室614包含四站,但應當理解,根據本揭露內容之製程腔室可具有任何適當數目之站。例如,在某些實施例中,製程腔室可具有五或更多站,然而在其它實施例中,製程腔室可具有三或更少站。In the embodiment shown in FIG. 6 , the process chamber 614 is depicted as including four processing stations, numbered 1-4. Each station has a heated base (shown at 618 of station 1), and a gas line inlet. It should be appreciated that in some embodiments, each processing station may have different or multiple purposes. For example, each of processing stations 1-4 may be a chamber for performing one or more of ALD, CVD, CFD, or etching (any of which may be plasma assisted). In one embodiment, at least one of the processing stations is a deposition station with a reaction chamber as shown in Figure 5, and at least one of the other processing stations is a deposition station with a reaction chamber as shown in Figures 4A-4C The etching station. Although the depicted process chamber 614 includes four stations, it should be understood that a process chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a process chamber may have five or more stations, while in other embodiments, a process chamber may have three or fewer stations.

圖6亦描繪晶圓搬運系統609之實施例,晶圓搬運系統609用以在製程腔室614內轉移晶圓。在某些實施例中,晶圓搬運系統609可在各種處理站之間及∕或在處理站與負載鎖室之間轉移晶圓。應當了解,可採用任何適當的晶圓搬運系統。非限制性範例包含晶圓旋轉架及晶圓搬運機械臂。圖6亦描繪系統控制器650之實施例,系統控制器650用以控制處理工具600之製程條件及硬體狀態。系統控制器650可包含一或更多記憶體裝置656、一或更多大容量儲存裝置654、及一或更多處理器652。處理器652可包含CPU或電腦、類比及∕或數位輸入∕輸出連接器、步進馬達控制器板等。FIG. 6 also depicts an embodiment of a wafer handling system 609 for transferring wafers within a process chamber 614 . In some embodiments, the wafer handling system 609 may transfer wafers between various processing stations and/or between a processing station and a load lock. It should be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 6 also depicts an embodiment of a system controller 650 for controlling process conditions and hardware status of the processing tool 600 . System controller 650 may include one or more memory devices 656 , one or more mass storage devices 654 , and one or more processors 652 . The processor 652 may include a CPU or computer, analog and/or digital input/output connectors, a stepper motor controller board, and the like.

在某些實行例中,系統控制器為系統之一部分,其可為上述範例之一部分。此類系統可包含半導體製程設備,其中包含一處理工具或複數處理工具、一腔室或複數腔室、用以進行處理之一平台或複數平台、及∕或特定的處理構件(晶圓底座、氣體流動系統、等)。這些系統可與電子元件整合,電子元件係用於在半導體晶圓或基板之處理之前、期間內、及之後控制它們的操作。電子元件可稱為「控制器」,該控制器可控制一系統或複數系統之各種構件或子部分。根據系統之類型及∕或處理需求,可將控制器程式化以控制本文中所揭露之任何處理,包含製程氣體之傳送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體傳送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或負載鎖室。In some implementations, the system controller is part of the system, which may be part of the above examples. Such systems may include semiconductor processing equipment including a processing tool or tools, a chamber or chambers, a platform or platforms for processing, and/or specific processing components (wafer base, gas flow system, etc.). These systems can be integrated with electronics used to control the operation of semiconductor wafers or substrates before, during, and after their processing. Electronic components may be referred to as "controllers," which control various components or subsections of a system or systems. Depending on the type of system and/or process requirements, the controller can be programmed to control any of the processes disclosed herein, including delivery of process gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, Power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid transfer settings, positioning and operation settings, wafer transfers into and out of tools connected to or interfaced with specific systems and Other transfer means and/or load lock chambers.

廣義而言,控制器可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包含儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至控制器之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實行特定處理之操作參數。在某些實施例中,操作參數可為由製程工程師所定義之配方之一部分,以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多製程操作。In a broad sense, a controller can be defined as a variety of integrated circuits, logic, memory, and / or electronic components of software. An integrated circuit may include a chip in the form of firmware storing program instructions, a digital signal processor (DSP), a chip defined as an application-specific integrated circuit (ASIC), and/or one or more microprocessors, or executing program Instructions (eg, software) of the microcontroller. Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operating parameters for performing specific processes on or to the semiconductor wafer or to the system. In some embodiments, the operating parameters may be part of a recipe defined by a process engineer for one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and One or more process operations are completed during the manufacture of the die and/or the die.

在某些實行例中,控制器可為電腦之一部分或耦接至電腦,該電腦與該系統整合、耦接至該系統、以其它方式網路連接至該系統、或其組合。例如,控制器可在「雲端」中、或使得晶圓處理之遠端控制得以進行之工廠主機電腦系統之全部或一部分中。該電腦可使得對系統之遠端控制得以進行,以監控製造操作之當前製程、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前製程之參數、設置在當前製程之後之製程操作、或開始新的處理。在某些範例中,遠端電腦(例如伺服器)可透過網路而將製程配方提供至系統,網路可包含區域網路或網際網路。遠端電腦可包含使用者界面,使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至該系統。在某些範例中,控制器接收數據形式之指令,指令為待於一或更多操作期間內實施之製程操作其中每一者指定了參數。應當了解,參數可針對待實施之製程類型、及控制器與其接合或對其進行控制之工具類型。因此,如上所述,控制器可為分散式的,例如藉由包含以網路連接在一起並朝著共同目標(例如本文中所述之製程及控制)工作之一或更多獨立控制器。用於此類目標之分散式控制器之範例將是腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路通訊相結合,以控制腔室中之處理。In some implementations, the controller can be part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the "cloud," or all or part of the factory's mainframe computer system that enables remote control of wafer processing. The computer enables remote control of the system to monitor the current process of the manufacturing operation, check the history of past manufacturing operations, check the trend or performance evaluation of multiple manufacturing operations, change the parameters of the current process, set the current process Subsequent process operations, or start a new process. In some examples, a remote computer (eg, a server) can provide the recipe to the system via a network, which can include a local area network or the Internet. The remote computer may include a user interface that enables the input or programming of parameters and/or settings that are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data specifying parameters for each of the process operations to be performed during one or more operations. It should be appreciated that the parameters may be specific to the type of process to be performed, and the type of tool with which the controller interfaces or controls. Thus, as noted above, the controller may be decentralized, eg, by including one or more independent controllers networked together and working toward a common goal, such as the process and control described herein. An example of a distributed controller for such purposes would be one or more integrated circuits in a chamber that are connected to one or more integrated circuits located remotely (e.g., at platform level or as a remote computer part of) one or more integrated circuits in communication to control the processing in the chamber.

非限制性地,示例性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、分子層沉積(MLD)腔室或模組、自組裝單層(SAM)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。Without limitation, exemplary systems may include plasma etch chambers or modules, deposition chambers or modules, spin cleaning chambers or modules, metal plating chambers or modules, cleaning chambers or modules, ramp Edge etching chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, molecular layer deposition ( MLD) chamber or module, self-assembled monolayer (SAM) chamber or module, atomic layer etching (ALE) chamber or module, ion implantation chamber or module, orbital chamber or module, and Any other semiconductor processing system relating to or used in the processing and/or manufacturing of semiconductor wafers.

如上所述,取決於欲由工具所實施之製程操作,控制器可與下列之一或更多者通訊:其它工具電路或模組、其它工具構件、叢集工具、其它工具界面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。As noted above, depending on the process operations to be performed by the tool, the controller may communicate with one or more of the following: other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, Proximity to tools, tools located throughout the fab, host computer, another controller, or material transfer tools used to move wafer containers into and out of tool locations and/or load ports in a semiconductor fabrication facility.

在某些實施例中,控制器具有指令,以實施關於圖2所示及所述之操作。例如,控制器可具有指令以循環地:(a) 實施蝕刻操作,以在基板上部分地蝕刻特徵部,及 (b) 沉積保護性側壁覆層在已蝕刻的特徵部中,而並未實質地蝕刻基板。指令可關於使用所揭露的反應條件以實施這些製程。在某些情況中,用於沉積第一保護膜之指令係不同於用於沉積第二保護膜之指令。指令可能在反應腔室壓力、反應物傳送速率、反應物供給時間、RF時間、RF功率、RF工作週期等方面有所不同。用於沉積第一保護層與第二保護層之指令之差異可能導致第一與第二保護層形成為具有不同的特性,例如保形、厚度、密度、及∕或組成。在某些實行例中,指令亦可關於在蝕刻與沉積腔室之間傳送基板。In some embodiments, the controller has instructions to carry out the operations shown and described with respect to FIG. 2 . For example, the controller may have instructions to cyclically: (a) perform an etch operation to partially etch features on the substrate, and (b) deposit a protective sidewall coating in the etched features without substantially etch the substrate. Instructions are available for carrying out these processes using the disclosed reaction conditions. In some cases, the instructions for depositing the first protective film are different than the instructions for depositing the second protective film. Instructions may vary in reaction chamber pressure, reactant delivery rate, reactant supply time, RF time, RF power, RF duty cycle, and the like. Differences in the instructions for depositing the first protective layer and the second protective layer may result in the first and second protective layers being formed to have different properties, such as conformality, thickness, density, and/or composition. In some implementations, the instructions may also relate to transferring the substrate between the etch and deposition chambers.

返回圖6之實施例,在某些實施例中,系統控制器650控制處理工具600之所有活動。系統控制器650執行系統控制軟體658,系統控制軟體658係儲存於大容量儲存裝置654中、載入至記憶體裝置656中、以及在處理器652上執行。或者,可將控制邏輯硬編碼於系統控制器650中。對於這些目的,可使用特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘陣列,或FPGA)及類似者。在以下討論中,在使用「軟體」或「編碼」之任何情況中,可適當地使用功能上可比較的硬編碼邏輯。系統控制軟體658可包含用以控制以下者之指令:時序、氣體之混合、腔室及∕或站壓力、腔室及∕或站溫度、晶圓溫度、目標功率位準、RF功率位準、RF暴露時間、基板底座、夾盤及∕或托座位置、及藉由處理工具600而實施之特定製程之其它參數。系統控制軟體658可以任何適當的方式加以配置。例如,可撰寫各種處理工具構件子程序或控制物件,以控制用於實行各種處理工具處理所需之處理工具構件之操作。系統控制軟體658可以任何適當的電腦可讀程式語言加以編碼。Returning to the embodiment of FIG. 6 , in some embodiments, the system controller 650 controls all activities of the processing tool 600 . System controller 650 executes system control software 658 that is stored in mass storage device 654 , loaded into memory device 656 , and executed on processor 652 . Alternatively, the control logic may be hard-coded into the system controller 650 . For these purposes, application specific integrated circuits, programmable logic devices (eg, field programmable gate arrays, or FPGAs), and the like may be used. In the following discussion, wherever "software" or "coding" is used, functionally comparable hard-coded logic may be used as appropriate. System control software 658 may include instructions to control timing, mixing of gases, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power level, RF power level, RF exposure time, substrate mount, chuck and/or holder positions, and other parameters of the particular process being performed by processing tool 600 . System control software 658 may be configured in any suitable manner. For example, various process tool component subroutines or control objects can be written to control the operation of the process tool components needed to perform the various process tool processes. System control software 658 can be encoded in any suitable computer readable programming language.

在某些實施例中,系統控制軟體658可包含輸入∕輸出控制(IOC)序列指令,用以控制上述之各種參數。例如,CFD製程之每一階段可包含由系統控制器650所執行之一或更多指令。在對應的ALD配方階段中可包含用於設定ALD製程階段之製程條件之指令。在某些實施例中,ALD配方階段可依序排列,俾使用於ALD製程階段之所有指令與該製程階段同時執行。In some embodiments, the system control software 658 may include input/output control (IOC) sequence commands to control the various parameters described above. For example, each stage of the CFD process may include one or more instructions executed by the system controller 650 . Instructions for setting process conditions of the ALD process stage may be included in the corresponding ALD recipe stage. In some embodiments, the ALD recipe stages may be sequenced such that all instructions for an ALD process stage are executed concurrently with that process stage.

在某些實施例中,可採用儲存於與系統控制器650相聯繫之大容量儲存裝置654及∕或記憶體裝置656上之其它電腦軟體及∕或程式。用於此目的之程式或程式片段之範例包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。In some embodiments, other computer software and/or programs stored on mass storage device 654 and/or memory device 656 associated with system controller 650 may be employed. Examples of programs or program segments used for this purpose include substrate positioning programs, process gas control programs, pressure control programs, heater control programs, and plasma control programs.

基板定位程式可包含用於處理工具構件之程式碼,處理工具構件係用以將基板裝載至底座618上,並且用以控制在基板與處理工具600之其它零件之間之間距。The substrate positioning program may include code for process tool components used to load substrates onto pedestal 618 and to control the spacing between substrates and other parts of process tool 600 .

製程氣體控制程式可包含用以控制氣體組成及流率之編碼,以及可選地,用以在沉積之前使氣體流動至一或更多處理站中以穩定處理站壓力之編碼。在某些實施例中,控制器包含用以沉積奈米疊層保護層在核心層上之指令、及用以沉積保形層在保護層上之指令。A process gas control program may include code to control gas composition and flow rates, and optionally, code to flow gases into one or more process stations to stabilize process station pressures prior to deposition. In some embodiments, the controller includes instructions for depositing a nanolaminate protective layer on the core layer and instructions for depositing a conformal layer on the protective layer.

壓力控制程式可包含用以控制處理站內壓力之編碼,其係藉由調節,例如,在處理站之排氣系統中之節流閥、進入處理站之氣體流動、等。在某些實施例中,控制器包含用以沉積奈米疊層保護層在核心層上之指令、及用以沉積保形層在保護層上之指令。The pressure control program may include code to control the pressure within the processing station by adjusting, for example, a throttle valve in the exhaust system of the processing station, the flow of gas into the processing station, and the like. In some embodiments, the controller includes instructions for depositing a nanolaminate protective layer on the core layer and instructions for depositing a conformal layer on the protective layer.

加熱器控制程式可包含用以控制至加熱單元之電流之編碼,加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱轉移氣體(例如,氦)至基板之傳送。在某些實行例中,控制器包含用以在第一溫度下沉積奈米疊層保護層之指令、及在第二溫度下沉積保形層在保護層上之指令,其中第二溫度係高於第一溫度。The heater control program may include code to control the electrical current to the heating unit used to heat the substrate. Alternatively, the heater control program can control the delivery of a heat transfer gas (eg, helium) to the substrate. In some implementations, the controller includes instructions to deposit the nanolaminate protective layer at a first temperature, and instructions to deposit a conformal layer on the protective layer at a second temperature, wherein the second temperature is higher than at the first temperature.

根據本文中之實施例,電漿控制程式可包含用以設定在一或更多處理站中之RF功率位準及暴露時間之編碼。在某些實施例中,控制器包含用以在第一RF功率位準及RF持續時間下沉積奈米疊層保護層之指令、及用以在第二RF功率位準及RF持續時間下沉積保形層在保護層上之指令。第二RF功率位準及∕或第二RF持續時間可能高於∕長於第一RF功率位準∕持續時間。According to embodiments herein, a plasma control program may include code to set RF power levels and exposure times in one or more processing stations. In some embodiments, the controller includes instructions to deposit the nanostack protective layer at a first RF power level and RF duration, and to deposit Instructions for conformal layer over protective layer. The second RF power level and/or the second RF duration may be higher/longer than the first RF power level/duration.

在某些實施例中,可能具有與系統控制器650相聯繫之使用者介面。使用者介面可包含顯示螢幕、設備及∕或製程條件之圖形軟體顯示、以及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風、等。In some embodiments, there may be a user interface associated with the system controller 650 . User interfaces may include display screens, graphical software displays of equipment and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, and the like.

在某些實施例中,由系統控制器650所調整之參數可能與製程條件有關。非限制性範例包含製程氣體組成及流率、溫度、壓力、電漿條件(例如,RF偏壓功率位準及暴露時間)、等。這些參數可以配方之形式而提供給使用者,配方可利用使用者介面加以輸入。In some embodiments, the parameters adjusted by the system controller 650 may be related to process conditions. Non-limiting examples include process gas composition and flow rate, temperature, pressure, plasma conditions (eg, RF bias power level and exposure time), and the like. These parameters may be provided to the user in the form of a recipe, which may be entered using the user interface.

藉由系統控制器650之類比及∕或數位輸入連接,可自各種處理工具感測器而提供用以監控製程之訊號。用以控制製程之訊號可在處理工具600之類比及數位輸出連接上進行輸出。可受監控之處理工具感測器之非限制性範例包含質量流量控制器、壓力感測器(例如,壓力計)、熱偶等。適當編程之反饋及控制演算法可與來自這些感測器之資料一起用來維持製程條件。Through analog and/or digital input connections of the system controller 650, signals for monitoring the process can be provided from various process tool sensors. Signals used to control the process can be output on analog and digital output connections of the processing tool 600 . Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (eg, manometers), thermocouples, and the like. Appropriately programmed feedback and control algorithms can be used with data from these sensors to maintain process conditions.

系統控制器650可提供用以實施上述沉積製程之程式指令。程式指令可控制各種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、等。根據本文中所述之各種實施例,指令可控制參數,以操作膜堆疊之原位沉積。The system controller 650 may provide program instructions for implementing the deposition process described above. Program instructions can control various process parameters, such as DC power level, RF bias power level, pressure, temperature, and so on. According to various embodiments described herein, instructions may control parameters to operate in situ deposition of film stacks.

典型地,系統控制器將包含一或更多記憶體裝置、以及一或更多用以執行指令之處理器,俾使設備將實施根據所揭露的實施例之方法。機器可讀的、非暫態的媒體可耦接至系統控制器,該機器可讀的、非暫態的媒體包含用以根據所揭露的實施例而控制製程操作之指令。Typically, the system controller will include one or more memory devices, and one or more processors for executing instructions such that the apparatus will implement methods according to the disclosed embodiments. A machine-readable, non-transitory medium may be coupled to the system controller, the machine-readable, non-transitory medium containing instructions for controlling process operations according to disclosed embodiments.

上述之各種硬體及方法實施例可結合微影圖案化工具或製程而加以使用,例如,用於半導體裝置、顯示器、LED、太陽光電板等之製造或生產。通常,但不一定,此類工具∕製程將在共同製造設施內一起使用或執行。The various hardware and method embodiments described above may be used in conjunction with lithographic patterning tools or processes, for example, in the fabrication or production of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, but not necessarily, such tools/processes will be used or performed together in a common manufacturing facility.

圖7描繪具有各種模組之半導體處理叢集架構,該等模組與真空傳送模組738(VTM)接合。在多個儲存設備及製程模組之中「傳送」基板之傳送模組之配置可被稱為「叢集工具架構」系統。氣室730(亦稱為負載鎖室或傳送模組)係顯示在具有四個製程模組720a-720d之VTM 738中,製程模組720a-720d可個別地最佳化以實施各種製造處理。舉例而言,可實行製程模組720a-720d以實施基板蝕刻、沉積、離子植入、基板清潔、濺鍍、及∕或其它半導體製程、以及雷射計量和其它缺陷偵測及缺陷識別方法。製程模組之一或更多者(720a-720d之任何一者)可如本文中所揭露而加以實行,亦即,用於將凹陷特徵部蝕刻至基板中、沉積保護膜在凹陷特徵部之側壁上、以及根據所揭露實施例之其它適當功能。氣室730及製程模組720a-720d可被稱為「站」。每個站具有將站與VTM 738接合之面部736。在該面部中,感測器1-18用以當基板726在個別的站之間移動時偵測基板726之通過。FIG. 7 depicts a semiconductor processing cluster architecture with various modules interfaced with a vacuum transfer module 738 (VTM). The configuration of transfer modules that "transfer" substrates among multiple storage devices and process modules may be referred to as a "cluster tool architecture" system. A plenum 730 (also known as a load lock or transfer module) is shown in a VTM 738 with four process modules 720a-720d that can be individually optimized to perform various manufacturing processes. For example, process modules 720a-720d may be implemented to perform substrate etching, deposition, ion implantation, substrate cleaning, sputtering, and/or other semiconductor processing, as well as laser metrology and other defect detection and defect identification methods. One or more of the process modules (any of 720a-720d) may be implemented as disclosed herein, i.e., for etching recessed features into the substrate, depositing a protective film over the recessed features sidewalls, and other suitable functions according to the disclosed embodiments. The plenum 730 and process modules 720a-720d may be referred to as "stations." Each station has a face 736 that interfaces the station with a VTM 738 . In this section, sensors 1-18 are used to detect the passage of a substrate 726 as it moves between individual stations.

機械臂722在站之間傳送基板。在一實行例中,機械臂可具有一手臂,而在另一實行例中,機械臂可具有二手臂,其中每一手臂具有末端執行器724以拾取基板而進行傳輸。在大氣傳送模組(ATM)740中,前端機械臂732可用以將基板由裝載埠模組(LPM)742中之卡匣或前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)734傳送至氣室730。在製程模組720a-720d內部之模組中心728可為用於放置基板之一位置。在ATM 740中之對準器744可用以對準基板。Robotic arm 722 transfers substrates between stations. In one implementation, the robotic arm may have one arm, and in another implementation, the robotic arm may have two arms, where each arm has an end effector 724 for picking up substrates for transfer. In the atmospheric transfer module (ATM) 740, the front robot arm 732 can be used to transfer the substrate from the cassette in the load port module (LPM) 742 or the front opening wafer transfer box (Front Opening Unified Pod, FOUP) 734 to Gas chamber 730 . Module center 728 inside process modules 720a-720d may be a location for placing substrates. Aligners 744 in ATM 740 may be used to align substrates.

在一示例性製程方法中,將基板放置於LPM 742中之FOUP 734其中一者內。前端機械臂732將基板由FOUP 734傳送至對準器744,對準器744容許基板726在被蝕刻、或被沉積於其上、或以其它方式處理之前正確地置中。在經過對準之後,前端機械臂732將基板移動至氣室730中。因為氣室模組具有使ATM與VTM之間之環境相匹配之能力,所以基板能夠在二種壓力環境之間移動而不受損害。機械臂722將基板從氣室730經由VTM 738移動至製程模組720a-720d其中一者中,例如製程模組720a中。為達成此基板移動,機械臂722使用在其手臂每一者上之末端執行器724。在製程模組720a中,基板遭受如本文中所述之蝕刻,以形成已部分蝕刻的特徵部。接著,機械臂722將基板移出製程模組720a,進入VTM 738,且接著進入不同的製程模組720b。在製程模組720b中,將保護膜沉積在已部分蝕刻的特徵部之側壁上。接著,機械臂722將基板移出製程模組720b,進入VTM 738,且進入製程模組720a,在製程模組720a中進一步蝕刻該已部分蝕刻的特徵部。可重複蝕刻∕沉積直到完全蝕刻該特徵部。In an exemplary process method, the substrate is placed in one of the FOUPs 734 in the LPM 742 . The front end robot 732 transfers the substrate from the FOUP 734 to the aligner 744, which allows the substrate 726 to be properly centered before being etched, or deposited thereon, or otherwise processed. After being aligned, the front end robot 732 moves the substrate into the plenum 730 . Because the plenum module has the ability to match the environment between the ATM and the VTM, the substrate can move between the two pressure environments without damage. The robot arm 722 moves the substrate from the plenum 730 via the VTM 738 into one of the process modules 720a-720d, such as the process module 720a. To achieve this substrate movement, the robotic arm 722 uses end effectors 724 on each of its arms. In process module 720a, the substrate is etched as described herein to form partially etched features. Robotic arm 722 then moves the substrate out of process module 720a, into VTM 738, and then into a different process module 720b. In process module 720b, a protective film is deposited on the sidewalls of the partially etched features. Robotic arm 722 then moves the substrate out of process module 720b, into VTM 738, and into process module 720a, where the partially etched features are further etched. Etch/deposition can be repeated until the feature is fully etched.

應當注意,控制基板移動之電腦可能在叢集架構之內部,或可能位於製造現場中之叢集架構之外部,或位於遠端位置中並經由網路而連接至叢集架構。It should be noted that the computer controlling the movement of the substrates may be inside the cluster, or may be located outside the cluster in the manufacturing site, or in a remote location connected to the cluster via a network.

膜之微影圖案化通常包含下述操作之一些或全部,每個操作以幾個可能的工具而提供:(1) 在工作件(例如,具有矽氮化物膜形成於其上之基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或其它合適的固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露於可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如濕式清洗台或噴塗式顯影器)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方膜或工作件中;及 (6) 使用工具(例如RF或微波電漿光阻剝除器)移除光阻。在某些實施例中,在塗佈光阻之前,可沉積可灰化硬遮罩層(例如非晶碳層)及另一合適的硬遮罩(例如抗反射層)。實驗及模擬結果 Photolithographic patterning of films typically involves some or all of the following operations, each provided in several possible tools: (1) on a workpiece (e.g., a substrate having a silicon nitride film formed thereon) Coating of photoresist using spin-coating or spraying tools; (2) curing of photoresist using a hot plate or oven or other suitable curing tool; (3) tooling (e.g., wafer stepper) exposing the photoresist to visible or UV light or x-ray light; (4) developing the photoresist so that it can be selectively removed and thus patterned using a tool such as a wet clean station or a spray developer ; (5) transfer the photoresist pattern to the underlying film or workpiece using dry or plasma assisted etching tools; and (6) remove the photoresist using tools such as RF or microwave plasma photoresist strippers. In some embodiments, an ashable hard mask layer, such as an amorphous carbon layer, and another suitable hard mask, such as an anti-reflective layer, may be deposited prior to coating the photoresist. Experimental and Simulation Results

圖8A-8C描繪在高深寬比圓筒狀物中之保形(圖8A)、超保形(圖8B)及次保形(圖8C)沉積狀態。每一圖式顯示形成在特徵部側壁上之保護膜,在此範例中,特徵部係形成在氧化物及多晶矽之交替膜層中,並且具有一層矽氮化物(SiN)及一可灰化硬遮罩(AHM)於頂部。圖8D-8F呈現曲線圖,描繪在不同特徵部深度處之保護膜之厚度,其中沉積為保形的(圖8D,對應至圖8A之實施例)、超保形的(圖8E,對應至圖8B之實施例)、或次保形的(圖8F,對應至圖8C之實施例)。圖8A-8F並非關於實驗或模擬結果,而是一般地描述不同的沉積狀態。保形狀態有時被稱為「高度保形的」,其表示在整個特徵部各處之膜厚度是相對均勻的。對於超保形膜,該膜在特徵部之底部側壁附近之沉積是相對較厚,而在特徵部之頂部側壁附近是相對較薄。相較之下,對於次保形膜,該膜在特徵部之頂部側壁附近之沉積是相對較厚,而在特徵部之底部側壁附近是相對較薄(或完全沒有)。8A-8C depict conformal (FIG. 8A), super-conformal (FIG. 8B) and sub-conformal (FIG. 8C) deposition regimes in high aspect ratio cylinders. Each figure shows the protective film formed on the sidewall of the feature, in this example, the feature is formed in alternating layers of oxide and polysilicon, and has a layer of silicon nitride (SiN) and an ashable hard Mask (AHM) on top. 8D-8F present graphs depicting the thickness of the protective film at different feature depths, where the deposition is conformal (FIG. 8D, corresponding to the example of FIG. 8A), super-conformal (FIG. 8E, corresponding to the example of FIG. 8A ). embodiment of FIG. 8B ), or subconformal (FIG. 8F , corresponding to the embodiment of FIG. 8C ). Figures 8A-8F are not about experimental or simulation results, but generally depict different deposition states. The conformal state is sometimes referred to as "highly conformal," meaning that the film thickness is relatively uniform throughout the feature. For ultra-conformal films, the deposition of the film is relatively thick near the bottom sidewall of the feature and relatively thin near the top sidewall of the feature. In contrast, for a sub-conformal film, the deposition of the film is relatively thick near the top sidewall of the feature and relatively thin (or absent at all) near the bottom sidewall of the feature.

本文中之某些實施例可使用保形、超保形、及∕或次保形沉積之組合於保護層。在一範例中,至少一保護膜為高度保形的,且至少一其它保護膜為次保形的。在另一範例中,至少一保護膜為次保形的,且至少一其它保護膜為甚至更大程度上次保形的(例如,延伸至特徵部中較不深處,如即時特徵部深度之百分比)。Certain embodiments herein may use a combination of conformal, ultra-conformal, and/or sub-conformal deposition on the protective layer. In one example, at least one protective film is highly conformal and at least one other protective film is less conformal. In another example, at least one protective film is subconformal and at least one other protective film is even more subconformal (e.g., extending less deeply into the feature, such as the instant feature depth percentage).

圖9A繪示模擬結果,顯示根據次保形沉積狀態之已蝕刻的特徵部之平均關鍵尺寸對於蝕刻深度之關係。上方的線顯示在蝕刻製程之後、在沉積保護膜在特徵部側壁上之前之特徵部之平均關鍵尺寸。下方的線顯示在沉積保護膜在特徵部側壁上之後之特徵部之平均關鍵尺寸。明顯地,保護膜在特徵部頂部(在沉積之前CD最大之處)附近沉積較厚,在特徵部底部附近沉積較薄。保護膜之厚度對應至在沉積保護膜前之平均CD對於在沉積後之平均CD之間之差異。例如,在遮罩層下之區域中、在堆疊之頂部附近(在大約200 nm深之弧形區域處),保護膜為約5 nm厚。更深入特徵部中(例如,在大約600 nm之蝕刻深度處),保護膜是較薄的,具有約3.5 nm之平均厚度。在特徵部之底部附近(例如,在大約1200 nm之蝕刻深度處),保護膜是實質上較薄的,具有僅約0.7 nm之平均厚度。此些結果令人想到,次保形膜可能形成在本文中所述之相關的特徵部幾何形狀處。此外,此些結果令人想到,次保形膜可能明確地對準,以相對厚地沉積在弧形區域處(或上方),而相對薄地(或完全沒有)沉積在弧形區域下方。在蝕刻及沉積之數個重複處理之後,其結果為,已蝕刻的特徵部具有相對筆直∕垂直的輪廓。相較之下,在總是以保形的方式沉積保護膜之情況中,產生的特徵部通常具有斜的輪廓(特徵部在頂部附近較厚且在底部附近較窄)。FIG. 9A depicts simulation results showing the average critical dimension of etched features versus etch depth according to a subconformal deposition state. The upper line shows the average CD of the features after the etch process, before depositing a protective film on the feature sidewalls. The lower line shows the average critical dimension of the features after depositing the protective film on the feature sidewalls. Clearly, the protective film is deposited thicker near the top of the feature (where the CD is greatest before deposition) and thinner near the bottom of the feature. The thickness of the protective film corresponds to the difference between the average CD before deposition of the protective film and the average CD after deposition. For example, in the region under the mask layer, near the top of the stack (at the arcuate region about 200 nm deep), the protective film is about 5 nm thick. Deeper into the features (eg, at an etch depth of about 600 nm), the protective film is thinner, with an average thickness of about 3.5 nm. Near the bottom of the features (eg, at an etch depth of about 1200 nm), the protective film is substantially thinner, with an average thickness of only about 0.7 nm. These results suggest that sub-conformal films may form at the relevant feature geometries described herein. Furthermore, these results suggest that the sub-conformal film may be specifically aligned to be deposited relatively thickly at (or over) the arcuate region and relatively thin (or not at all) below the arcuate region. After several iterations of etching and deposition, the result is that the etched features have relatively straight/vertical profiles. In contrast, where the protective film is always deposited conformally, the resulting features typically have a sloped profile (features are thicker near the top and narrower near the bottom).

在保護膜保形地形成之情況中,因為保護膜在特徵部側壁之所有區域上形成相等的厚度,所以可能產生斜的輪廓。圖9B繪示模擬結果,顯示對於根據保形狀態所沉積之保護層而言,保護膜之厚度為蝕刻深度之函數。如上所述,對於圖9B所示之二沉積膜,在整個特徵部各處,厚度都是相當固定的。當情況如此時,弧形區域之保護係大約與在弧形部之下之區域有相同的程度。然而,在後續的蝕刻操作中,製程條件在弧形區域處是最嚴苛的(例如,由於特徵部幾何形狀及蝕刻製程之指向性),且特徵部在此區域被蝕刻的最多。結果為,經由蝕刻∕沉積之數個重複處理,弧形區域仍舊增大(相較於在弧形部之下之區域)。雖然弧形部之增大不會像沒有保護膜時那麼快,其依舊會增大(相較於在弧形部之下之區域),弧形部之下之區域同樣地被適當保護但面對較不嚴苛的蝕刻條件。使用次保形保護膜容許保護膜對準其最有用的地方(例如,在蝕刻條件最為嚴苛之弧形區域處)。實際上,保護膜做為犧牲材料,可在每一蝕刻操作期間完全或部分被蝕刻掉。In cases where the protective film is conformally formed, a sloped profile may result because the protective film is formed to an equal thickness over all areas of the feature sidewalls. Figure 9B shows simulation results showing the thickness of the protective film as a function of etch depth for protective layers deposited according to the conformal state. As noted above, for the two deposited films shown in Figure 9B, the thickness is fairly constant throughout the entire feature. When this is the case, the arcuate area is protected to about the same degree as the area below the arcuate portion. However, during subsequent etch operations, process conditions are most severe at the arcuate region (eg, due to feature geometry and directionality of the etch process), and features are etched the most in this region. As a result, through several iterations of etch/deposition, the arcuate area still increases (compared to the area under the arcuate portion). Although the arc will not grow as fast as without the protective film, it will still increase (compared to the area under the arc), the area under the arc is also properly protected but the surface For less severe etch conditions. Using a subconformal pellicle allows the pellicle to be aligned where it is most useful (for example, in arcuate regions where etch conditions are most severe). In fact, the protection film acts as a sacrificial material that can be completely or partially etched away during each etching operation.

應當了解,本文中所述之配置及∕或方法在本質上是示例性的,且這些特定的實施例或範例不應被視為限制性的,因為可能有許多的變化。本文中所述之特定程序或方法可代表任何數目之製程策略其中一或更多者。因此,所述的各種動作之實施可以所述的順序、以其它順序、以平行方式、或在某些情況中予以省略。同樣地,可改變上述處理之順序。It should be appreciated that the configurations and/or methods described herein are exemplary in nature and that these particular embodiments or examples should not be considered limiting, as many variations are possible. A particular procedure or method described herein may represent one or more of any number of process strategies. As such, performance of the various acts described may be performed in the sequence described, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above-described processing may be changed.

本揭露內容之標的包含各種製程、系統、及配置之所有新穎及非顯而易見之組合和次組合,及本文中所揭露之其它特徵、功能、行動、及∕或性質,以及其任何及所有均等物。The subject matter of the present disclosure includes all novel and nonobvious combinations and subcombinations of various processes, systems, and arrangements, and other features, functions, acts, and/or properties disclosed herein, and any and all equivalents thereof .

102‧‧‧圓筒狀物103‧‧‧介電材料104‧‧‧聚合物側壁覆層105‧‧‧弧形部106‧‧‧圖案化遮罩層200‧‧‧方法201‧‧‧操作203‧‧‧操作205‧‧‧操作207‧‧‧操作211‧‧‧操作213‧‧‧操作215‧‧‧操作217‧‧‧操作219‧‧‧操作302‧‧‧特徵部303‧‧‧材料304‧‧‧第一側壁覆層306‧‧‧圖案化遮罩層310‧‧‧第二側壁覆層312‧‧‧前驅物層320a‧‧‧第一保護膜320b‧‧‧第一保護膜320c‧‧‧保護膜330‧‧‧基板400‧‧‧RF電漿反應器402‧‧‧腔室404‧‧‧腔室外罩405‧‧‧真空腔室內部406‧‧‧下電極408‧‧‧上電極410‧‧‧間隙410a‧‧‧間隙410b‧‧‧間隙410c‧‧‧間隙412‧‧‧開口414‧‧‧絕緣環416‧‧‧支撐板418‧‧‧腔室壁板420‧‧‧RF功率供應器422‧‧‧RF供應導管424‧‧‧RF帶426‧‧‧RF功率構件428‧‧‧階梯狀凸緣430‧‧‧RF偏壓外罩432‧‧‧RF偏壓外罩碗體434‧‧‧RF偏壓外罩臂436‧‧‧接地屏蔽438‧‧‧導管支撐板440‧‧‧設施導管442‧‧‧致動機構444‧‧‧線性軸承446‧‧‧螺旋齒輪448‧‧‧曲徑填封450‧‧‧波紋管452‧‧‧夾圈456‧‧‧固定屏蔽458‧‧‧可移動屏蔽板460‧‧‧曲徑溝槽480‧‧‧真空入口482‧‧‧晶圓埠500‧‧‧反應器502‧‧‧低頻射頻產生器504‧‧‧高頻射頻產生器506‧‧‧匹配網路508‧‧‧歧管510‧‧‧來源氣體管線512‧‧‧入口514‧‧‧噴淋頭516‧‧‧基板518‧‧‧晶圓底座520‧‧‧加熱器塊522‧‧‧出口524‧‧‧製程腔室530‧‧‧系統控制器540‧‧‧真空泵600‧‧‧多站處理工具602‧‧‧入站負載鎖室604‧‧‧出站負載鎖室606‧‧‧機械臂608‧‧‧晶圓傳送盒609‧‧‧晶圓搬運系統610‧‧‧大氣埠612‧‧‧底座614‧‧‧製程腔室616‧‧‧腔室傳送埠618‧‧‧底座650‧‧‧系統控制器652‧‧‧處理器654‧‧‧大容量儲存裝置656‧‧‧記憶體裝置658‧‧‧系統控制軟體720a‧‧‧製程模組720b‧‧‧製程模組720c‧‧‧製程模組720d‧‧‧製程模組722‧‧‧機械臂724‧‧‧末端執行器726‧‧‧基板728‧‧‧模組中心730‧‧‧氣室732‧‧‧前端機械臂734‧‧‧前開式晶圓傳送盒736‧‧‧面部738‧‧‧真空傳送模組740‧‧‧大氣傳送模組742‧‧‧裝載埠模組744‧‧‧對準器102‧‧‧cylindrical object 103‧‧‧dielectric material 104‧‧‧polymer sidewall coating 105‧‧‧curved portion 106‧‧‧patterned mask layer 200‧‧‧method 201‧‧‧operation 203‧‧‧operation 205‧‧‧operation 207‧‧‧operation 211‧‧‧operation 213‧‧‧operation 215‧‧‧operation 217‧‧‧operation 219‧‧‧operation 302‧‧‧feature part 303‧‧‧ Material 304‧‧‧first sidewall coating 306‧‧‧patterned mask layer 310‧‧‧second sidewall coating 312‧‧‧precursor layer 320a‧‧‧first protection film 320b‧‧‧first protection Membrane 320c‧‧‧protective film 330‧‧‧substrate 400‧‧‧RF plasma reactor 402‧‧‧chamber 404‧‧‧chamber cover 405‧‧‧vacuum chamber interior 406‧‧‧lower electrode 408‧ ‧‧Upper electrode 410‧‧‧Gap 410a‧‧‧Gap 410b‧‧‧Gap 410c‧‧‧Gap 412‧‧‧Opening 414‧‧‧Insulating ring 416‧‧‧Support plate 418‧‧‧Chamber wall plate 420 ‧‧‧RF Power Supply 422‧‧‧RF Supply Conduit 424‧‧‧RF Band 426‧‧‧RF Power Member 428‧‧‧Stepped Flange 430‧‧‧RF Bias Housing 432‧‧‧RF Bias Housing Bowl 434‧‧‧RF Biasing Housing Arm 436‧‧‧Ground Shield 438‧‧‧Conduit Support Plate 440‧‧‧Facility Conduit 442‧‧‧Actuation Mechanism 444‧‧‧Linear Bearing 446‧‧‧Helical Gear 448‧‧‧Labyrinth packing 450‧‧‧Bellows 452‧‧‧Clamping ring 456‧‧‧Fixed shielding 458‧‧‧Movable shielding plate 460‧‧‧A labyrinth groove 480‧‧‧Vacuum inlet 482‧ ‧‧Wafer Port 500‧‧‧Reactor 502‧‧‧Low Frequency RF Generator 504‧‧‧High Frequency RF Generator 506‧‧‧Matching Network 508‧‧‧Manifold 510‧‧‧Source Gas Pipeline 512‧ ‧‧Inlet 514‧‧‧Shower Head 516‧‧‧Substrate 518‧‧‧Wafer Base 520‧‧‧Heater Block 522‧‧‧Outlet 524‧‧‧Process Chamber 530‧‧‧System Controller 540‧ ‧‧Vacuum pump 600‧‧‧Multi-station processing tool 602‧‧‧Inbound load lock chamber 604‧‧‧Outbound load lock chamber 606‧‧‧Robot arm 608‧‧‧Wafer cassette 609‧‧‧Wafer handling System 610‧‧‧atmospheric port 612‧‧‧base 614‧‧‧process chamber 616‧‧‧chamber transfer port 618‧‧‧base 650‧‧‧system controller 652‧‧‧processor 654‧‧‧big Capacity storage device 656‧‧‧memory device 658‧‧‧system control software 720a‧‧‧process module 720b‧‧‧process module 720c‧‧‧process module 720d‧‧‧process module 722‧‧‧machinery Arm 724‧‧‧end effector 726‧‧‧base plate 728‧ ‧‧Module Center 730‧‧‧Air Chamber 732‧‧‧Front Robotic Arm 734‧‧‧Front Opening Wafer Transfer Box 736‧‧‧Face 738‧‧‧Vacuum Transfer Module 740‧‧‧Atmospheric Transfer Module 742 ‧‧‧Loading Port Module 744‧‧‧Aligner

圖1繪示已蝕刻的圓筒狀物,具有因側壁之過度蝕刻所造成之非期望的弧形部。Figure 1 shows an etched cylinder with an undesired arc caused by overetching of the sidewall.

根據各種所揭露的實施例,圖2顯示用以在半導體基板上形成已蝕刻的特徵部之方法之流程圖。2 shows a flowchart of a method for forming etched features on a semiconductor substrate, according to various disclosed embodiments.

根據各種實施例,圖3A-3D描繪在半導體基板中之已蝕刻的圓筒狀物,此些圓筒狀物係受到週期性的蝕刻與保護性側壁覆層之覆蓋。3A-3D depict etched cylinders in a semiconductor substrate covered by periodic etching and protective sidewall coatings, according to various embodiments.

圖3E-3I顯示在半導體基板中之已蝕刻的圓筒狀物,此些圓筒狀物係受到週期性的蝕刻與保護性側壁覆層之覆蓋,其中該保護性側壁覆層係在不同的沉積重複處理時以不同的保形程度加以沉積。3E-3I show etched cylinders in a semiconductor substrate covered by periodic etching and protective sidewall coatings on different Deposition Repeated processes are deposited with varying degrees of conformality.

圖3J繪示在半導體基板中之已蝕刻的圓筒狀物,其中該圓筒狀物包括弧形區域,且在特徵部之側壁上形成次保形(sub-conformal)保護膜。FIG. 3J illustrates an etched cylinder in a semiconductor substrate, wherein the cylinder includes arcuate regions and forms a sub-conformal protective film on sidewalls of features.

根據某些實施例,圖4A-4C繪示可用於實施本文中所述之蝕刻製程之反應腔室。4A-4C illustrate a reaction chamber that may be used to perform the etching processes described herein, according to certain embodiments.

根據某些實施例,圖5描繪可用於實施本文中所述之沉積製程之反應腔室。Figure 5 depicts a reaction chamber that may be used to perform the deposition processes described herein, according to certain embodiments.

根據某些實行例,圖6顯示可用於實施沉積製程之多站設備。Figure 6 shows a multi-station apparatus that may be used to perform a deposition process, according to certain implementations.

根據某些實施例,圖7顯示可用於執行沉積與蝕刻兩者之叢集工具。Figure 7 shows a cluster tool that may be used to perform both deposition and etch, according to certain embodiments.

圖8A-8C描繪以保護性側壁膜加以覆蓋之已部分蝕刻的特徵部,保護性側壁膜為保形的(圖8A)、超保形的(圖8B)、或次保形的(圖8C)。8A-8C depict partially etched features covered with a protective sidewall film that is conformal (FIG. 8A), ultra-conformal (FIG. 8B), or sub-conformal (FIG. 8C). ).

圖8D-8F為曲線圖,顯示在已部分蝕刻的特徵部中每一側之保護性側壁膜之沉積厚度對蝕刻深度之函數,保護性側壁膜為保形的(圖8D)、超保形的(圖8E)、或次保形的(圖8F)。8D-8F are graphs showing the deposited thickness of the protective sidewall film, which is conformal (FIG. 8D), super-conformal, as a function of etch depth, on each side of a partially etched feature. (Fig. 8E), or subconformal (Fig. 8F).

圖9A顯示模擬結果,描繪在沉積次保形保護膜之前與之後之平均CD對於蝕刻深度之關係。Figure 9A shows simulation results plotting average CD versus etch depth before and after depositing a sub-conformal protective film.

圖9B呈現模擬結果,顯示保形保護膜之厚度對於蝕刻深度之關係。Figure 9B presents simulation results showing the thickness of the conformal protection film versus etch depth.

200‧‧‧方法 200‧‧‧method

201‧‧‧操作 201‧‧‧Operation

203‧‧‧操作 203‧‧‧Operation

205‧‧‧操作 205‧‧‧Operation

207‧‧‧操作 207‧‧‧Operation

211‧‧‧操作 211‧‧‧Operation

213‧‧‧操作 213‧‧‧Operation

215‧‧‧操作 215‧‧‧Operation

217‧‧‧操作 217‧‧‧Operation

219‧‧‧操作 219‧‧‧Operation

Claims (18)

一種在基板中形成已蝕刻的特徵部之方法,該基板包含介電材料,該方法包含:(a)產生包含一蝕刻反應物之一第一電漿、使該基板暴露至該第一電漿、及部分地蝕刻該特徵部在該基板中;(b)在(a)之後,藉由原子層沉積法(ALD)沉積一保護膜在該特徵部之複數側壁上;及(c)重複(a)-(b)直到該特徵部被蝕刻至一最終深度,其中該特徵部在其最終深度處具有約5或更大之深寬比,及其中與在(b)之一第二重複處理中藉由ALD所沉積之該保護膜相較,在(b)之一第一重複處理中藉由ALD所沉積之該保護膜係在不同條件下沉積且具有不同的保形度。 A method of forming etched features in a substrate comprising a dielectric material, the method comprising: (a) generating a first plasma comprising an etch reactant, exposing the substrate to the first plasma , and partially etching the feature in the substrate; (b) after (a), depositing a protective film on the plurality of sidewalls of the feature by atomic layer deposition (ALD); and (c) repeating ( a)-(b) until the feature is etched to a final depth, wherein the feature has an aspect ratio at its final depth of about 5 or greater, and wherein the process is repeated with a second in (b) Compared with the protective film deposited by ALD in (b), the protective film deposited by ALD in the first iteration of (b) was deposited under different conditions and had a different degree of conformality. 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中(b)之該第一重複處理係實施於(b)之該第二重複處理之前。 The method of forming an etched feature in a substrate as claimed in claim 1, wherein the first iterative process of (b) is performed before the second iterative process of (b). 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中在(b)之該第一重複處理中所沉積之該保護膜係保形的(conformal),及在(b)之該第二重複處理中所沉積之該保護膜係次保形的(sub-conformal)。 The method of forming etched features in a substrate as claimed in claim 1, wherein the protective film deposited in the first iteration of (b) is conformal, and in (b) ) The protective film deposited in the second iteration of the process is sub-conformal. 如申請專利範圍第3項之在基板中形成已蝕刻的特徵部之方法,其中在(b)之該第二重複處理中所沉積之該保護膜並未延伸至該已部分蝕刻的特徵部之底部。 A method of forming etched features in a substrate as claimed in claim 3, wherein the protective film deposited in the second iteration of (b) does not extend to the partially etched features bottom. 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中相較於在(b)之該第二重複處理中所沉積之該保護膜,在(b)之該第一重複處理中所沉積之該保護膜係以較高的壓力進行沉積。 The method of forming an etched feature in a substrate as claimed in claim 1, wherein compared to the protective film deposited in the second repeated process of (b), the first of (b) The protective film deposited in repeated processes is deposited at a higher pressure. 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中相較於在(b)之該第二重複處理中所沉積之該保護膜,在(b)之該第一重複處理中所沉積之該保護膜係以較低的反應物傳送速率進行沉積。 The method of forming an etched feature in a substrate as claimed in claim 1, wherein compared to the protective film deposited in the second repeated process of (b), the first of (b) The protective films deposited in repeated processes were deposited with lower reactant transport rates. 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中相較於在(b)之該第二重複處理中所沉積之該保護膜,在(b)之該第一重複處理中所沉積之該保護膜係以較短的反應物傳送持續時間進行沉積。 The method of forming an etched feature in a substrate as claimed in claim 1, wherein compared to the protective film deposited in the second repeated process of (b), the first of (b) The protective films deposited in repeated processes were deposited with shorter reactant delivery durations. 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中相較於在(b)之該第二重複處理中所沉積之該保護膜,在(b)之該第一重複處理中所沉積之該保護膜係以較短的電漿暴露持續時間進行沉積。 The method of forming an etched feature in a substrate as claimed in claim 1, wherein compared to the protective film deposited in the second repeated process of (b), the first of (b) The protective films deposited in repeated processes were deposited with shorter plasma exposure durations. 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中相較於在(b)之該第二重複處理中所沉積之該保護膜,在(b)之該第一重複處理中所沉積之該保護膜係以較高的RF功率進行沉積。 The method of forming an etched feature in a substrate as claimed in claim 1, wherein compared to the protective film deposited in the second repeated process of (b), the first of (b) The protective films deposited in repeated processes were deposited with higher RF power. 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中相較於在(b)之該第二重複處理中所沉積之該保護膜,在(b)之該第一重複處理中所沉積之該保護膜係以較高的RF工作週期進行沉積。 The method of forming an etched feature in a substrate as claimed in claim 1, wherein compared to the protective film deposited in the second repeated process of (b), the first of (b) The protective films deposited in repeated processes were deposited with higher RF duty cycles. 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中在(b)之該第一重複處理中所沉積之該保護膜係使用第一組沉積條件而進行沉 積,其中在(b)之該第二重複處理中所沉積之該保護膜係使用第二組沉積條件而進行沉積,其中該第一組沉積條件與該第二組沉積條件在至少兩參數方面係不同的,該至少兩參數係選自於由壓力、反應物傳送流率、反應物傳送持續時間、電漿暴露持續時間、RF功率及RF工作週期所構成之群組。 The method of forming an etched feature in a substrate according to claim 1, wherein the protective film deposited in the first repeated process of (b) is deposited using a first set of deposition conditions wherein the protective film deposited in the second iteration of (b) is deposited using a second set of deposition conditions, wherein the first set of deposition conditions and the second set of deposition conditions are related to at least two parameters Alternatively, the at least two parameters are selected from the group consisting of pressure, reactant delivery flow rate, reactant delivery duration, plasma exposure duration, RF power, and RF duty cycle. 如申請專利範圍第11項之在基板中形成已蝕刻的特徵部之方法,其中該第一組沉積條件具有較低的反應物傳送流率及下列其中至少一者:(i)較短的反應物傳送持續時間、及(ii)較短的電漿暴露持續時間。 The method of forming etched features in a substrate as claimed in claim 11, wherein the first set of deposition conditions has a lower reactant delivery rate and at least one of the following: (i) shorter reaction duration of material delivery, and (ii) shorter duration of plasma exposure. 如申請專利範圍第1項之在基板中形成已蝕刻的特徵部之方法,其中(a)之至少一重複處理導致一弧形部形成在該特徵部內,及其中(b)之一後續重複處理導致該保護膜形成在至少如該弧形部一般深的地方,但並未如該特徵部一般深。 A method of forming etched features in a substrate as claimed in claim 1, wherein at least one repeated process of (a) results in the formation of an arc within the feature, and wherein one of (b) is subsequently repeated. This results in the protective film being formed at least as deep as the arc, but not as deep as the feature. 如申請專利範圍第1-13項其中任一項之在基板中形成已蝕刻的特徵部之方法,其中該保護膜係藉由一熱原子層沉積反應或一電漿輔助原子層沉積反應加以沉積。 A method of forming etched features in a substrate according to any one of claims 1-13, wherein the protective film is deposited by a thermal atomic layer deposition reaction or a plasma-assisted atomic layer deposition reaction . 一種在基板中形成已蝕刻的特徵部之設備,該基板包含介電材料,該設備包含:一或更多反應腔室,其中至少一反應腔室係設計或配置以執行蝕刻,及其中至少一反應腔室係設計或配置以執行沉積,每一反應腔室包含:一入口,用以將複數處理氣體導入該反應腔室,及一出口,用以自該反應腔室移除材料,及一控制器,具有複數指令以用於: (a)產生包含一蝕刻反應物之一第一電漿、使該基板暴露至該第一電漿、及部分地蝕刻該特徵部在該基板中,其中(a)係在設計或配置以執行蝕刻之該反應腔室中執行;(b)在(a)之後,藉由原子層沉積法(ALD)沉積一保護膜在該特徵部之複數側壁上,其中(b)係在設計或配置以執行沉積之該反應腔室中執行;及(c)重複(a)-(b)直到該特徵部被蝕刻至一最終深度,其中該特徵部在其最終深度處具有約5或更大之深寬比,及其中與在(b)之一第二重複處理中藉由ALD所沉積之該保護膜相較,在(b)之一第一重複處理中藉由ALD所沉積之該保護膜係在不同條件下沉積且具有不同的保形度。 An apparatus for forming etched features in a substrate comprising a dielectric material, the apparatus comprising: one or more reaction chambers, at least one of which is designed or configured to perform etching, and at least one of which Reaction chambers are designed or configured to perform deposition, each reaction chamber comprising: an inlet for introducing a plurality of process gases into the reaction chamber, an outlet for removing material from the reaction chamber, and a Controller with plural instructions for: (a) generating a first plasma comprising an etch reactant, exposing the substrate to the first plasma, and partially etching the feature in the substrate, wherein (a) is designed or configured to perform The etching is performed in the reaction chamber; (b) after (a), depositing a protective film by atomic layer deposition (ALD) on the plurality of sidewalls of the feature, wherein (b) is designed or configured to performing in the reaction chamber in which the deposition is performed; and (c) repeating (a)-(b) until the feature is etched to a final depth, wherein the feature has a depth of about 5 or more at its final depth width ratio, and wherein compared with the protective film deposited by ALD in the second iteration of (b), the protective film deposited by ALD in the first iteration of (b) is Deposited under different conditions and with varying degrees of conformality. 如申請專利範圍第15項之在基板中形成已蝕刻的特徵部之設備,其中設計或配置以執行蝕刻之該反應腔室與設計或配置以執行沉積之該反應腔室係相同的,俾使(a)及(b)兩者係發生在相同的反應腔室中。 An apparatus for forming etched features in a substrate as claimed in claim 15, wherein the reaction chamber designed or configured to perform etching is the same as the reaction chamber designed or configured to perform deposition, so that Both (a) and (b) take place in the same reaction chamber. 如申請專利範圍第15項之在基板中形成已蝕刻的特徵部之設備,其中設計或配置以執行蝕刻之該反應腔室與設計或配置以執行沉積之該反應腔室係不同的,及其中該控制器更包含複數指令,以用於在設計或配置以執行蝕刻之該反應腔室與設計或配置以執行沉積之該反應腔室之間轉移該基板。 An apparatus for forming etched features in a substrate as claimed in claim 15, wherein the reaction chamber designed or configured to perform etching is different from the reaction chamber designed or configured to perform deposition, and wherein The controller further includes instructions for transferring the substrate between the reaction chamber designed or configured to perform etching and the reaction chamber designed or configured to perform deposition. 一種在基板中形成已蝕刻的特徵部之方法,該基板包含介電材料,該方法包含:(a)產生包含一蝕刻反應物之一第一電漿、使該基板暴露至該第一電漿、及部分地蝕刻該特徵部在該基板中; (b)在(a)之後,藉由分子層沉積法(MLD)沉積一保護膜在該特徵部之複數側壁上;及(c)重複(a)-(b)直到該特徵部被蝕刻至一最終深度,其中該特徵部在其最終深度處具有約5或更大之深寬比,及其中與在(b)之一第二重複處理中藉由MLD所沉積之該保護膜相較,在(b)之一第一重複處理中藉由MLD所沉積之該保護膜係在不同條件下沉積且具有不同的保形度。 A method of forming etched features in a substrate comprising a dielectric material, the method comprising: (a) generating a first plasma comprising an etch reactant, exposing the substrate to the first plasma , and partially etching the feature in the substrate; (b) after (a), deposit a protective film on the plurality of sidewalls of the feature by molecular layer deposition (MLD); and (c) repeat (a)-(b) until the feature is etched to a final depth, wherein the feature has an aspect ratio of about 5 or greater at its final depth, and wherein compared to the protective film deposited by MLD in a second iteration of (b), The protective films deposited by MLD in the first iteration of (b) were deposited under different conditions and had different degrees of conformality.
TW107106750A 2017-03-03 2018-03-01 Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch TWI780118B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/449,799 US10170324B2 (en) 2014-12-04 2017-03-03 Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US15/449,799 2017-03-03

Publications (2)

Publication Number Publication Date
TW201842225A TW201842225A (en) 2018-12-01
TWI780118B true TWI780118B (en) 2022-10-11

Family

ID=63593128

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107106750A TWI780118B (en) 2017-03-03 2018-03-01 Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
TW111134903A TW202305174A (en) 2017-03-03 2018-03-01 Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111134903A TW202305174A (en) 2017-03-03 2018-03-01 Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch

Country Status (1)

Country Link
TW (2) TWI780118B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112989754B (en) * 2021-03-29 2022-06-07 武汉大学 Multi-scale coupling simulation method for flexible printed circuit board etching process

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100173494A1 (en) * 2007-06-09 2010-07-08 Rolith, Inc Method and apparatus for anisotropic etching

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100173494A1 (en) * 2007-06-09 2010-07-08 Rolith, Inc Method and apparatus for anisotropic etching

Also Published As

Publication number Publication date
KR20180101204A (en) 2018-09-12
TW201842225A (en) 2018-12-01
TW202305174A (en) 2023-02-01

Similar Documents

Publication Publication Date Title
US10170324B2 (en) Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997372B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
KR102644442B1 (en) Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
TWI706049B (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US10431458B2 (en) Mask shrink layer for high aspect ratio dielectric etch
JP6562629B2 (en) Plasma atomic layer deposition with pulsed plasma exposure
TW201643955A (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
CN112640064A (en) Metal-containing passivation for high aspect ratio etch
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
WO2018026867A1 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
TWI780118B (en) Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
CN115298796A (en) Protective coating for semiconductor reaction chamber
KR102659567B1 (en) Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
KR20170132671A (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
TW201835377A (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
CN115917714A (en) Deposition rate enhancement of amorphous carbon hard mask films by purely chemical means

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent