TWI778692B - Semiconductor devices and method of forming the same - Google Patents

Semiconductor devices and method of forming the same Download PDF

Info

Publication number
TWI778692B
TWI778692B TW110124356A TW110124356A TWI778692B TW I778692 B TWI778692 B TW I778692B TW 110124356 A TW110124356 A TW 110124356A TW 110124356 A TW110124356 A TW 110124356A TW I778692 B TWI778692 B TW I778692B
Authority
TW
Taiwan
Prior art keywords
gate
layer
disposed
forming
cap
Prior art date
Application number
TW110124356A
Other languages
Chinese (zh)
Other versions
TW202207318A (en
Inventor
程仲良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/244,428 external-priority patent/US11728413B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202207318A publication Critical patent/TW202207318A/en
Application granted granted Critical
Publication of TWI778692B publication Critical patent/TWI778692B/en

Links

Images

Abstract

A semiconductor device and methods of fabricating the same are disclosed. The semiconductor device includes a substrate, a fin structure disposed on the substrate, a source/drain (S/D) region disposed on the fin structure, and a gate structure disposed on the fin structure adjacent to the S/D region. The gate structure includes a gate stack disposed on the fin structure and a gate capping structure disposed on the gate stack. The gate capping structure includes a conductive gate cap disposed on the gate stack and an insulating gate cap disposed on the conductive gate cap. The semiconductor device further includes a first contact structure disposed over the gate stack. A portion of the first contact structure is disposed within the gate capping structure and is separated from the gate stack by a portion of the conductive gate cap.

Description

半導體裝置及其形成方法 Semiconductor device and method of forming the same

本申請有關於一種半導體裝置。 This application relates to a semiconductor device.

隨著半導體技術的進步,已越來越需要更高的儲存容量、更快的處理系統、更高的效能及更低的成本。為了滿足這些需求,半導體行業不斷按比例縮小半導體裝置(例如金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor,MOSFET)(包括平面MOSFET及鰭式場效電晶體(fin field effect transistor,finFET)))的尺寸。此種按比例縮小已增加半導體製造製程的複雜性。 As semiconductor technology advances, higher storage capacities, faster processing systems, higher performance, and lower costs have been increasingly required. To meet these demands, the semiconductor industry continues to scale down semiconductor devices such as metal oxide semiconductor field effect transistors (MOSFETs), including planar MOSFETs and fin field effect transistors (finFETs). )))size of. Such scaling down has increased the complexity of the semiconductor manufacturing process.

在一些實施例中,一種半導體裝置包括:基底;鰭結構,設置於所述基底上;源極/汲極(S/D)區,設置於所述鰭結構上;以及閘極結構,與所述S/D區相鄰地設置於所述鰭結構上。所述閘極結構包括設置於所述鰭結構上的閘極堆疊及設置於所述閘極 堆疊上的閘極頂蓋結構。所述閘極頂蓋結構包括設置於所述閘極堆疊上的導電性閘極頂蓋及設置於所述導電性閘極頂蓋上的絕緣閘極頂蓋。所述半導體裝置更包括設置於所述閘極堆疊之上的第一接觸結構。所述第一接觸結構的一部分設置於所述閘極頂蓋結構內且藉由所述導電性閘極頂蓋的一部分而與所述閘極堆疊隔開。 In some embodiments, a semiconductor device includes: a substrate; a fin structure disposed on the substrate; a source/drain (S/D) region disposed on the fin structure; and a gate structure, and the The S/D regions are adjacently disposed on the fin structure. The gate structure includes a gate stack disposed on the fin structure and a gate disposed on the gate Gate cap structure on stack. The gate cap structure includes a conductive gate cap disposed on the gate stack and an insulating gate cap disposed on the conductive gate cap. The semiconductor device further includes a first contact structure disposed on the gate stack. A portion of the first contact structure is disposed within the gate cap structure and is separated from the gate stack by a portion of the conductive gate cap.

在一些實施例中,一種半導體裝置包括:基底;鰭結構,設置於所述基底上;第一源極/汲極(S/D)區及第二源極/汲極區,設置於所述鰭結構上;第一S/D接觸結構及第二S/D接觸結構,分別設置於所述第一S/D區及所述第二S/D區上;以及第一閘極結構及第二閘極結構,設置於所述鰭結構上。所述第一閘極結構及所述第二閘極結構中的每一者包括閘極堆疊及閘極頂蓋結構,所述閘極頂蓋結構包括導電性閘極頂蓋及絕緣閘極頂蓋。所述半導體裝置更包括設置於所述第一S/D接觸結構上及所述第一閘極結構的所述閘極堆疊之上的融合通孔-接觸結構。所述融合通孔-接觸結構的一部分設置於所述第一閘極結構的所述閘極頂蓋結構內。 In some embodiments, a semiconductor device includes: a substrate; a fin structure disposed on the substrate; a first source/drain (S/D) region and a second source/drain region disposed on the substrate on the fin structure; a first S/D contact structure and a second S/D contact structure, respectively disposed on the first S/D region and the second S/D region; and a first gate structure and a first gate structure A two-gate structure is disposed on the fin structure. Each of the first gate structure and the second gate structure includes a gate stack and a gate cap structure including a conductive gate cap and an insulating gate cap. The semiconductor device further includes a fused via-contact structure disposed on the first S/D contact structure and on the gate stack of the first gate structure. A portion of the fused via-contact structure is disposed within the gate cap structure of the first gate structure.

在一些實施例中,一種方法包括:在基底上形成鰭結構;在所述鰭結構上形成源極/汲極(S/D)區;在所述鰭結構上形成多晶矽結構;利用閘極堆疊置換所述多晶矽結構;在所述閘極堆疊上形成導電性閘極頂蓋;在所述閘極堆疊上形成絕緣閘極頂蓋;在所述S/D區上形成接觸結構;以及在所述接觸結構上形 成通孔,其中所述形成所述通孔包括形成環繞所述通孔的摻雜區。 In some embodiments, a method includes: forming a fin structure on a substrate; forming source/drain (S/D) regions on the fin structure; forming a polysilicon structure on the fin structure; utilizing a gate stack replacing the polysilicon structure; forming a conductive gate cap on the gate stack; forming an insulating gate cap on the gate stack; forming a contact structure on the S/D region; and forming the contact Structural shape forming a via hole, wherein the forming the via hole includes forming a doped region surrounding the via hole.

100:FET/NFET/PFET 100: FET/NFET/PFET

104:基底 104: Substrate

106:鰭結構 106: Fin Structure

110A、110B、110C:S/D區 110A, 110B, 110C: S/D area

112A、112B、112C:閘極結構 112A, 112B, 112C: gate structure

114:閘極間隔件/間隔件 114: Gate spacer/spacer

116:淺溝渠隔離(STI)區 116: Shallow Trench Isolation (STI) Area

117A、117B、152:蝕刻停止層(ESL) 117A, 117B, 152: Etch Stop Layer (ESL)

118A、118B、118C:層間介電(ILD)層 118A, 118B, 118C: Interlayer Dielectric (ILD) Layers

120:S/D接觸結構 120:S/D contact structure

122:矽化物層 122: silicide layer

124:黏合層 124: Adhesive layer

126:接觸插塞 126: Contact plug

128:擴散障壁層 128: Diffusion barrier layer

130:通孔 130: Through hole

130b:底表面 130b: Bottom surface

130s、131s:側壁 130s, 131s: Sidewalls

131:摻雜區 131: Doping region

132:閘極堆疊 132: Gate stack

134:閘極頂蓋結構 134: Gate top cover structure

136:介面氧化物(IO)層 136: Interface oxide (IO) layer

138:高k(HK)閘極介電層 138: High-k (HK) gate dielectric

140:WFM層 140:WFM layer

142:氧障壁層 142: Oxygen barrier layer

144:閘極金屬填充層 144: gate metal filling layer

146:導電性閘極頂蓋 146: Conductive gate top cover

148:絕緣閘極頂蓋 148: Insulated gate top cover

150:生長促進層(GPL) 150: Growth Promotion Layer (GPL)

154:閘極接觸結構 154: Gate Contact Structure

156、162:襯墊 156, 162: padding

158、164:接觸插塞 158, 164: Contact plug

160:融合通孔-接觸結構 160: Fusion via-contact structure

200、2800:方法 200, 2800: method

205、210、215、220、225、230、235、240、2805~2830、2835、2840:操作 205, 210, 215, 220, 225, 230, 235, 240, 2805~2830, 2835, 2840: Operation

312:多晶矽結構 312: Polysilicon Structure

566:閘極頂蓋開口 566: Gate top cover opening

650、850、1524、1624、1724、1824:金屬氮化物層 650, 850, 1524, 1624, 1724, 1824: metal nitride layer

768、1582:罩幕層 768, 1582: curtain layer

850s:表面 850s: Surface

1280:接觸開口 1280: Contact opening

1328:介電氮化物層 1328: Dielectric Nitride Layer

1826、1926、2230、2388:金屬層 1826, 1926, 2230, 2388: metal layer

2184:通孔開口 2184: Through hole opening

2386:膠層 2386: Adhesive layer

2590:圖案化罩幕層 2590: Patterned Overlay

2592:開口 2592: Opening

2694、3094:接觸開口 2694, 3094: Contact opening

3200:ALE控制系統 3200: ALE Control System

3270:訓練模組 3270: Training Module

3272:通訊模組 3272: Communication Module

3274:記憶體 3274: Memory

3276:分析模組 3276: Analysis Module

3278:處理器 3278: Processor

A-A:線 A-A: Line

D1、D2:距離 D1, D2: distance

T1、T2、T3、T4、T5、T6、T7、T8、T9:厚度 T1, T2, T3, T4, T5, T6, T7, T8, T9: Thickness

X、Y、Z:軸 X, Y, Z: axis

當結合附圖閱讀時,可根據以下詳細說明最佳地理解本揭露的各個態樣。 Various aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings.

圖1A示出根據一些實施例的半導體裝置的等角視圖。 FIG. 1A shows an isometric view of a semiconductor device in accordance with some embodiments.

圖1B至圖1E示出根據一些實施例的具有多層閘極頂蓋結構的半導體裝置的剖視圖。 1B-1E illustrate cross-sectional views of a semiconductor device having a multi-layer gate cap structure in accordance with some embodiments.

圖2是根據一些實施例的用於製作具有多層閘極頂蓋結構的半導體裝置的方法的流程圖。 2 is a flowchart of a method for fabricating a semiconductor device having a multi-layer gate cap structure in accordance with some embodiments.

圖3至圖27示出根據一些實施例的處於具有多層閘極頂蓋結構的半導體裝置的製作製程的不同階段處的半導體裝置的剖視圖。 3-27 illustrate cross-sectional views of a semiconductor device at various stages of a fabrication process for a semiconductor device having a multi-layer gate cap structure in accordance with some embodiments.

圖28是根據一些實施例的用於製作具有多層閘極頂蓋結構的半導體裝置的方法的流程圖。 28 is a flowchart of a method for fabricating a semiconductor device having a multilayer gate cap structure in accordance with some embodiments.

圖29至圖31示出根據一些實施例的處於具有多層閘極頂蓋結構的半導體裝置的製作製程的不同階段處的半導體裝置的剖視圖。 29-31 illustrate cross-sectional views of a semiconductor device at various stages of a fabrication process for a semiconductor device having a multi-layer gate cap structure, according to some embodiments.

圖32示出根據一些實施例的原子層蝕刻(atomic layer etch,ALE)系統的控制系統的方塊圖。 32 shows a block diagram of a control system of an atomic layer etch (ALE) system in accordance with some embodiments.

現在將參照附圖闡述例示性實施例。在圖式中,相同的參考編號一般而言指示相同的、功能相似的及/或結構相似的元 件。除非另外提及,否則對具有相同注釋的元件的論述適用於彼此。 Exemplary embodiments will now be described with reference to the accompanying drawings. In the drawings, the same reference numbers generally indicate the same, functionally similar and/or structurally similar elements pieces. The discussion of elements with the same annotation applies to each other unless otherwise mentioned.

以下揭露提供用於實施所提供標的的不同特徵的許多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中的用於將第一特徵形成於第二特徵之上的製程可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。如本文中所使用的,將第一特徵形成於第二特徵上意指第一特徵被形成為與第二特徵直接接觸。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複並不是自身指示本文中所論述的實施例及/或配置之間的關係。 The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of components and arrangements are set forth below to simplify the present disclosure. Of course, these are only examples and are not intended to be limiting. For example, the process for forming a first feature over a second feature in the following description may include embodiments in which the first feature and the second feature are formed in direct contact, and may also include the first feature Embodiments in which additional features may be formed with the second feature such that the first feature may not be in direct contact with the second feature. As used herein, forming a first feature on a second feature means that the first feature is formed in direct contact with the second feature. Additionally, the present disclosure may reuse reference numbers and/or letters in various instances. Such repetition is not in itself indicative of a relationship between the embodiments and/or configurations discussed herein.

此外,為易於說明,本文中可能使用例如「位於...之下(beneath)」、「位於...下方(below)」、「下部的(lower)」、「位於...上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。 Also, for ease of description, for example, "beneath", "below", "lower", "above" may be used herein. )", "upper" and other spatially relative terms are used to describe the relationship of one element or feature shown in the figures to another (other) element or feature. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may have other orientations (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

應注意,說明書中對「一個實施例(one embodiment)」、「實施例(embodiment)」、「示例性實施例(an example embodiment)」、「示例性(exemplary)」等的引用指示所闡述的實施例可包括特定的特徵、結構或特性,但每個實施例並不一定包括特定的特徵、結構或特性。此外,此種片語並不一定指同一實施例。此外,當結合實施例闡述特定特徵、結構或特性時,無論是否明確闡述,結合其他實施例達成此種特徵、結構或特性將處於熟習此項技術者的知識範圍內。 It should be noted that references in the specification to "one embodiment," "embodiment," "an example embodiment," "exemplary," etc. indicate that Embodiments may include particular features, structures, or characteristics, but each embodiment does not necessarily include particular features, structures, or characteristics. Furthermore, such phrases are not necessarily referring to the same embodiment. Furthermore, when a particular feature, structure or characteristic is described in connection with one embodiment, whether explicitly stated or not, it would be within the knowledge of those skilled in the art to achieve such feature, structure or characteristic in connection with other embodiments.

應理解,本文中的片語或用語是出於說明的目的而非出於限制的目的,使得本說明書的用語或片語將由熟習相關技術者鑑於本文中的教示內容來解釋。 It is to be understood that a phrase or phrase herein is used for the purpose of description and not of limitation so that the phrase or phrase of the specification will be interpreted by one skilled in the relevant art in light of the teachings herein.

在一些實施例中,用語「約(about)」及「實質上(substantially)」可指示給定量的值,所述給定量在所述值的5%(例如,所述值的±1%、±2%、±3%、±4%、±5%)內變化。該些值僅為實例且不旨在進行限制。用語「約」及「實質上」可指代如由熟習相關技術者鑑於本文中的教示內容而解釋的值的百分數。 In some embodiments, the terms "about" and "substantially" may indicate a value of a given amount that is within 5% of the value (eg, ±1% of the value, ±2%, ±3%, ±4%, ±5%). These values are examples only and are not intended to be limiting. The terms "about" and "substantially" can refer to a percentage of a value as interpreted by one skilled in the relevant art in light of the teachings herein.

可藉由任何合適的方法來將本文中揭露的鰭結構圖案化。舉例而言,可使用一或多個光微影製程(包括雙重圖案化製程或多重圖案化製程)來將鰭結構圖案化。雙重圖案化製程或多重圖案化製程可將光微影與自對準製程相結合,進而使得將形成具有例如較否則使用單個直接光微影製程可獲得的節距小的節距 的圖案。舉例而言,在基底之上形成犧牲層,且使用光微影製程將犧牲層圖案化。使用自對準製程在圖案化犧牲層旁邊形成間隔件。然後移除犧牲層,且然後可使用剩餘的間隔件將鰭結構圖案化。 The fin structures disclosed herein may be patterned by any suitable method. For example, the fin structure may be patterned using one or more photolithography processes, including a dual patterning process or a multi-patterning process. A double-patterning process or a multi-patterning process can combine photolithography with a self-aligned process, such that a pitch will be formed with, for example, smaller pitches than would otherwise be obtainable using a single direct photolithography process picture of. For example, a sacrificial layer is formed over a substrate, and the sacrificial layer is patterned using a photolithography process. Spacers are formed next to the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the fin structures can then be patterned using the remaining spacers.

本揭露提供在閘極結構中具有閘極頂蓋結構的示例性半導體裝置(例如,finFET、全環繞閘極(gate-all-around,GAA)FET及/或MOSFET)。此外,本揭露提供形成此種半導體裝置的示例性方法,所述半導體裝置在閘極結構與閘極接觸結構之間具有減小的接觸電阻,所述閘極結構及閘極接觸結構是經由閘極頂蓋結構形成。閘極頂蓋結構會改善閘極結構與閘極接觸結構之間的導電性介面,同時在半導體裝置的製作期間保護閘極結構的完整性。 The present disclosure provides exemplary semiconductor devices (eg, finFETs, gate-all-around (GAA) FETs, and/or MOSFETs) having gate capping structures in the gate structures. In addition, the present disclosure provides exemplary methods of forming such semiconductor devices having reduced contact resistance between gate structures and gate contact structures via a gate A pole cap structure is formed. The gate cap structure improves the conductive interface between the gate structure and the gate contact structure while protecting the integrity of the gate structure during fabrication of the semiconductor device.

在一些實施例中,閘極結構中的每一者可包括:閘極堆疊,具有高介電常數(high dielectric constant,high-k)閘極介電層、功函數金屬(work function metal,WFM)層、氧障壁層及閘極金屬填充層;以及閘極頂蓋結構,設置於閘極堆疊上。在一些實施例中,閘極頂蓋結構可包括設置於閘極堆疊上的導電性閘極頂蓋及設置於導電性閘極頂蓋上的絕緣閘極頂蓋。導電性閘極頂蓋會改善閘極堆疊與閘極接觸結構之間的導電性介面,以在不在閘極堆疊上或閘極堆疊內直接形成閘極接觸結構的條件下將閘極堆疊電性連接至閘極接觸結構。不在閘極堆疊上或閘極堆疊內直接形成閘極接觸結構,以防止閘極堆疊被形成閘極接觸結構時所 使用的處理材料中的任意者污染。閘極堆疊的污染可導致裝置效能的劣化。因此,藉由使用導電性閘極頂蓋,可在不損害閘極結構的完整性的條件下將閘極堆疊電性連接至閘極接觸結構。 In some embodiments, each of the gate structures may include a gate stack with a high dielectric constant (high-k) gate dielectric layer, a work function metal (WFM) ) layer, an oxygen barrier layer and a gate metal filling layer; and a gate top cap structure, which is arranged on the gate stack. In some embodiments, the gate cap structure may include a conductive gate cap disposed on the gate stack and an insulating gate cap disposed on the conductive gate cap. The conductive gate cap improves the conductive interface between the gate stack and the gate contact structure to electrically connect the gate stack without directly forming the gate contact structure on or within the gate stack to the gate contact structure. The gate contact structure is not directly formed on or within the gate stack to prevent the gate stack from being affected by the formation of the gate contact structure. Any of the used processing materials is contaminated. Contamination of the gate stack can lead to degradation of device performance. Thus, by using a conductive gate cap, the gate stack can be electrically connected to the gate contact structure without compromising the integrity of the gate structure.

在一些實施例中,絕緣閘極頂蓋保護下伏的導電性閘極頂蓋及閘極堆疊在半導體裝置的後續處理期間免受結構劣化及/或成分劣化。在一些實施例中,導電性閘極頂蓋可包括設置於閘極堆疊上的生長促進層(growth promotion layer,GPL)及設置於GPL上的蝕刻停止層(etch stop layer,ESL)。GPL與ESL可包含彼此不同的導電性材料。除了在閘極堆疊與閘極接觸結構之間提供導電性介面之外,GPL亦提供有利於自下而上沈積ESL的表面。在不具有GPL的條件下,ESL可能不會選擇性地沈積於閘極堆疊上且可能沈積於FET結構上,所述FET結構可能與隨後形成的相鄰結構(例如源極/汲極(source/drain,S/D)接觸結構)電性短路。GPL可包含以下材料:ESL對所述材料的沈積選擇性高於對閘極堆疊的材料(例如,高k閘極介電層的介電材料及氧障壁層的介電材料)中的一或多者的沈積選擇性。換言之,ESL可以較在閘極堆疊上高的速率沈積於GPL上。除了在閘極堆疊與閘極接觸結構之間提供導電性介面之外,ESL亦控制閘極接觸結構的深度輪廓(profile)且防止閘極接觸結構延伸至閘極堆疊中。 In some embodiments, the insulating gate cap protects the underlying conductive gate cap and gate stack from structural and/or compositional degradation during subsequent processing of the semiconductor device. In some embodiments, the conductive gate cap may include a growth promotion layer (GPL) disposed on the gate stack and an etch stop layer (ESL) disposed on the GPL. GPL and ESL may contain different conductive materials from each other. In addition to providing a conductive interface between the gate stack and the gate contact structure, GPL also provides a surface that facilitates bottom-up deposition of ESL. Without the GPL, ESL may not be selectively deposited on the gate stack and may be deposited on FET structures that may be in contact with subsequently formed adjacent structures such as source/drain /drain, S/D) contact structure) is electrically short-circuited. The GPL may include materials for which the ESL has higher deposition selectivity than one of the materials for the gate stack (eg, the dielectric material of the high-k gate dielectric layer and the dielectric material of the oxygen barrier layer) or deposition selectivity of many. In other words, ESL can be deposited on GPL at a higher rate than on the gate stack. In addition to providing a conductive interface between the gate stack and the gate contact structure, the ESL also controls the depth profile of the gate contact structure and prevents the gate contact structure from extending into the gate stack.

圖1A示出根據一些實施例的FET(場效電晶體)100的等角視圖。根據一些實施例,FET 100可具有不同的剖視圖,如圖1B至圖1E中所示。圖1B至圖1E示出沿著線A-A的FET 100的 剖視圖,具有圖1A中出於簡化而未示出的附加結構。除非另外提及,否則對圖1A至圖1E中的具有相同注釋的元件的論述適用於彼此。在一些實施例中,除非另外提及,否則FET 100可代表n型FET 100(NFET 100)或p型FET 100(PFET 100)且對FET 100的論述適用於NFET 100及PFET 100二者。 FIG. 1A shows an isometric view of a FET (field effect transistor) 100 in accordance with some embodiments. According to some embodiments, the FET 100 may have different cross-sectional views, as shown in FIGS. 1B-1E . 1B-1E show the FET 100 along the line A-A Cross-sectional view with additional structures not shown in FIG. 1A for simplicity. Unless otherwise mentioned, the discussion of elements in FIGS. 1A-1E with the same annotation applies to each other. In some embodiments, unless otherwise mentioned, FET 100 may represent n-type FET 100 (NFET 100 ) or p-type FET 100 (PFET 100 ) and the discussion of FET 100 applies to both NFET 100 and PFET 100 .

參照圖1A,FET 100可包括:閘極結構112A至112C的陣列,設置於鰭結構106上;以及S/D區110A至110C(S/D區110C在圖1A中可看到;110A至110B在圖1B至圖1E中可看到)的陣列,設置於鰭結構106的未被閘極結構112A至112C覆蓋的部分上。FET 100可更包括閘極間隔件114、淺溝渠隔離(shallow trench isolation,STI)區116、蝕刻停止層(ESL)117A至117B(ESL 117A在圖1B至圖1B中出於簡化而未示出;ESL 117B在圖1A中出於簡化而未示出,示出於圖1B中)以及層間介電(ILD)層118A至118C(ILD層118B至118C在圖1A中出於簡化而未示出;示出於圖1B至圖1E中)。ILD層118A可設置於ESL 117A上。在一些實施例中,閘極間隔件114、STI區116、ESL 117A至117B、及ILD層118A至118C可包含絕緣材料,例如氧化矽、氮化矽(SiN)、氮化矽碳(SiCN)、碳氧氮化矽(SiOCN)及氧化矽鍺。在一些實施例中,閘極間隔件114可具有約2奈米至約9奈米的厚度,以使閘極結構112A至112C與相鄰的結構充分電性隔離。 1A, FET 100 may include: an array of gate structures 112A-112C disposed on fin structure 106; and S/D regions 110A-110C (S/D region 110C can be seen in FIG. 1A; 110A-110B 1B-1E), disposed on the portion of the fin structure 106 that is not covered by the gate structures 112A-112C. FET 100 may further include gate spacers 114, shallow trench isolation (STI) regions 116, etch stop layers (ESL) 117A-117B (ESL 117A is not shown in FIGS. 1B-1B for simplicity ; ESL 117B is not shown in FIG. 1A for simplicity, shown in FIG. 1B ) and interlayer dielectric (ILD) layers 118A-118C (ILD layers 118B-118C are not shown in FIG. 1A for simplicity ; shown in Figure 1B to Figure 1E). ILD layer 118A may be disposed on ESL 117A. In some embodiments, gate spacers 114, STI regions 116, ESLs 117A-117B, and ILD layers 118A-118C may include insulating materials such as silicon oxide, silicon nitride (SiN), silicon nitride carbon (SiCN) , silicon oxynitride (SiOCN) and silicon germanium oxide. In some embodiments, gate spacers 114 may have a thickness of about 2 nanometers to about 9 nanometers to sufficiently electrically isolate gate structures 112A-112C from adjacent structures.

FET 100可形成於基底104上。可能存在形成於基底104 上的其他FET及/或結構(例如,隔離結構)。基底104可為半導體材料,例如矽、鍺(Ge)、矽鍺(SiGe)、絕緣體上矽(SOI)結構、及其組合。此外,基底104可摻雜有p型摻雜劑(例如,硼、銦、鋁或鎵)或者n型摻雜劑(例如,磷或砷)。在一些實施例中,鰭結構106可包含相似於基底104的材料且沿著X軸延伸。 FET 100 may be formed on substrate 104 . There may be formed on the substrate 104 other FETs and/or structures (eg, isolation structures) on the . The substrate 104 may be a semiconductor material such as silicon, germanium (Ge), silicon germanium (SiGe), silicon-on-insulator (SOI) structures, and combinations thereof. Additionally, the substrate 104 may be doped with p-type dopants (eg, boron, indium, aluminum, or gallium) or n-type dopants (eg, phosphorus or arsenic). In some embodiments, the fin structure 106 may comprise a material similar to the substrate 104 and extend along the X-axis.

參照圖1B,FET 100可包括:S/D區110A至110B;S/D接觸結構120,設置於S/D區110A至110B上;擴散障壁層128;通孔130,設置於S/D接觸結構120上;閘極結構112A至112C,設置於鰭結構106上;以及閘極接觸結構154,設置於閘極結構112A及112C上。除非另外提及,否則對閘極結構112A至112C的論述適用於彼此。在一些實施例中,閘極結構112B可為虛設閘極結構且可不電性連接至FET 100的其他元件。 1B, the FET 100 may include: S/D regions 110A to 110B; an S/D contact structure 120 disposed on the S/D regions 110A to 110B; a diffusion barrier layer 128; On structure 120; gate structures 112A to 112C, disposed on fin structure 106; and gate contact structure 154, disposed on gate structures 112A and 112C. Unless otherwise mentioned, the discussion of gate structures 112A-112C applies to each other. In some embodiments, gate structure 112B may be a dummy gate structure and may not be electrically connected to other elements of FET 100 .

對於NFET 100,S/D區110A至110B中的每一者可包含:磊晶生長的半導體材料,例如Si;以及n型摻雜劑,例如磷及其他合適的n型摻雜劑。對於PFET 100,S/D區110A至110B中的每一者可包含:磊晶生長的半導體材料,例如Si及SiGe;以及p型摻雜劑,例如硼及其他合適的p型摻雜劑。在一些實施例中,S/D接觸結構120中的每一者可包括:(i)矽化物層122,設置於S/D區110A至110B中的每一者內;(ii)黏合層124,設置於矽化物層122上;以及(iii)接觸插塞126,設置於黏合層124上。 For NFET 100, each of S/D regions 110A-110B may include: an epitaxially grown semiconductor material, such as Si; and an n-type dopant, such as phosphorus and other suitable n-type dopants. For PFET 100, each of S/D regions 110A-110B may include: epitaxially grown semiconductor materials, such as Si and SiGe; and p-type dopants, such as boron and other suitable p-type dopants. In some embodiments, each of the S/D contact structures 120 may include: (i) a silicide layer 122 disposed within each of the S/D regions 110A-110B; (ii) an adhesion layer 124 , disposed on the silicide layer 122 ; and (iii) contact plugs 126 , disposed on the adhesive layer 124 .

在一些實施例中,對於NFET 100,矽化物層122可包 含具有較S/D區110A至110B的材料的價帶邊緣能量(valence band-edge energy)接近導帶邊緣能量(conduction band-edge energy)的功函數值的金屬或金屬矽化物。舉例而言,金屬或金屬矽化物可具有小於4.5電子伏(例如,約3.5電子伏至約4.4電子伏)的功函數值,所述功函數值可較S/D區110A至110B的矽系材料的價帶能量(例如,矽為5.2電子伏)接近導帶能量(例如,矽為4.1電子伏)。在一些實施例中,對於NFET 100,矽化物層122的金屬矽化物可包括矽化鈦(TixSiy)、矽化鉭(TaxSiy)、矽化鉬(MoxSiy)、矽化鋯(ZrxSiy)、矽化鉿(HfxSiy)、矽化鈧(ScxSiy)、矽化釔(YxSiy)、矽化鋱(TbxSiy)、矽化餾(LuxSiy)、矽化鉺(ErxSiy)、矽化鐿(YbxSiy)、矽化銪(EuxSiy)、矽化釷(ThxSiy)、其他合適的金屬矽化物材料、或其組合。 In some embodiments, for the NFET 100, the silicide layer 122 may include a material having a valence band-edge energy closer to the conduction band-edge energy than the S/D regions 110A-110B ) of the work function value of the metal or metal silicide. For example, the metal or metal silicide may have a work function value of less than 4.5 electron volts (eg, about 3.5 electron volts to about 4.4 electron volts), which may be higher than that of the silicon-based S/D regions 110A-110B The valence band energy of the material (eg, 5.2 electron volts for silicon) is close to the conduction band energy (eg, 4.1 electron volts for silicon). In some embodiments, for the NFET 100, the metal silicide of the silicide layer 122 may include titanium silicide (Ti x Si y ), tantalum silicide (T x Si y ), molybdenum silicide (Mo x Si y ), zirconium silicide ( Zr x Si y ), hafnium silicide (Hf x Si y ), scandium silicide (Sc x Si y ), yttrium silicide (Y x Si y ), silicide (Tb x Si y ), silicide (Lu x Si y ) , erbium silicide (Er x Si y ), ytterbium silicide (Yb x Si y ), europium silicide ( Eux Si y ), thorium silicide (Th x Si y ) , other suitable metal silicide materials, or combinations thereof.

在一些實施例中,對於PFET 100,矽化物層122可包含具有較S/D區110A至110B的材料的導帶邊緣能量接近價帶邊緣能量的功函數值的金屬或金屬矽化物。舉例而言,金屬或金屬矽化物可具有大於4.5電子伏(例如,約4.5電子伏至約5.5電子伏)的功函數值,所述功函數值可較S/D區110A至110B的矽系材料的導帶能量(例如,矽為4.1電子伏)接近價帶能量(例如,矽為5.2電子伏)。在一些實施例中,對於PFET 100,矽化物層122的金屬矽化物可包括矽化鎳(NixSiy)、矽化鈷(CoxSiy)、矽化錳(MnxSiy)、矽化鎢(WxSiy)、矽化鐵(FexSiy)、矽化銠(RhxSiy)、矽化鈀(PdxSiy)、矽化釕(RuxSiy)、矽化鉑(PtxSiy)、矽化銥 (IrxSiy)、矽化鋨(OsxSiy)、其他合適的金屬矽化物材料、或其組合。 In some embodiments, for PFET 100, silicide layer 122 may comprise a metal or metal silicide having a work function value closer to the valence band edge energy than the conduction band edge energy of the material of S/D regions 110A-110B. For example, the metal or metal silicide may have a work function value greater than 4.5 electron volts (eg, about 4.5 electron volts to about 5.5 electron volts), which may be higher than that of the silicon-based S/D regions 110A-110B The conduction band energy of the material (eg, 4.1 electron volts for silicon) is close to the valence band energy (eg, 5.2 electron volts for silicon). In some embodiments, for PFET 100, the metal silicide of silicide layer 122 may include nickel silicide (Ni x Si y ), cobalt silicide (C x Si y ), manganese silicide (Mn x Si y ), tungsten silicide ( W x Si y ), iron silicide (F x Si y ), rhodium silicide (Rh x Si y ), palladium silicide (Pd x Si y ), ruthenium silicide (Ru x Si y ), platinum silicide (Pt x Si y ) , iridium silicide (Ir x Si y ), osmium silicide (Os x Si y ), other suitable metal silicide materials, or combinations thereof.

黏合層124可有助於形成不具有空隙的接觸插塞126,且可包含金屬氮化物,例如氮化鈦(TiN)、氮化鉭(TaN)、及其他合適的金屬氮化物材料。在一些實施例中,黏合層124中的每一者可包括金屬氮化物的單個層或者可包括金屬層與金屬氮化物層的堆疊。金屬層可設置於矽化物層122上且金屬氮化物層可設置於金屬層上。在一些實施例中,金屬層可包含Ti、Ta或其他合適的金屬且可包含與金屬氮化物層相同的金屬。 The adhesion layer 124 may facilitate the formation of the contact plugs 126 without voids, and may include metal nitrides such as titanium nitride (TiN), tantalum nitride (TaN), and other suitable metal nitride materials. In some embodiments, each of the adhesion layers 124 may include a single layer of metal nitride or may include a stack of metal layers and metal nitride layers. A metal layer can be disposed on the silicide layer 122 and a metal nitride layer can be disposed on the metal layer. In some embodiments, the metal layer may comprise Ti, Ta, or other suitable metal and may comprise the same metal as the metal nitride layer.

接觸插塞126可包含具有低電阻率(例如,約50微歐-公分、約40微歐-公分、約30微歐-公分、約20微歐-公分或約10微歐-公分)的導電性材料,例如鈷(Co)、鎢(W)、釕(Ru)、銥(Ir)、鎳(Ni)、鋨(Os)、銠(Rh)、鋁(Al)、鉬(Mo)、具有低電阻率的其它合適的導電性材料、及其組合。擴散障壁層128可藉由防止氧原子自ILD層118B擴散至接觸插塞126來防止接觸插塞126的氧化。在一些實施例中,擴散障壁層128可包含介電氮化物,例如氮化矽(SixNy)、氮氧化矽(SiON)、氮化矽碳(SiCN)、及其他合適的介電氮化物材料。 The contact plug 126 may comprise a conductive material having a low resistivity (eg, about 50 microohm-cm, about 40 microohm-cm, about 30 microohm-cm, about 20 microohm-cm, or about 10 microohm-cm) Materials such as cobalt (Co), tungsten (W), ruthenium (Ru), iridium (Ir), nickel (Ni), osmium (Os), rhodium (Rh), aluminum (Al), molybdenum (Mo), with Other suitable conductive materials of low resistivity, and combinations thereof. The diffusion barrier layer 128 may prevent oxidation of the contact plug 126 by preventing oxygen atoms from diffusing from the ILD layer 118B to the contact plug 126 . In some embodiments, the diffusion barrier layer 128 may include a dielectric nitride, such as silicon nitride ( SixNy ), silicon oxynitride (SiON), silicon nitride carbon (SiCN), and other suitable dielectric nitrogens chemical material.

S/D接觸結構120可經由通孔130電性連接至上覆的內連線結構(未示出)、電源(未示出)、及/或FET 100的其他元件。通孔130可設置於S/D接觸結構120上且可包含導電性材料,例如Ru、Co、Ni、Al、Mo、W、Ir、Os、Cu及Pt。在一些實施例 中,通孔130的導電性材料是藉由以下詳細闡述的自下而上的方法形成,且因此,通孔130被形成為沿著通孔130的側壁不具有黏合層(亦被稱為「襯墊」或「膠層」)。在一些實施例中,可使用六氟化鎢(WF6)的前驅氣體來形成通孔130,且因此,通孔130可包含具有氟原子雜質的鎢。每一通孔130中的氟原子雜質的濃度可介於每一通孔130中的原子總濃度的約1原子百分比至約10原子百分比的範圍內。在一些實施例中,通孔130的底表面130b可具有彎曲的輪廓,以增加通孔130與接觸插塞126之間的接觸面積,且因此降低通孔130與接觸插塞126之間的接觸電阻。在一些實施例中,通孔130可沿著X軸具有介於約10奈米至約20奈米的範圍內的直徑(或寬度),以在不損害裝置大小及製造成本的條件下在S/D接觸結構120與上覆的內連線結構(未示出)之間提供最佳接觸面積。 S/D contact structures 120 may be electrically connected to overlying interconnect structures (not shown), power sources (not shown), and/or other elements of FET 100 via vias 130 . The vias 130 may be disposed on the S/D contact structure 120 and may include conductive materials such as Ru, Co, Ni, Al, Mo, W, Ir, Os, Cu, and Pt. In some embodiments, the conductive material of the via 130 is formed by a bottom-up method as detailed below, and thus, the via 130 is formed without an adhesive layer along the sidewalls of the via 130 (also known as a "pad" or "bond layer"). In some embodiments, the via 130 may be formed using a precursor gas of tungsten hexafluoride (WF 6 ), and thus, the via 130 may include tungsten with fluorine atomic impurities. The concentration of the fluorine atomic impurity in each through hole 130 may be in a range of about 1 atomic percent to about 10 atomic percent of the total atomic concentration in each through hole 130 . In some embodiments, the bottom surface 130b of the via hole 130 may have a curved profile to increase the contact area between the via hole 130 and the contact plug 126 and thus reduce the contact between the via hole 130 and the contact plug 126 resistance. In some embodiments, the vias 130 may have a diameter (or width) in the range of about 10 nanometers to about 20 nanometers along the X-axis, to allow the An optimal contact area is provided between the /D contact structure 120 and the overlying interconnect structure (not shown).

在一些實施例中,通孔130可被ILD層118C的摻雜區131環繞。摻雜區131可包含摻雜劑,所述摻雜劑帶有具有較ILD層118C中的Si原子的原子半徑大的原子半徑的原子。舉例而言,ILD層118C可包含SiO2且ILD層118C的摻雜區131可包含具有較Si原子的原子半徑大的原子半徑的摻雜劑Ge原子或其他合適的摻雜劑原子。在通孔130的製作期間,在ILD層118C中引入摻雜劑原子,以封閉通孔130與ILD層118C之間的介面處的任何間隙,此將在以下詳細闡述。在一些實施例中,摻雜區131中的每一者可具有介於ILD層118C中的原子總濃度的約1原子百分比至 約10原子百分比的範圍內的摻雜劑濃度,用於充分密封通孔130與ILD層118C之間的介面處的任何間隙。在一些實施例中,摻雜區131可自通孔130的側壁130s延伸介於約1奈米至約60奈米的範圍內的距離D1。換言之,摻雜區131的側壁131s與通孔130的側壁130s間隔開距離D1。由於摻雜劑原子自摻雜區131遷移,因此相鄰於摻雜區131的ILD層118C的區可為未經摻雜的或者可具有小於ILD層118C中的原子總濃度的約1原子百分比的摻雜劑濃度。 In some embodiments, the vias 130 may be surrounded by the doped regions 131 of the ILD layer 118C. The doped region 131 may contain a dopant with atoms having an atomic radius larger than that of Si atoms in the ILD layer 118C. For example, the ILD layer 118C may include SiO 2 and the doped regions 131 of the ILD layer 118C may include dopant Ge atoms or other suitable dopant atoms having an atomic radius larger than that of Si atoms. During fabrication of vias 130, dopant atoms are introduced into ILD layer 118C to close any gaps at the interface between vias 130 and ILD layer 118C, as described in detail below. In some embodiments, each of the doped regions 131 may have a dopant concentration ranging from about 1 atomic percent to about 10 atomic percent of the total atomic concentration in the ILD layer 118C for adequate sealing Any gaps at the interface between vias 130 and ILD layer 118C. In some embodiments, the doped regions 131 may extend from the sidewalls 130s of the vias 130 by a distance D1 in the range of about 1 nm to about 60 nm. In other words, the sidewalls 131s of the doped regions 131 are spaced apart from the sidewalls 130s of the through holes 130 by the distance D1. Due to the migration of dopant atoms from the doped region 131, the region of the ILD layer 118C adjacent to the doped region 131 may be undoped or may have less than about 1 atomic percent of the total atomic concentration in the ILD layer 118C dopant concentration.

參照圖1B,閘極結構112A至112C中的每一者可包括:閘極堆疊132,設置於鰭結構106上;以及閘極頂蓋結構134,設置於閘極堆疊132上。閘極堆疊132可包括:(i)介面氧化物(interfacial oxide,IO)層136,設置於鰭結構106上;(ii)高k(high-k,HK)閘極介電層138,設置於IO層136上;(iii)WFM層140,設置於HK閘極介電層138上;(iv)氧障壁層142,設置於WFM層140上;以及(v)閘極金屬填充層144,設置於氧障壁層142上。 1B , each of the gate structures 112A-112C may include: a gate stack 132 disposed on the fin structure 106 ; and a gate cap structure 134 disposed on the gate stack 132 . The gate stack 132 may include: (i) an interfacial oxide (IO) layer 136 disposed on the fin structure 106; (ii) a high-k (HK) gate dielectric layer 138 disposed on the On IO layer 136; (iii) WFM layer 140, disposed on HK gate dielectric layer 138; (iv) oxygen barrier layer 142, disposed on WFM layer 140; and (v) gate metal fill layer 144, disposed on the oxygen barrier layer 142 .

在一些實施例中,IO層136可包含SiO2、氧化矽鍺(SiGeOx)、氧化鍺(GeOx)、或其他合適的氧化物材料。在一些實施例中,HK閘極介電層138可包含:(i)高k介電材料,例如氧化鉿(HfO2)、氧化鈦(TiO2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta2O3)、矽酸鉿(HfSiO4)、氧化鋯(ZrO2)及矽酸鋯(ZrSiO2);及(ii)高k介電材料,具有鋰(Li)、鈹(Be)、鎂(Mg)、鈣(Ca)、 鍶(Sr)、鈧(Sc)、釔(Y)、鋯(Zr)、鋁(Al)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、鐿(Yb)、餾(Lu)的氧化物;(iii)其組合;或(iv)其它合適的高k介電材料。如本文所使用的,用語「高k」是指高介電常數。在半導體裝置結構及製造製程的領域中,高k是指大於SiO2的介電常數(例如,大於3.9)的介電常數。 In some embodiments, the IO layer 136 may include SiO 2 , silicon germanium oxide (SiGeO x ), germanium oxide (GeO x ), or other suitable oxide materials. In some embodiments, the HK gate dielectric layer 138 may include: (i) a high-k dielectric material such as hafnium oxide (HfO 2 ), titanium oxide (TiO 2 ), hafnium zirconium oxide (HfZrO), tantalum oxide ( Ta 2 O 3 ), hafnium silicate (HfSiO 4 ), zirconium oxide (ZrO 2 ), and zirconium silicate (ZrSiO 2 ); and (ii) high-k dielectric materials with lithium (Li), beryllium (Be), Magnesium (Mg), Calcium (Ca), Strontium (Sr), Scandium (Sc), Yttrium (Y), Zirconium (Zr), Aluminum (Al), Lanthanum (La), Cerium (Ce), Sodium (Pr), Neodymium (Nd), Samarium (Sm), Europium (Eu), Glybium (Gd), Xtterbium (Tb), Dysprosium (Dy), Y (Ho), Erbium (Er), Ytterbium (Tm), Ytterbium (Yb), (Lu) oxides; (iii) combinations thereof; or (iv) other suitable high-k dielectric materials. As used herein, the term "high-k" refers to a high dielectric constant. In the field of semiconductor device structures and fabrication processes, high-k refers to a dielectric constant greater than that of SiO2 (eg, greater than 3.9).

對於NFET 100,WFM層140可包含鈦鋁(TiAl)、碳化鈦鋁(TiAlC)、鉭鋁(TaAl)、碳化鉭鋁(TaAlC)、經Al摻雜的Ti、經Al摻雜的TiN、經Al摻雜的Ta、經Al摻雜的TaN、其他合適的Al系導電性材料、或其組合。對於PFET 100,WFM層140可包含實質上不含Al(例如,不具有Al)的Ti系或Ta系氮化物或合金,例如氮化鈦(TiN)、氮化鈦矽(TiSiN)、鈦金(Ti-Au)合金、鈦銅(Ti-Cu)合金、氮化鉭(TaN)、氮化鉭矽(TaSiN)、鉭金(Ta-Au)合金、鉭銅(Ta-Cu)合金、其它合適的實質上不含Al的導電性材料、或其組合。 For NFET 100, WFM layer 140 may comprise titanium aluminum (TiAl), titanium aluminum carbide (TiAlC), tantalum aluminum (TaAl), tantalum aluminum carbide (TaAlC), Al doped Ti, Al doped TiN, Al-doped Ta, Al-doped TaN, other suitable Al-based conductive materials, or combinations thereof. For PFET 100, WFM layer 140 may include a Ti-based or Ta-based nitride or alloy that is substantially free of Al (eg, without Al), such as titanium nitride (TiN), titanium silicon nitride (TiSiN), titanium gold (Ti-Au) alloy, titanium copper (Ti-Cu) alloy, tantalum nitride (TaN), tantalum silicon nitride (TaSiN), tantalum gold (Ta-Au) alloy, tantalum copper (Ta-Cu) alloy, others Suitable substantially Al-free conductive materials, or combinations thereof.

氧障壁層142可防止在處理上覆層(例如,閘極金屬填充層144及/或閘極頂蓋結構134)期間,WFM層140的氧化,且可包含Si、Ge、Ti、Al、Hf、Ta、Ni、Co、氧化矽(SiOx)、氧化鍺(GeOx)、氧化鈦(TiOx)、氧化鋁(AlOx)、氧化鉿(HfOx)、氧化鉭(TaOx)、氧化鎳(NiOx)、氧化鈷(CoOx)、氧化銦(InOx)、氧化鋅(ZnOx)、氧化鋯(ZrOx)、氧化鎂(MgOx)、或能夠阻擋 氧原子擴散至WFM層140中的其他合適的材料。由於經氧化的WFM層140可轉變閘極堆疊132的功函數值,因此防止WFM層140被氧化,且因此增加FET 100的臨限值電壓。在一些實施例中,氧障壁層142可包括介於約1奈米至約2奈米的範圍內的厚度。在1奈米的厚度以下,氧障壁層142可能不足以防止WFM層140的氧化。另一方面,若厚度大於2奈米,則閘極金屬填充層144的體積面積減小,且因此增加閘極結構112A至112C的閘極電阻。 Oxygen barrier layer 142 may prevent oxidation of WFM layer 140 during processing of overlying layers (eg, gate metal fill layer 144 and/or gate capping structure 134 ), and may include Si, Ge, Ti, Al, Hf, Ta, Ni, Co, silicon oxide (SiO x ), germanium oxide (GeO x ), titanium oxide (TiO x ), aluminum oxide (AlO x ), hafnium oxide (HfO x ), tantalum oxide (TaO x ), nickel oxide (NiO x ), cobalt oxide (CoO x ), indium oxide (InO x ), zinc oxide (ZnO x ), zirconium oxide (ZrO x ), magnesium oxide (MgO x ), or capable of blocking oxygen atoms from diffusing to the WFM layer 140 of other suitable materials. Since the oxidized WFM layer 140 can shift the work function value of the gate stack 132, the WFM layer 140 is prevented from being oxidized, and thus, the threshold voltage of the FET 100 is increased. In some embodiments, the oxygen barrier layer 142 may include a thickness ranging from about 1 nanometer to about 2 nanometers. Below a thickness of 1 nm, the oxygen barrier layer 142 may be insufficient to prevent oxidation of the WFM layer 140 . On the other hand, if the thickness is greater than 2 nm, the volume area of the gate metal filling layer 144 decreases, and thus increases the gate resistance of the gate structures 112A to 112C.

在一些實施例中,當氧障壁層142包含介電材料及/或氧化物材料(例如,SiOx、GeOx、HfOx、TiOx、AlOx、TaOx、NiOx、CoOx、InOx、ZnOx、ZrOx及MgOx)、或其它合適的介電材料及/或氧化物時,氧障壁層142在WFM層140的頂表面及/或閘極金屬填充層144的頂表面上方延伸,如圖1B中所示。另一方面,當氧障壁層142包含金屬材料(例如Ti、Al、Ta、Ni及Co)、或其他合適的金屬材料時,氧障壁層142與WFM層140的頂表面及/或閘極金屬填充層144的頂表面實質上共面,如圖1C中所示。氧障壁層142的頂表面相對於WFM層140的頂表面及/或閘極金屬填充層144的頂表面的平面度取決於製作閘極堆疊132期間氧障壁層142的材料的相對蝕刻速率、WFM層140的材料的相對蝕刻速率及閘極金屬填充層144的材料的相對蝕刻速率,此將在以下進行詳細闡述。 In some embodiments, when the oxygen barrier layer 142 includes a dielectric material and/or an oxide material (eg, SiOx , GeOx , HfOx , TiOx , AlOx , TaOx , NiOx , CoOx , InOx , ZnOx , ZrOx , and MgOx ), or other suitable dielectric materials and/or oxides, the oxygen barrier layer 142 extends over the top surface of the WFM layer 140 and/or the top surface of the gate metal fill layer 144 , as shown in Figure 1B. On the other hand, when the oxygen barrier layer 142 includes metal materials (eg, Ti, Al, Ta, Ni, and Co), or other suitable metal materials, the top surfaces of the oxygen barrier layer 142 and the WFM layer 140 and/or the gate metal The top surfaces of fill layer 144 are substantially coplanar, as shown in FIG. 1C . The planarity of the top surface of the oxygen barrier layer 142 relative to the top surface of the WFM layer 140 and/or the top surface of the gate metal fill layer 144 depends on the relative etch rate, WFM of the material of the oxygen barrier layer 142 during fabrication of the gate stack 132 The relative etch rate of the material of layer 140 and the relative etch rate of the material of gate metal fill layer 144 will be described in detail below.

在一些實施例中,閘極金屬填充層144可包含合適的導 電性材料(例如鎢(W)、鈦(Ti)、銀(Ag)、釕(Ru)、鉬(Mo)、銅(Cu)、鈷(Co)、鋁(Al)、銥(Ir)、鎳(Ni))、其他合適的導電性材料、或其組合。在一些實施例中,閘極金屬填充層144可包括實質上不含氟的金屬層(例如,不含氟的W),所述實質上不含氟的金屬層可包括離子、原子及/或分子形式的小於約5原子百分比的氟污染物的量。 In some embodiments, the gate metal fill layer 144 may comprise a suitable conductive Electrical materials (such as tungsten (W), titanium (Ti), silver (Ag), ruthenium (Ru), molybdenum (Mo), copper (Cu), cobalt (Co), aluminum (Al), iridium (Ir), Nickel (Ni)), other suitable conductive materials, or combinations thereof. In some embodiments, gate metal fill layer 144 may include a substantially fluorine-free metal layer (eg, fluorine-free W), which may include ions, atoms, and/or The amount of fluorine contaminant in molecular form less than about 5 atomic percent.

在一些實施例中,閘極頂蓋結構134可包括設置於閘極堆疊132上的導電性閘極頂蓋146及設置於導電性閘極頂蓋146上的絕緣閘極頂蓋148。絕緣閘極頂蓋148保護下伏的導電性閘極頂蓋146及閘極堆疊132在半導體裝置的後續處理製程期間免受結構劣化及/或成分劣化。在一些實施例中,絕緣閘極頂蓋148可包含氮化物材料(例如氮化矽),且可具有介於約2奈米至約10奈米的範圍內的厚度T1,以充分保護下伏的導電性閘極頂蓋146及閘極堆疊132。 In some embodiments, the gate cap structure 134 may include a conductive gate cap 146 disposed on the gate stack 132 and an insulating gate cap 148 disposed on the conductive gate cap 146 . The insulating gate cap 148 protects the underlying conductive gate cap 146 and gate stack 132 from structural and/or compositional degradation during subsequent processing of the semiconductor device. In some embodiments, insulating gate cap 148 may comprise a nitride material, such as silicon nitride, and may have a thickness T1 in the range of about 2 nanometers to about 10 nanometers to adequately protect the underlying Conductive gate cap 146 and gate stack 132 .

導電性閘極頂蓋146在閘極堆疊132與閘極接觸結構154之間提供導電性介面,以在不在閘極堆疊132上或閘極堆疊132內直接形成閘極接觸結構154的條件下將閘極堆疊132電性連接至閘極接觸結構154。不在閘極堆疊132上或閘極堆疊132內直接形成閘極接觸結構154,以防止閘極堆疊132被形成閘極接觸結構154時所使用的處理材料中的任意者污染,此將在以下進行詳細闡述。閘極堆疊132的污染會導致裝置效能的劣化。因此,藉由使用導電性閘極頂蓋146,可在不損害閘極結構112A至112C 的完整性的條件下將閘極堆疊132電性連接至閘極接觸結構154。 The conductive gate cap 146 provides a conductive interface between the gate stack 132 and the gate contact structure 154 to connect the gate without directly forming the gate contact structure 154 on or within the gate stack 132 The pole stack 132 is electrically connected to the gate contact structure 154 . The gate contact structure 154 is not formed directly on or within the gate stack 132 to prevent contamination of the gate stack 132 with any of the processing materials used to form the gate contact structure 154, as will be done below elaborate. Contamination of the gate stack 132 can lead to degradation of device performance. Therefore, by using the conductive gate cap 146, the gate structures 112A-112C can be protected without damaging the gate structures 112A-112C. The gate stack 132 is electrically connected to the gate contact structure 154 under the condition of integrity.

在一些實施例中,當氧障壁層142包含介電材料及/或氧化物時,導電性閘極頂蓋146可包括設置於閘極堆疊132上的生長促進層(GPL)150及設置於GPL 150上的蝕刻停止層(ESL)152,如圖1B中所示。GPL 150與ESL 152可包含彼此不同的導電性材料。在一些實施例中,GPL 150可包含氮化物材料,例如氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、氮化鉬(MoN)、其他合適的氮化物材料、及其組合。在一些實施例中,ESL 152可包含金屬材料,例如W、Ru、Ir、Mo、其他合適的金屬材料、及其組合。在一些實施例中,可使用五氯化鎢(WCl5)或六氯化鎢(WCl6)的前驅氣體來形成ESL 152,且因此,ESL 152可包含具有氯原子雜質的鎢。氯原子雜質的濃度可介於每一ESL 152中的原子總濃度的約1原子百分比至約10原子百分比的範圍內。 In some embodiments, when the oxygen barrier layer 142 includes a dielectric material and/or oxide, the conductive gate cap 146 may include a growth promoting layer (GPL) 150 disposed on the gate stack 132 and disposed on the GPL 150 an etch stop layer (ESL) 152 on top, as shown in FIG. 1B . The GPL 150 and the ESL 152 may contain different conductive materials from each other. In some embodiments, GPL 150 may include nitride materials such as titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), molybdenum nitride (MoN), other suitable nitride materials, and its combinations. In some embodiments, ESL 152 may include metallic materials such as W, Ru, Ir, Mo, other suitable metallic materials, and combinations thereof. In some embodiments, the ESL 152 may be formed using a precursor gas of tungsten pentachloride (WCl 5 ) or tungsten hexachloride (WCl 6 ), and thus, the ESL 152 may include tungsten with an impurity of chlorine atoms. The concentration of chlorine atomic impurities may range from about 1 atomic percent to about 10 atomic percent of the total atomic concentration in each ESL 152 .

由於介電材料及/或氧化物材料可抑制ESL 152的金屬材料的自下而上沈積,因此當氧障壁層142包含介電材料及/或氧化物時,GPL 150可提供有利於自下而上沈積ESL 152的表面,如圖1B中所示。在一些實施例中,當氧障壁層142包含金屬材料時,可在不具有GPL 150的條件下使用自下而上沈積製程在閘極堆疊132上沈積ESL 152,如圖1C中所示,且ESL 152可用作導電性閘極頂蓋146。自下而上沈積製程選擇性地在閘極堆疊132上直接或間接地沈積ESL 152,且防止ESL 152沈積於FET結構(例如間隔件114及ILD層118A)上,所述FET結構可能與隨後形成的 相鄰結構(例如S/D接觸結構120)電性短路。 Since the dielectric material and/or oxide material may inhibit bottom-up deposition of metal materials of the ESL 152, when the oxygen barrier layer 142 includes a dielectric material and/or oxide, the GPL 150 may provide a favorable bottom-up ESL 152 is deposited on the surface as shown in Figure IB. In some embodiments, when the oxygen barrier layer 142 includes a metal material, the ESL 152 can be deposited on the gate stack 132 using a bottom-up deposition process without the GPL 150, as shown in FIG. 1C, and ESL 152 may be used as conductive gate cap 146 . The bottom-up deposition process selectively deposits ESL 152 directly or indirectly on gate stack 132 and prevents ESL 152 from being deposited on FET structures such as spacers 114 and ILD layer 118A, which may be related to subsequent Forming Adjacent structures (eg, S/D contact structures 120 ) are electrically shorted.

除了在閘極堆疊132與閘極接觸結構154之間提供導電性介面之外,ESL 152亦可控制閘極接觸結構154的深度輪廓且防止閘極接觸結構154延伸至閘極堆疊132中。在一些實施例中,ESL 152可具有介於約2奈米至約15奈米的範圍內的厚度T5,且閘極接觸結構154可向ESL 152中延伸介於約1奈米至約10奈米的範圍內的距離D2,用於充分控制閘極接觸結構154的深度輪廓。為了防止閘極接觸結構154延伸至GPL 150(圖1B)中或閘極堆疊132(圖1C)中,ESL 152被形成為具有大於D2的厚度T5。 In addition to providing a conductive interface between gate stack 132 and gate contact structure 154 , ESL 152 can also control the depth profile of gate contact structure 154 and prevent gate contact structure 154 from extending into gate stack 132 . In some embodiments, ESL 152 may have a thickness T5 in a range from about 2 nm to about 15 nm, and gate contact structure 154 may extend into ESL 152 from about 1 nm to about 10 nm A distance D2 in the range of meters is used to adequately control the depth profile of the gate contact structure 154 . To prevent gate contact structure 154 from extending into GPL 150 (FIG. IB) or into gate stack 132 (FIG. 1C), ESL 152 is formed to have a thickness T5 greater than D2.

GPL 150可包含例如氮化物材料等材料,ESL 152對所述材料的沈積選擇性高於對HK閘極介電層138及氧障壁層142的電介材料及/或氧化物材料的沈積選擇性。如本文中所使用的,用語「沈積選擇性」是指在相同沈積條件下在兩種不同的材料或表面上的沈積速率之比率。在一些實施例中,GPL 150可跨越閘極堆疊132的頂表面具有不均勻的厚度。GPL 150的位於HK閘極介電層138上的第一部分可具有厚度T2,GPL 150的位於氧障壁層142上的第二部分可具有厚度T3,厚度T3可大於厚度T2,且GPL 150的位於閘極金屬填充層144及WFM層140上的第三部分可具有厚度T4,厚度T4可大於厚度T2至厚度T3。為了充分促進ESL 152的自下而上沈積,厚度T2至T4可介於約1奈米至約5奈米的範圍內。 GPL 150 may include materials, such as nitride materials, for which ESL 152 is more selective for deposition than for dielectric and/or oxide materials for HK gate dielectric layer 138 and oxygen barrier layer 142 . As used herein, the term "deposition selectivity" refers to the ratio of deposition rates on two different materials or surfaces under the same deposition conditions. In some embodiments, GPL 150 may have a non-uniform thickness across the top surface of gate stack 132 . The first portion of GPL 150 on HK gate dielectric layer 138 may have thickness T2, the second portion of GPL 150 on oxygen barrier layer 142 may have thickness T3, thickness T3 may be greater than thickness T2, and the second portion of GPL 150 on oxygen barrier layer 142 may have thickness T3 The gate metal fill layer 144 and the third portion on the WFM layer 140 may have a thickness T4, which may be greater than the thickness T2 to the thickness T3. To sufficiently facilitate bottom-up deposition of ESL 152, thicknesses T2-T4 may range from about 1 nm to about 5 nm.

閘極接觸結構154可包括襯墊156及設置於襯墊156上的接觸插塞158。在一些實施例中,襯墊156可包含氮化物材料(例如TiN),且接觸插塞158可包含相似於通孔130的導電性材料。在一些實施例中,襯墊156可包括Ti與TiN的雙層且接觸插塞158可包含W。在一些實施例中,襯墊156可包含TaN且接觸插塞158可包含Ru。 The gate contact structure 154 may include a pad 156 and a contact plug 158 disposed on the pad 156 . In some embodiments, liner 156 may include a nitride material (eg, TiN), and contact plug 158 may include a conductive material similar to via 130 . In some embodiments, the liner 156 may include a bilayer of Ti and TiN and the contact plug 158 may include W. In some embodiments, the pad 156 may include TaN and the contact plug 158 may include Ru.

在一些實施例中,代替S/D區110B之上的通孔130及閘極結構112C上的閘極接觸結構154,在S/D區110B及閘極結構112C上設置有融合通孔-接觸結構160,如圖1D中所示。當FET 100形成於積體電路(未示出)的邏輯裝置區域及/或靜態隨機存取記憶體(static random access memory,SRAM)裝置區域中時,融合通孔-接觸結構160將S/D區110B與閘極結構112C彼此電性連接,且與上覆的內連線結構(未示出)電性連接。融合通孔-接觸結構160可包括襯墊162及設置於襯墊162上的接觸插塞164。在一些實施例中,襯墊162及接觸插塞164可分別包含相似於襯墊156及接觸插塞158的材料。 In some embodiments, instead of vias 130 over S/D regions 110B and gate contact structures 154 over gate structures 112C, fused via-contacts are provided over S/D regions 110B and gate structures 112C Structure 160, as shown in Figure ID. When the FET 100 is formed in the logic device region and/or the static random access memory (SRAM) device region of an integrated circuit (not shown), the fused via-contact structure 160 will S/D The region 110B and the gate structure 112C are electrically connected to each other and to the overlying interconnect structure (not shown). The fused via-contact structure 160 may include a pad 162 and a contact plug 164 disposed on the pad 162 . In some embodiments, liner 162 and contact plug 164 may comprise similar materials to liner 156 and contact plug 158, respectively.

在一些實施例中,參照圖1E,閘極接觸結構154、閘極頂蓋結構134及融合通孔-接觸結構160可具有不同於圖1B至圖1D中所示的剖視圖的剖視圖。在一些實施例中,代替圖1B及圖1D中所示的GPL 150的實質上共面的頂表面,GPL 150可具有帶有凸起邊緣的非共面頂表面,如圖1E中所示。在一些實施例中,融合通孔-接觸結構160的一部分可設置於閘極結構112B上,如 圖1E中所示。 In some embodiments, referring to FIG. 1E , gate contact structure 154 , gate cap structure 134 , and fused via-contact structure 160 may have cross-sectional views different from the cross-sectional views shown in FIGS. 1B-1D . In some embodiments, instead of the substantially coplanar top surface of GPL 150 shown in Figures IB and ID, GPL 150 may have a non-coplanar top surface with raised edges, as shown in Figure IE. In some embodiments, a portion of the fused via-contact structure 160 may be disposed on the gate structure 112B, such as shown in Figure 1E.

圖2是根據一些實施例的用於製作具有圖1B中所示的剖視圖的FET 100的示例性方法200的流程圖。出於例示性目的,將參照如圖3至圖27中所示的用於製作FET 100的示例性製作製程來闡述圖2中所示的操作。圖3至圖27是根據一些實施例的處於製作的不同階段處的沿著圖1A所示的線A-A的FET 100的剖視圖。端視具體應用而定,可按不同的次序實行操作或可不實行操作。應注意,方法200可能不會產生完整的FET 100。因此,應理解,可在方法200之前、期間及之後提供附加的製程,且一些其他製程可僅在本文中簡要闡述。圖3至圖27中的元件具有與如上所述圖1A至圖1E中的元件相同的注釋。 FIG. 2 is a flowchart of an exemplary method 200 for fabricating the FET 100 having the cross-sectional view shown in FIG. 1B in accordance with some embodiments. For illustrative purposes, the operations shown in FIG. 2 will be explained with reference to an exemplary fabrication process for fabricating FET 100 as shown in FIGS. 3-27 . 3-27 are cross-sectional views of FET 100 along line A-A shown in FIG. 1A at various stages of fabrication, in accordance with some embodiments. Depending on the application, the operations may or may not be performed in a different order. It should be noted that method 200 may not result in a complete FET 100 . Accordingly, it should be understood that additional processes may be provided before, during, and after method 200, and that some other processes may only be briefly described herein. Elements in FIGS. 3-27 have the same annotations as those in FIGS. 1A-1E as described above.

在操作205中,在基底上的鰭結構上形成多晶矽結構及S/D區。舉例而言,如圖3中所示,在鰭結構106上形成多晶矽結構312及S/D區110A至110B,鰭結構106形成於基底104上。在後續處理期間,可在閘極置換製程中置換多晶矽結構312以形成閘極結構112A至112C。在形成S/D區110A至110C之後,可形成ESL 117A(如圖1A中所示;圖3至圖27中出於簡化而未示出)及ILD層118A,以形成圖3所示結構。 In operation 205, polysilicon structures and S/D regions are formed on the fin structures on the substrate. For example, as shown in FIG. 3 , a polysilicon structure 312 and S/D regions 110A- 110B are formed on the fin structure 106 , which is formed on the substrate 104 . During subsequent processing, the polysilicon structure 312 may be replaced in a gate replacement process to form the gate structures 112A-112C. After forming S/D regions 110A-110C, ESL 117A (shown in FIG. 1A ; not shown in FIGS. 3-27 for simplicity) and ILD layer 118A may be formed to form the structure shown in FIG. 3 .

參照圖2,在操作210中,利用閘極堆疊置換多晶矽結構。舉例而言,如參照圖4至圖5所述,利用閘極堆疊132置換多晶矽結構312。閘極堆疊132的形成可包括以下順序操作:(i)利用閘極堆疊132的層--IO層136、HK閘極介電層138、WFM 層140、氧障壁層142及閘極金屬填充層144--置換多晶矽結構312,如圖4中所示;以及(ii)對閘極堆疊132的層進行蝕刻以形成閘極頂蓋開口566,如圖5中所示。 Referring to FIG. 2, in operation 210, the polysilicon structure is replaced with a gate stack. For example, as described with reference to FIGS. 4-5 , the polysilicon structure 312 is replaced with the gate stack 132 . The formation of gate stack 132 may include the following sequential operations: (i) utilizing the layers of gate stack 132 - IO layer 136, HK gate dielectric layer 138, WFM layer 140, oxygen barrier layer 142, and gate metal fill layer 144—replacement polysilicon structure 312, as shown in FIG. 4; and (ii) etching the layers of gate stack 132 to form gate cap opening 566, as in shown in Figure 5.

參照圖2,在操作215中,在閘極堆疊上形成閘極頂蓋結構的GPL。舉例而言,如參照圖6至圖9所述,在閘極堆疊132上形成GPL 150。GPL 150的形成可包括以下順序操作:(i)在圖5所示結構上形成金屬氮化物層650,如圖6中所示;(ii)在金屬氮化物層650的具有閘極頂蓋開口566的部分上形成罩幕層768(例如,光阻層或抗反射塗層),如圖7中所示;(iii)對金屬氮化物層650進行蝕刻(例如,濕式蝕刻)以形成金屬氮化物層850,所述金屬氮化物層850的頂表面與閘極間隔件114的頂表面及罩幕層768的頂表面實質上共面,如圖8中所示;(iv)自圖8所示結構移除罩幕層768,如圖9中所示;以及(v)對金屬氮化物層850的在圖8所示結構的表面850s上方延伸的側壁部分選擇性地進行蝕刻,以形成GPL 150,如圖9中所示。 Referring to FIG. 2, in operation 215, a GPL of a gate cap structure is formed on the gate stack. For example, as described with reference to FIGS. 6-9 , GPL 150 is formed on gate stack 132 . Formation of GPL 150 may include the following sequential operations: (i) forming metal nitride layer 650 on the structure shown in FIG. 5 , as shown in FIG. 6 ; (ii) having gate cap opening 566 on metal nitride layer 650 A mask layer 768 (eg, a photoresist layer or an anti-reflection coating) is formed on the portion of the compound layer 850, the top surface of the metal nitride layer 850 is substantially coplanar with the top surface of the gate spacer 114 and the top surface of the mask layer 768, as shown in FIG. 8; (iv) from FIG. 8 and (v) selectively etching the sidewall portion of the metal nitride layer 850 extending over the surface 850s of the structure shown in FIG. 8 to form the GPL 150, as shown in FIG. 9 .

金屬氮化物層650的形成可包括以下順序操作:(i)使用定向沈積製程(例如物理氣相沈積(PVD)製程及其他合適的定向沈積製程)在圖5所示結構上沈積金屬層(未示出);以及(ii)使用氨(NH3)或氮氣對沈積的金屬層實行氮化製程。金屬氮化物層650沿著閘極頂蓋開口566的側壁形成有厚度T6,且在閘極金屬填充層144上形成有厚度T7,厚度T7大於厚度T6。金屬氮化物層650的沿著閘極頂蓋開口566的側壁的部分形成得較閘極金 屬填充層144上的部分薄,以便於選擇性地移除沿著側壁的所述部分。 The formation of metal nitride layer 650 may include the following sequential operations: (i) depositing a metal layer (not shown) on the structure shown in FIG. 5 using a directional deposition process, such as a physical vapor deposition (PVD) process and other suitable directional deposition processes. shown); and (ii) performing a nitridation process on the deposited metal layer using ammonia (NH 3 ) or nitrogen. The metal nitride layer 650 is formed along the sidewall of the gate cap opening 566 to a thickness T6, and is formed on the gate metal filling layer 144 to a thickness T7, which is greater than the thickness T6. Portions of metal nitride layer 650 along the sidewalls of gate cap opening 566 are formed thinner than portions on gate metal fill layer 144 to facilitate selective removal of the portions along the sidewalls.

對金屬氮化物層850的側壁部分選擇性地進行蝕刻可包括利用使用WCl5氣體、O2氣體及氬氣或其他合適的氣體進行的原子層蝕刻(ALE)製程進行蝕刻。在一些實施例中,ALE製程的每一循環可包括以下順序週期:(i)第一蝕刻氣體(例如,WCl5)流動;(ii)利用氬氣進行的第一清洗製程;(iii)第二蝕刻氣體(例如,O2)氣體流動;以及(iv)利用氬氣進行的第二吹掃製程。在一些實施例中,用於對側壁部分進行蝕刻的ALE製程可包括以下順序操作:(i)使用圖32中所示的ALE控制系統3200的訓練模組3270來預測蝕刻配方;(ii)基於預測的蝕刻配方,使用ALE控制系統3200的通訊模組3272來調整蝕刻設備(未示出)的製程參數;(iii)基於經調整的製程參數,利用蝕刻設備對側壁部分進行蝕刻;(iv)利用量測系統(未示出)來量測剩餘側壁部分的厚度;(v)將量測資料發送至ALE控制系統3200的記憶體3274;(vi)利用ALE控制系統3200的分析模組3276來分析量測資料,以判斷剩餘側壁部分的厚度是否等於約零奈米;以及(vii)若厚度等於約零奈米,則使用ALE控制系統3200的處理器3278及/或通訊模組3272來結束蝕刻設備中的蝕刻製程,或者重複操作(i)至(vi),直至厚度等於約零奈米且形成GPL 150,如圖9中所示。在一些實施例中,訓練模組3270、通訊模組3272、記憶體3274、分析模組3276及處理器3278有線或無線地連接至彼此。在一些 實施例中,蝕刻設備的製程參數的調整可包括調整蝕刻持續時間、蝕刻氣體流動及/或蝕刻溫度。 Selectively etching the sidewall portions of the metal nitride layer 850 may include etching using an atomic layer etch (ALE) process using WC15 gas, O2 gas, and argon or other suitable gases. In some embodiments, each cycle of the ALE process may include sequential cycles of: (i) a first etch gas (eg, WCl5 ) flow; (ii) a first cleaning process with argon; (iii) a first Two etch gas (eg, O 2 ) gas flows; and (iv) a second purge process with argon. In some embodiments, an ALE process for etching sidewall portions may include the following sequential operations: (i) predicting an etch recipe using the training module 3270 of the ALE control system 3200 shown in FIG. 32; (ii) based on The predicted etching recipe, using the communication module 3272 of the ALE control system 3200 to adjust the process parameters of the etching equipment (not shown); (iii) using the etching equipment to etch the sidewall portion based on the adjusted process parameters; (iv) Use a measurement system (not shown) to measure the thickness of the remaining sidewall portion; (v) send the measurement data to the memory 3274 of the ALE control system 3200; (vi) use the analysis module 3276 of the ALE control system 3200 to Analyze the measurement data to determine whether the thickness of the remaining sidewall portion is equal to about zero nanometers; and (vii) if the thickness is equal to about zero nanometers, use the processor 3278 and/or the communication module 3272 of the ALE control system 3200 to end The etching process in the etching apparatus, or operations (i) through (vi), are repeated until the thickness is equal to about zero nanometers and the GPL 150 is formed, as shown in FIG. 9 . In some embodiments, the training module 3270, the communication module 3272, the memory 3274, the analysis module 3276, and the processor 3278 are wired or wirelessly connected to each other. In some embodiments, the adjustment of process parameters of the etching apparatus may include adjustment of etching duration, etching gas flow, and/or etching temperature.

利用ALE控制系統3200對蝕刻配方進行預測可包括實行計算程序,以進行以下操作:(i)分析自利用蝕刻設備對其他結構實行的先前蝕刻製程收集的蝕刻製程資料;以及(ii)基於分析的資料來預測蝕刻製程特性(例如,蝕刻速率、蝕刻持續時間),所述蝕刻製程特性用於利用不同的蝕刻製程參數(例如,安瓿壽命、蝕刻室的溫度及濕度、蝕刻室內的光吸收或反射、蝕刻室內的壓力、載氣條件、蝕刻氣體供應管長度等)對側壁部分進行蝕刻。計算機程序可包括一或多個數學運算、圖案辨識程序、大資料挖掘程序或機器學習程序(例如神經網路演算法),以分析蝕刻製程資料(例如,安瓿壽命、蝕刻室壽命、有效蝕刻密度、有效蝕刻面積大小、蝕刻氣體參數等)且預測蝕刻製程特性。相似地,利用ALE控制系統3200對量測資料進行分析可包括實行計算程序。在一些實施例中,金屬氮化物層850的位於閘極堆疊132上的部分可在ALE製程期間被蝕刻且可減薄至厚度T4,如圖9中所示。 Using the ALE control system 3200 to predict the etch recipe may include executing a computational program to: (i) analyze etch process data collected from previous etch processes performed on other structures using the etch equipment; and (ii) based on the analysis data to predict etch process characteristics (eg, etch rate, etch duration) that are used to take advantage of different etch process parameters (eg, ampoule life, temperature and humidity in the etch chamber, light absorption or reflection in the etch chamber) , the pressure in the etching chamber, the carrier gas conditions, the length of the etching gas supply pipe, etc.) to etch the sidewall portion. The computer program may include one or more mathematical operations, pattern recognition programs, big data mining programs, or machine learning programs (eg, neural network road algorithms) to analyze etch process data (eg, ampoule lifetime, etch chamber lifetime, effective etch density, Effective etching area size, etching gas parameters, etc.) and predict etching process characteristics. Similarly, analysis of measurement data using ALE control system 3200 may include executing computational routines. In some embodiments, the portion of metal nitride layer 850 on gate stack 132 may be etched during the ALE process and may be thinned to thickness T4, as shown in FIG. 9 .

參照圖2,在操作220中,在GPL上形成閘極頂蓋結構的ESL。舉例而言,如圖10中所示,在GPL 150上形成ESL 152。在一些實施例中,ESL 152的形成可包括使用在介於約300℃至約550℃的範圍內的溫度下以及在介於約15托至約40托的範圍內的壓力下利用WCl5前驅氣體進行的自下而上沈積製程在GPL 150上 沈積約3奈米至約5奈米的不含氟的W層。其他厚度、溫度及壓力範圍處於本揭露的範圍內。將不含氟的W用於ESL 152會防止氟污染引起的下伏的閘極堆疊132的劣化。 Referring to FIG. 2, in operation 220, an ESL of the gate cap structure is formed on the GPL. For example, as shown in FIG. 10 , ESL 152 is formed on GPL 150 . In some embodiments, the formation of ESL 152 can include utilizing a WCl precursor using a temperature in a range from about 300°C to about 550 °C and a pressure in a range from about 15 torr to about 40 torr A gas-based bottom-up deposition process deposits about 3 nm to about 5 nm of a fluorine-free W layer on GPL 150 . Other thickness, temperature and pressure ranges are within the scope of this disclosure. The use of fluorine-free W for the ESL 152 prevents the degradation of the underlying gate stack 132 caused by fluorine contamination.

參照圖2,在操作225中,在ESL上形成閘極頂蓋結構的絕緣閘極頂蓋。舉例而言,如圖11中所示,在ESL 152上形成絕緣閘極頂蓋148。絕緣閘極頂蓋148的形成可包括以下順序操作:(i)在圖10所示結構上沈積絕緣氮化物層(未示出);以及(ii)對絕緣氮化物層實行化學機械拋光(chemical mechanical polish,CMP)製程以形成圖11所示結構。在形成絕緣閘極頂蓋148之後,可在圖11所示結構上形成ILD層118B。 Referring to FIG. 2, in operation 225, an insulating gate cap of a gate cap structure is formed on the ESL. For example, as shown in FIG. 11 , an insulating gate cap 148 is formed on the ESL 152 . The formation of insulating gate cap 148 may include the following sequential operations: (i) depositing an insulating nitride layer (not shown) on the structure shown in FIG. 10; and (ii) chemical mechanical polishing of the insulating nitride layer polish, CMP) process to form the structure shown in FIG. 11 . After the insulating gate cap 148 is formed, the ILD layer 118B may be formed on the structure shown in FIG. 11 .

參照圖2,在操作230中,在S/D區上形成S/D接觸結構。舉例而言,如參照圖12至圖20所述,在S/D區110A至110B上形成S/D接觸結構120。S/D接觸結構120的形成可包括以下順序操作:(i)藉由ILD層118A至118B在S/D區110A至110B上形成接觸開口1280,如圖12中所示;(ii)在圖12所示結構上沈積介電氮化物層1328,如圖13中所示;(iii)自ILD層118B的頂表面及S/D區110A至110B的頂表面對介電氮化物層1328的部分選擇性地進行蝕刻,以形成擴散障壁層128,如圖14中所示;(iv)在S/D區110A至110B內形成矽化物層122,如圖14中所示;(v)在圖14所示結構上沈積金屬層(未示出);(vi)使用氨(NH3)或氮氣對沈積的金屬層實行氮化製程以形成金屬氮化物層1524,如圖15中所示;(vii)在金屬氮化物層1524的位於接觸開口1280 內的部分上形成罩幕層1582(例如,光阻層或抗反射塗層),且罩幕層1582的頂表面與ILD層118B的頂表面實質上共面,如圖15中所示;(viii)自ILD層118B的頂表面對金屬氮化物層1524的部分進行蝕刻,以形成金屬氮化物層1624,如圖16中所示;(ix)移除罩幕層1582,如圖16中所示;(ix)使用相似於操作215中闡述的ALE製程的ALE製程對金屬氮化物層1624的側壁部分選擇性地進行蝕刻以形成金屬氮化物層1724,如圖17中所示;(x)對圖17所示結構實行清潔製程(例如,氟系乾式刻蝕製程),以自金屬氮化物層1724的頂表面移除天然氧化物;(xi)在圖17所示清潔後的結構上沈積金屬氮化物層1824,如圖18中所示;(xii)在金屬氮化物層1824上沈積金屬層1826,如圖18中所示;(xiii)在圖18所示結構上沈積金屬層1926,以形成圖19所示結構;以及(xiv)對圖19所示結構實行CMP製程,以形成黏合層124及接觸插塞126,如圖20中所示。黏合層124被形成為具有雙金屬氮化物層1724及1824以在矽化物層122上形成具有厚度T8的基部部分,基部部分較具有厚度T9的側壁部分厚,如圖20中所示。 Referring to FIG. 2, in operation 230, an S/D contact structure is formed on the S/D region. For example, as described with reference to FIGS. 12 to 20 , the S/D contact structure 120 is formed on the S/D regions 110A to 110B. The formation of S/D contact structure 120 may include the following sequential operations: (i) forming contact openings 1280 on S/D regions 110A-110B by ILD layers 118A-118B, as shown in FIG. 12; (ii) in FIG. A dielectric nitride layer 1328 is deposited on the structure shown in 12, as shown in FIG. 13; (iii) from the top surface of the ILD layer 118B and the top surface of the S/D regions 110A-110B to the portion of the dielectric nitride layer 1328 Etching is selectively performed to form diffusion barrier layer 128, as shown in FIG. 14; (iv) silicide layer 122 is formed in S/D regions 110A to 110B, as shown in FIG. 14; (v) in FIG. A metal layer (not shown) is deposited on the structure shown in 14; (vi) a nitridation process is performed on the deposited metal layer using ammonia ( NH3 ) or nitrogen gas to form a metal nitride layer 1524, as shown in FIG. 15; ( vii) forming a mask layer 1582 (eg, a photoresist layer or an anti-reflection coating) on the portion of the metal nitride layer 1524 within the contact opening 1280, with the top surface of the mask layer 1582 and the top surface of the ILD layer 118B substantially coplanar, as shown in FIG. 15; (viii) etching portions of metal nitride layer 1524 from the top surface of ILD layer 118B to form metal nitride layer 1624, as shown in FIG. 16; (ix) ) remove mask layer 1582, as shown in FIG. 16; (ix) selectively etch sidewall portions of metal nitride layer 1624 using an ALE process similar to the ALE process described in operation 215 to form metal nitride layer 1724, as shown in FIG. 17; (x) performing a cleaning process (eg, a fluorine-based dry etch process) on the structure shown in FIG. 17 to remove native oxide from the top surface of metal nitride layer 1724; ( xi) depositing a metal nitride layer 1824 on the cleaned structure shown in FIG. 17, as shown in FIG. 18; (xii) depositing a metal layer 1826 on the metal nitride layer 1824, as shown in FIG. 18; (xiii) ) depositing a metal layer 1926 on the structure shown in FIG. 18 to form the structure shown in FIG. 19; and (xiv) performing a CMP process on the structure shown in FIG. 19 to form the adhesion layer 124 and the contact plug 126, as shown in FIG. 20 shown. Adhesion layer 124 is formed with dual metal nitride layers 1724 and 1824 to form a base portion having thickness T8 on silicide layer 122, the base portion being thicker than the sidewall portion having thickness T9, as shown in FIG. 20 .

在一些實施例中,可使用在約400℃至約450℃的溫度下的進行的ALD製程將金屬氮化物層1824沈積成具有約1奈米至約2奈米的厚度。其他厚度及溫度範圍處於本揭露的範圍內。在一些實施例中,金屬氮化物層1824可包含與金屬氮化物層1724中所包含的金屬相似或不同的金屬。在一些實施例中,金屬層1826可包含與金屬層1926中所包含的金屬相似或不同的金屬。在形成 S/D接觸結構120之後,可在圖20所示結構上形成ESL 117B且可在ESL 117B上形成ILD層118C。 In some embodiments, the metal nitride layer 1824 may be deposited to have a thickness of about 1 nanometer to about 2 nanometers using an ALD process conducted at a temperature of about 400°C to about 450°C. Other thicknesses and temperature ranges are within the scope of this disclosure. In some embodiments, metal nitride layer 1824 may include a metal similar to or different from that included in metal nitride layer 1724 . In some embodiments, metal layer 1826 may include a metal similar to or different from that included in metal layer 1926 . in forming After the S/D contact structure 120, an ESL 117B may be formed on the structure shown in FIG. 20 and an ILD layer 118C may be formed on the ESL 117B.

參照圖2,在操作235中,在S/D接觸結構上形成通孔。舉例而言,如參照圖21至圖25所述,在S/D接觸結構120上形成通孔130。通孔130的形成可包括以下順序操作:(i)使用等向性蝕刻製程在接觸插塞126上形成通孔開口2184,如圖21中所示;(ii)在通孔開口2184內沈積金屬層2230,如圖22中所示;(iii)在圖22所示結構上實質上共形地沈積膠層2386,如圖23中所示;(iv)在膠層2386上沈積金屬層2388,如圖23中所示;(v)對圖23所示結構實行CMP製程,以形成通孔130,如圖24中所示;(vi)在圖24所示結構上形成圖案化罩幕層2590(例如,光阻層),如圖25中所示;(vii)藉由經由圖案化的罩幕層2590中的開口2592植入摻雜劑來形成摻雜區131,如圖25中所示;以及(vii)移除圖案化罩幕層2590。 Referring to FIG. 2, in operation 235, vias are formed on the S/D contact structure. For example, as described with reference to FIGS. 21 to 25 , vias 130 are formed on the S/D contact structure 120 . The formation of vias 130 may include the following sequential operations: (i) forming via openings 2184 on contact plugs 126 using an isotropic etching process, as shown in FIG. 21 ; (ii) depositing metal within via openings 2184 layer 2230, as shown in FIG. 22; (iii) depositing an adhesive layer 2386 substantially conformally on the structure shown in FIG. 22, as shown in FIG. 23; (iv) depositing a metal layer 2388 on the adhesive layer 2386, As shown in FIG. 23; (v) performing a CMP process on the structure shown in FIG. 23 to form the vias 130, as shown in FIG. 24; (vi) forming a patterned mask layer 2590 on the structure shown in FIG. 24 (eg, a photoresist layer), as shown in FIG. 25; (vii) doped regions 131 are formed by implanting dopants through openings 2592 in patterned mask layer 2590, as shown in FIG. 25 and (vii) removing the patterned mask layer 2590.

在一些實施例中,可使用在介於約250℃至約300℃的溫度下以及在介於約2托至約10托的壓力下利用WF6及H2前驅氣體進行的自下而上沈積製程來沈積金屬層2230。其他厚度、溫度及壓力範圍處於本揭露的範圍內。可在介於約250℃至約300℃的範圍內的溫度下以及在介於約2托至約10托的範圍內的壓力下使用WF6及H2前驅氣體來沈積膠層2386以促進具有介於約3奈米至約5奈米的範圍內的厚度的金屬層2388的沈積。其他厚度、溫度及壓力範圍處於本揭露的範圍內。 In some embodiments, bottom - up deposition with WF and H precursor gases at temperatures between about 250 °C to about 300°C and pressures between about 2 Torr and about 10 Torr may be used process to deposit the metal layer 2230. Other thickness, temperature and pressure ranges are within the scope of this disclosure. The subbing layer 2386 can be deposited using WF and H precursor gases at a temperature in a range of about 250 ° C. to about 300° C. and a pressure in a range of about 2 Torr to about 10 Torr to facilitate having Deposition of metal layer 2388 having a thickness in the range of about 3 nanometers to about 5 nanometers. Other thickness, temperature and pressure ranges are within the scope of this disclosure.

參照圖2,在操作240中,在閘極結構上形成閘極接觸結構。舉例而言,如參照圖26至圖27所述,在閘極結構112A至112B上形成閘極接觸結構154。閘極接觸結構154的形成可包括以下順序操作:(i)形成延伸至ESL 152中的接觸開口2694,如圖26中所示;(ii)在圖26所示結構上沈積襯墊156的材料;(iii)在襯墊156的沈積材料上沈積接觸插塞158的材料;以及(iv)對襯墊156的沈積材料及接觸插塞158的沈積材料實行CMP製程,以形成襯墊156及接觸插塞158,如圖27中所示。 Referring to FIG. 2, in operation 240, a gate contact structure is formed on the gate structure. For example, as described with reference to FIGS. 26-27 , gate contact structures 154 are formed on gate structures 112A-112B. Formation of gate contact structure 154 may include the following sequential operations: (i) forming contact openings 2694 extending into ESL 152, as shown in FIG. 26; (ii) depositing material for liner 156 on the structure shown in FIG. 26 (iii) depositing contact plug 158 material on the liner 156 deposition material; and (iv) performing a CMP process on the liner 156 deposition material and the contact plug 158 deposition material to form the liner 156 and contact Plug 158 , as shown in FIG. 27 .

圖28是根據一些實施例的用於製作具有圖1D中所示的剖視圖的FET 100的示例性方法2800的流程圖。出於例示性目的,將參照如圖3至圖25及圖29至圖31中所示的用於製作FET 100的示例性製作製程來闡述圖28中所示的操作。圖3至圖25及圖29至圖31是根據一些實施例的處於製作的不同階段處的沿著圖1A所示的線A-A的FET 100的剖視圖。端視具體應用而定,可按不同的次序實行操作或可不實行操作。應注意,方法2800可能不會產生完整的FET 100。因此,應理解,可在方法2800之前、期間及之後提供附加的製程,且一些其他製程可僅在本文中簡要闡述。圖3至圖25及圖29至圖31中的元件具有與如上所述圖1A至圖1E中的元件相同的注釋。 28 is a flowchart of an exemplary method 2800 for fabricating the FET 100 having the cross-sectional view shown in FIG. ID, according to some embodiments. For illustrative purposes, the operations shown in FIG. 28 will be explained with reference to an exemplary fabrication process for fabricating FET 100 as shown in FIGS. 3-25 and 29-31 . 3-25 and 29-31 are cross-sectional views of FET 100 along line A-A shown in FIG. 1A at various stages of fabrication, according to some embodiments. Depending on the application, the operations may or may not be performed in a different order. It should be noted that method 2800 may not result in a complete FET 100 . Accordingly, it should be understood that additional processes may be provided before, during, and after method 2800, and that some other processes may only be briefly described herein. Elements in FIGS. 3-25 and 29-31 have the same annotations as those in FIGS. 1A-1E as described above.

參照圖28,操作2805至操作2830相似於圖2所示操作205至230。在操作2830之後,形成相似於圖20所示結構的結構。 Referring to FIG. 28 , operations 2805 to 2830 are similar to operations 205 to 230 shown in FIG. 2 . After operation 2830, a structure similar to that shown in FIG. 20 is formed.

參照圖28,在操作2835中,在S/D接觸結構中的第一 S/D接觸結構上形成通孔。舉例而言,如圖29中所示,在形成於S/D區110A上的S/D接觸結構120上形成具有環繞的摻雜區131的通孔130。可在相似於操作235的操作中形成通孔130及摻雜區131。 28, in operation 2835, the first in the S/D contact structure Vias are formed on the S/D contact structure. For example, as shown in FIG. 29, a via 130 having a surrounding doped region 131 is formed on the S/D contact structure 120 formed on the S/D region 110A. Vias 130 and doped regions 131 may be formed in operations similar to operation 235 .

參照圖28,在操作2840中,在閘極結構中的第一閘極結構上形成閘極接觸結構且在S/D接觸結構中的第二S/D接觸結構及閘極結構中的第二閘極結構上形成融合通孔-接觸結構。舉例而言,如參照圖30至圖31所述,同時形成閘極接觸結構154與融合通孔-接觸結構160。閘極接觸結構154及融合通孔-接觸結構160的形成可包括以下順序操作:(i)形成接觸開口2694及3094,如圖30中所示;(ii)在圖30所示結構上沈積襯墊156及162的材料;(iii)在襯墊156及162的沈積材料上沈積接觸插塞158及164的材料;以及(iv)對襯墊156及162以及接觸插塞158及164的沈積材料實行CMP製程,以形成襯墊156及162以及接觸插塞158及164,如圖31中所示。 Referring to FIG. 28, in operation 2840, a gate contact structure is formed on a first gate structure of the gate structures and a second S/D contact structure and a second one of the gate structures are formed on the S/D contact structure A fused via-contact structure is formed on the gate structure. For example, as described with reference to FIGS. 30-31 , the gate contact structure 154 and the fused via-contact structure 160 are formed simultaneously. Formation of gate contact structure 154 and fused via-contact structure 160 may include the following sequential operations: (i) forming contact openings 2694 and 3094, as shown in FIG. 30; (ii) depositing a liner on the structure shown in FIG. 30 material for pads 156 and 162; (iii) material for depositing contact plugs 158 and 164 on the deposition material for pads 156 and 162; and (iv) deposition material for pads 156 and 162 and contact plugs 158 and 164 A CMP process is performed to form pads 156 and 162 and contact plugs 158 and 164 as shown in FIG. 31 .

本揭露提供具有閘極頂蓋結構(在閘極結構中)的示例性半導體裝置(例如,finFET、全環繞閘極(GAA)FET及/或MOSFET)。此外,本揭露提供形成此種半導體裝置的示例性方法,所述半導體裝置在閘極結構與閘極接觸結構之間具有減小的接觸電阻,所述閘極結構及閘極接觸結構是經由閘極頂蓋結構形成。閘極頂蓋結構在閘極結構與閘極接觸結構之間提供導電性介面,同時在半導體裝置的製作期間保護閘極結構的完整性。 The present disclosure provides exemplary semiconductor devices (eg, finFETs, gate-all-around (GAA) FETs, and/or MOSFETs) having gate cap structures (in gate structures). In addition, the present disclosure provides exemplary methods of forming such semiconductor devices having reduced contact resistance between gate structures and gate contact structures via a gate A pole cap structure is formed. The gate cap structure provides a conductive interface between the gate structure and the gate contact structure while protecting the integrity of the gate structure during fabrication of the semiconductor device.

在一些實施例中,閘極結構中的每一者可包括閘極堆疊,所述閘極堆疊具有高k閘極介電層、功函數金屬(WFM)層、氧障壁層及閘極金屬填充層。在一些實施例中,閘極頂蓋結構可包括設置於閘極堆疊上的導電性閘極頂蓋及設置於導電性閘極頂蓋上的絕緣閘極頂蓋。導電性閘極頂蓋在閘極堆疊與閘極接觸結構之間提供導電性介面,以在不在閘極堆疊上或閘極堆疊內直接形成閘極接觸結構的條件下將閘極堆疊電性連接至閘極接觸結構。不在閘極堆疊上或閘極堆疊內直接形成閘極接觸結構,以防止閘極堆疊被形成閘極接觸結構時所使用的處理材料中的任意者污染。閘極堆疊的污染可導致裝置效能的劣化。因此,藉由使用導電性閘極頂蓋,可在不損害閘極結構的完整性的條件下將閘極堆疊電性連接至閘極接觸結構。 In some embodiments, each of the gate structures can include a gate stack having a high-k gate dielectric layer, a work function metal (WFM) layer, an oxygen barrier layer, and a gate metal fill Floor. In some embodiments, the gate cap structure may include a conductive gate cap disposed on the gate stack and an insulating gate cap disposed on the conductive gate cap. The conductive gate cap provides a conductive interface between the gate stack and the gate contact structure to electrically connect the gate stack to the gate stack without directly forming the gate contact structure on or within the gate stack gate contact structure. The gate contact structure is not formed directly on or within the gate stack to prevent contamination of the gate stack with any of the processing materials used in forming the gate contact structure. Contamination of the gate stack can lead to degradation of device performance. Thus, by using a conductive gate cap, the gate stack can be electrically connected to the gate contact structure without compromising the integrity of the gate structure.

在一些實施例中,絕緣閘極頂蓋保護下伏的導電性閘極頂蓋及閘極堆疊在半導體裝置的後續製程期間免受結構劣化及/或成分劣化。在一些實施例中,導電性閘極頂蓋可包括設置於閘極堆疊上的生長促進層(GPL)及設置於GPL上的蝕刻停止層(ESL)。GPL與ESL可包含彼此不同的導電性材料。除了在閘極堆疊與閘極接觸結構之間提供導電性介面之外,GPL亦提供有利於自下而上沈積ESL的表面。在不具有GPL的條件下,ESL可能不會選擇性地沈積於閘極堆疊上且可能沈積於FET結構上,所述FET結構可能與隨後形成的相鄰結構(例如源極/汲極(S/D)接觸結構)電性短路。GPL可包含以下材料:ESL對所述材料的沈 積選擇性高於對閘極堆疊的材料(例如,高k閘極介電層的介電材料及氧障壁層的介電材料)中的一或多者的沈積選擇性。換言之,ESL可以較在閘極堆疊上高的速率沈積於GPL上。除了在閘極堆疊與閘極接觸結構之間提供導電性介面之外,ESL亦控制閘極接觸結構的深度輪廓且防止閘極接觸結構延伸至閘極堆疊中。 In some embodiments, the insulating gate cap protects the underlying conductive gate cap and gate stack from structural and/or compositional degradation during subsequent processing of the semiconductor device. In some embodiments, the conductive gate cap may include a growth promotion layer (GPL) disposed on the gate stack and an etch stop layer (ESL) disposed on the GPL. GPL and ESL may contain different conductive materials from each other. In addition to providing a conductive interface between the gate stack and the gate contact structure, GPL also provides a surface that facilitates bottom-up deposition of ESL. Without GPL, ESL may not be selectively deposited on the gate stack and may be deposited on FET structures that may be /D) Contact structure) electrical short circuit. The GPL may contain the following materials: ESL's sinking of said materials The active selectivity is higher than the deposition selectivity to one or more of the materials of the gate stack (eg, the dielectric material of the high-k gate dielectric layer and the dielectric material of the oxygen barrier layer). In other words, ESL can be deposited on GPL at a higher rate than on the gate stack. In addition to providing a conductive interface between the gate stack and the gate contact structure, the ESL also controls the depth profile of the gate contact structure and prevents the gate contact structure from extending into the gate stack.

在一些實施例中,一種半導體裝置包括:基底;鰭結構,設置於所述基底上;源極/汲極(S/D)區,設置於所述鰭結構上;以及閘極結構,與所述S/D區相鄰地設置於所述鰭結構上。所述閘極結構包括設置於所述鰭結構上的閘極堆疊及設置於所述閘極堆疊上的閘極頂蓋結構。所述閘極頂蓋結構包括設置於所述閘極堆疊上的導電性閘極頂蓋及設置於所述導電性閘極頂蓋上的絕緣閘極頂蓋。所述半導體裝置更包括設置於所述閘極堆疊之上的第一接觸結構。所述第一接觸結構的一部分設置於所述閘極頂蓋結構內且藉由所述導電性閘極頂蓋的一部分而與所述閘極堆疊隔開。 In some embodiments, a semiconductor device includes: a substrate; a fin structure disposed on the substrate; a source/drain (S/D) region disposed on the fin structure; and a gate structure, and the The S/D regions are adjacently disposed on the fin structure. The gate structure includes a gate stack disposed on the fin structure and a gate cap structure disposed on the gate stack. The gate cap structure includes a conductive gate cap disposed on the gate stack and an insulating gate cap disposed on the conductive gate cap. The semiconductor device further includes a first contact structure disposed on the gate stack. A portion of the first contact structure is disposed within the gate cap structure and is separated from the gate stack by a portion of the conductive gate cap.

在一些實施例中,一種半導體裝置包括:基底;鰭結構,設置於所述基底上;第一源極/汲極(S/D)區及第二源極/汲極區,設置於所述鰭結構上;第一S/D接觸結構及第二S/D接觸結構,分別設置於所述第一S/D區及所述第二S/D區上;以及第一閘極結構及第二閘極結構,設置於所述鰭結構上。所述第一閘極結構及所述第二閘極結構中的每一者包括閘極堆疊及閘極頂蓋結構,所述閘極頂蓋結構包括導電性閘極頂蓋及絕緣閘極頂蓋。所述半 導體裝置更包括設置於所述第一S/D接觸結構上及所述第一閘極結構的所述閘極堆疊之上的融合通孔-接觸結構。所述融合通孔-接觸結構的一部分設置於所述第一閘極結構的所述閘極頂蓋結構內。 In some embodiments, a semiconductor device includes: a substrate; a fin structure disposed on the substrate; a first source/drain (S/D) region and a second source/drain region disposed on the substrate on the fin structure; a first S/D contact structure and a second S/D contact structure, respectively disposed on the first S/D region and the second S/D region; and a first gate structure and a first gate structure A two-gate structure is disposed on the fin structure. Each of the first gate structure and the second gate structure includes a gate stack and a gate cap structure including a conductive gate cap and an insulating gate cap. the half The conductor arrangement further includes a fused via-contact structure disposed over the first S/D contact structure and over the gate stack of the first gate structure. A portion of the fused via-contact structure is disposed within the gate cap structure of the first gate structure.

在一些實施例中,一種方法包括:在基底上形成鰭結構;在所述鰭結構上形成源極/汲極(S/D)區;在所述鰭結構上形成多晶矽結構;利用閘極堆疊置換所述多晶矽結構;在所述閘極堆疊上形成導電性閘極頂蓋;在所述閘極堆疊上形成絕緣閘極頂蓋;在所述S/D區上形成接觸結構;以及在所述接觸結構上形成通孔,其中所述形成所述通孔包括形成環繞所述通孔的摻雜區。 In some embodiments, a method includes: forming a fin structure on a substrate; forming source/drain (S/D) regions on the fin structure; forming a polysilicon structure on the fin structure; utilizing a gate stack replacing the polysilicon structure; forming a conductive gate cap on the gate stack; forming an insulating gate cap on the gate stack; forming a contact structure on the S/D region; and forming the contact A via is formed on the structure, wherein the forming the via includes forming a doped region surrounding the via.

前述揭露概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各態樣。熟習此項技術者應知,其可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下在本文中作出各種改變、代替、及變更。 The foregoing disclosure outlines features of several embodiments so that those skilled in the art may better understand the various aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the embodiments described herein Same advantages. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they can make various changes, substitutions, and change.

100:FET/NFET/PFET 100: FET/NFET/PFET

104:基底 104: Substrate

106:鰭結構 106: Fin Structure

110A、110B:S/D區 110A, 110B: S/D area

112A、112B、112C:閘極結構 112A, 112B, 112C: gate structure

114:閘極間隔件/間隔件 114: Gate spacer/spacer

117B、152:蝕刻停止層(ESL) 117B, 152: Etch Stop Layer (ESL)

118B、118C:層間介電(ILD)層 118B, 118C: Interlayer dielectric (ILD) layers

120:S/D接觸結構 120:S/D contact structure

122:矽化物層 122: silicide layer

124:黏合層 124: Adhesive layer

126:接觸插塞 126: Contact plug

128:擴散障壁層 128: Diffusion barrier layer

130:通孔 130: Through hole

130b:底表面 130b: Bottom surface

130s、131s:側壁 130s, 131s: Sidewalls

131:摻雜區 131: Doping region

132:閘極堆疊 132: Gate stack

134:閘極頂蓋結構 134: Gate top cover structure

136:介面氧化物(IO)層 136: Interface oxide (IO) layer

138:高k(HK)閘極介電層 138: High-k (HK) gate dielectric

140:WFM層 140:WFM layer

142:氧障壁層 142: Oxygen barrier layer

144:閘極金屬填充層 144: gate metal filling layer

146:導電性閘極頂蓋 146: Conductive gate top cover

148:絕緣閘極頂蓋 148: Insulated gate top cover

150:生長促進層(GPL) 150: Growth Promotion Layer (GPL)

154:閘極接觸結構 154: Gate Contact Structure

156:襯墊 156: Padding

158:接觸插塞 158: Contact Plug

D1、D2:距離 D1, D2: distance

T1、T2、T3、T4、T5:厚度 T1, T2, T3, T4, T5: Thickness

X、Y、Z:軸 X, Y, Z: axis

Claims (8)

一種半導體裝置,包括:基底;鰭結構,設置於所述基底上;源極/汲極(S/D)區,設置於所述鰭結構上;閘極結構,與所述源極/汲極區相鄰地設置於所述鰭結構上,其中所述閘極結構包括設置於所述鰭結構上的閘極堆疊及設置於所述閘極堆疊上的閘極頂蓋結構,且其中所述閘極頂蓋結構包括設置於所述閘極堆疊上的導電性閘極頂蓋及設置於所述導電性閘極頂蓋上的絕緣閘極頂蓋,且所述導電性閘極頂蓋包括設置於所述閘極堆疊上的生長促進層(GPL)及設置於所述生長促進層上的蝕刻停止層(ESL);以及第一接觸結構,設置於所述閘極堆疊之上,其中所述第一接觸結構的一部分設置於所述閘極頂蓋結構內且藉由所述導電性閘極頂蓋的一部分而與所述閘極堆疊隔開。 A semiconductor device, comprising: a substrate; a fin structure disposed on the substrate; a source/drain (S/D) region disposed on the fin structure; a gate structure and the source/drain A region is disposed adjacently on the fin structure, wherein the gate structure includes a gate stack disposed on the fin structure and a gate cap structure disposed on the gate stack, and wherein the gate The pole top cover structure includes a conductive gate top cover disposed on the gate stack and an insulating gate top cover disposed on the conductive gate top cover, and the conductive gate top cover includes a conductive gate top cover disposed on the gate electrode a growth promotion layer (GPL) on the stack and an etch stop layer (ESL) disposed on the growth promotion layer; and a first contact structure disposed over the gate stack, wherein the first contact structure has A portion is disposed within the gate cap structure and is separated from the gate stack by a portion of the conductive gate cap. 如請求項1所述的半導體裝置,其中所述第一接觸結構的所述一部分設置於所述蝕刻停止層內。 The semiconductor device of claim 1, wherein the portion of the first contact structure is disposed within the etch stop layer. 如請求項1所述的半導體裝置,其中所述第一接觸結構的所述一部分藉由所述生長促進層或者所述蝕刻停止層的一部分而與所述閘極堆疊隔開。 The semiconductor device of claim 1, wherein the portion of the first contact structure is separated from the gate stack by a portion of the growth promoting layer or the etch stop layer. 一種半導體裝置,包括:基底; 鰭結構,設置於所述基底上;第一源極/汲極(S/D)區及第二源極/汲極區,設置於所述鰭結構上;第一源極/汲極接觸結構及第二源極/汲極接觸結構,分別設置於所述第一源極/汲極區及所述第二源極/汲極區上;第一閘極結構及第二閘極結構,設置於所述鰭結構上,其中所述第一閘極結構及所述第二閘極結構中的每一者包括閘極堆疊及閘極頂蓋結構,所述閘極頂蓋結構包括導電性閘極頂蓋及絕緣閘極頂蓋,且所述導電性閘極頂蓋包括設置於所述閘極堆疊上的生長促進層(GPL)及設置於所述生長促進層上的蝕刻停止層(ESL);以及融合通孔-接觸結構,設置於所述第一源極/汲極接觸結構上及所述第一閘極結構的所述閘極堆疊之上,其中所述融合通孔-接觸結構的一部分設置於所述第一閘極結構的所述閘極頂蓋結構內。 A semiconductor device, comprising: a substrate; a fin structure arranged on the substrate; a first source/drain (S/D) region and a second source/drain region arranged on the fin structure; a first source/drain contact structure and a second source/drain contact structure, respectively disposed on the first source/drain region and the second source/drain region; the first gate structure and the second gate structure, set On the fin structure, wherein each of the first gate structure and the second gate structure includes a gate stack and a gate cap structure, the gate cap structure includes a conductive gate cap and an insulating gate cap, and the conductive gate cap includes a growth promotion layer (GPL) disposed on the gate stack and an etch stop layer (ESL) disposed on the growth promotion layer; and a fusion pass a hole-contact structure disposed on the first source/drain contact structure and on the gate stack of the first gate structure, wherein a portion of the fused via-contact structure is disposed on the first gate structure inside the gate cap structure of the first gate structure. 如請求項4所述的半導體裝置,更包括:層間介電(ILD)層,設置於所述第一源極/汲極接觸結構及所述第二源極/汲極接觸結構上;摻雜區,位於所述層間介電層內;以及通孔,設置於所述第二源極/汲極接觸結構上且被所述摻雜區環繞。 The semiconductor device of claim 4, further comprising: an interlayer dielectric (ILD) layer disposed on the first source/drain contact structure and the second source/drain contact structure; doping a region located in the interlayer dielectric layer; and a via hole disposed on the second source/drain contact structure and surrounded by the doped region. 如請求項4所述的半導體裝置,更包括設置於所述 第二閘極結構的所述閘極堆疊之上的閘極接觸結構,其中所述閘極接觸結構的一部分設置於所述第二閘極結構的所述閘極頂蓋結構內。 The semiconductor device according to claim 4, further comprising: A gate contact structure over the gate stack of a second gate structure, wherein a portion of the gate contact structure is disposed within the gate cap structure of the second gate structure. 一種半導體裝置的形成方法,包括:在基底上形成鰭結構;在所述鰭結構上形成源極/汲極(S/D)區;在所述鰭結構上形成多晶矽結構;利用閘極堆疊置換所述多晶矽結構;在所述閘極堆疊上形成導電性閘極頂蓋,其中形成所述導電性閘極頂蓋包括:在所述閘極堆疊上形成生長促進層(GPL);以及在所述生長促進層上形成蝕刻停止層(ESL);在所述閘極堆疊上形成絕緣閘極頂蓋;在所述源極/汲極區上形成接觸結構;以及在所述接觸結構上形成通孔,其中所述形成所述通孔包括形成環繞所述通孔的摻雜區。 A method for forming a semiconductor device, comprising: forming a fin structure on a substrate; forming a source/drain (S/D) region on the fin structure; forming a polysilicon structure on the fin structure; the polysilicon structure; forming a conductive gate cap on the gate stack, wherein forming the conductive gate cap comprises: forming a growth promotion layer (GPL) on the gate stack; and on the growing forming an etch stop layer (ESL) on a facilitation layer; forming an insulating gate cap on the gate stack; forming a contact structure on the source/drain regions; and forming a via on the contact structure, wherein The forming the through hole includes forming a doped region surrounding the through hole. 如請求項7所述的方法,其中所述形成所述導電性閘極頂蓋包括:在所述閘極堆疊上沈積金屬氮化物層;對所述金屬氮化物層的側壁部分進行蝕刻以形成所述生長促進層;以及在所述金屬氮化物層上沈積金屬層以形成所述蝕刻停止層。 The method of claim 7, wherein the forming the conductive gate cap comprises: depositing a metal nitride layer on the gate stack; etching sidewall portions of the metal nitride layer to form the and depositing a metal layer on the metal nitride layer to form the etch stop layer.
TW110124356A 2020-08-14 2021-07-02 Semiconductor devices and method of forming the same TWI778692B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063065918P 2020-08-14 2020-08-14
US63/065,918 2020-08-14
US17/244,428 2021-04-29
US17/244,428 US11728413B2 (en) 2020-07-30 2021-04-29 Gate capping structures in semiconductor devices

Publications (2)

Publication Number Publication Date
TW202207318A TW202207318A (en) 2022-02-16
TWI778692B true TWI778692B (en) 2022-09-21

Family

ID=81323523

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110124356A TWI778692B (en) 2020-08-14 2021-07-02 Semiconductor devices and method of forming the same

Country Status (1)

Country Link
TW (1) TWI778692B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200035796A1 (en) * 2018-07-26 2020-01-30 Samsung Electronics Co., Ltd. Integrated circuit device
US20200126843A1 (en) * 2018-10-23 2020-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200035796A1 (en) * 2018-07-26 2020-01-30 Samsung Electronics Co., Ltd. Integrated circuit device
US20200126843A1 (en) * 2018-10-23 2020-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same

Also Published As

Publication number Publication date
TW202207318A (en) 2022-02-16

Similar Documents

Publication Publication Date Title
US11764280B2 (en) Method for fabricating metal gate devices and resulting structures
KR102042728B1 (en) Gate structure for semiconductor device
US11923455B2 (en) Semiconductor device and method of forming the same
US20230317828A1 (en) Gate Capping Structures In Semiconductor Devices
US20220262915A1 (en) Semiconductor Device With Gate Cut Feature And Method For Forming The Same
US20230299167A1 (en) Backside gate contact
US11777016B2 (en) Method of forming backside power rails
TW202201823A (en) Semiconductor device
US20220344333A1 (en) Field effect transistor and method
US20230387245A1 (en) Gate Spacers In Semiconductor Devices
US20230261051A1 (en) Transistor Gate Structures and Methods of Forming the Same
US20230197802A1 (en) Connection between gate and source/drain feature
US20220223478A1 (en) Gate structures for semiconductor devices
US20230052295A1 (en) Field effect transistor with air spacer and method
TWI778692B (en) Semiconductor devices and method of forming the same
TWI811991B (en) Semiconductor device and method for fabricating the same
US20230343699A1 (en) Field effect transistor with source/drain via and method
US20230053595A1 (en) Field effect transistor with multi-metal gate via and method
CN113921469A (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent