TWI775000B - Method for generating a layout of integrated circuit and method for processing layout of integrated circuit - Google Patents

Method for generating a layout of integrated circuit and method for processing layout of integrated circuit Download PDF

Info

Publication number
TWI775000B
TWI775000B TW108137929A TW108137929A TWI775000B TW I775000 B TWI775000 B TW I775000B TW 108137929 A TW108137929 A TW 108137929A TW 108137929 A TW108137929 A TW 108137929A TW I775000 B TWI775000 B TW I775000B
Authority
TW
Taiwan
Prior art keywords
cell
cells
pins
wiring
traces
Prior art date
Application number
TW108137929A
Other languages
Chinese (zh)
Other versions
TW202018867A (en
Inventor
蕭錦濤
曾健庭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202018867A publication Critical patent/TW202018867A/en
Application granted granted Critical
Publication of TWI775000B publication Critical patent/TWI775000B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3947Routing global
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3953Routing detailed
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/49Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions wire-like arrangements or pins or rods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

A method of generating an integrated circuit layout diagram includes arranging first cells having a first cell height in a first row and arranging second cells having a second cell height less than the first cell height in a second row abutting the first row. The first row and the second row extend along a first direction and are laid out relative to a routing grid including first routing tracks along the first direction and second routing tracks along a second direction perpendicular to the first direction. First cell pins are placed within each first cell extending along second routing tracks. Second cell pins are placed over selected via placement points in each second cell. At least one second cell pin extends along a corresponding second routing track across a boundary of a corresponding second cell and into a corresponding first cell abutting the corresponding second cell.

Description

產生積體電路佈局圖的方法及用於處理 積體電路佈局圖的系統 Method for generating an integrated circuit layout diagram and for processing the same System of integrated circuit layout diagrams

本案是關於一種產生積體電路佈局圖的方法,特別是關於一種產生具有不同單元高度之引腳的積體電路佈局圖的方法及用於處理積體電路佈局圖的系統。 This case relates to a method of generating an integrated circuit layout, and more particularly, to a method of generating an integrated circuit layout with pins of different cell heights and a system for processing the integrated circuit layout.

在過去的幾十年中,半導體元件的縮放遵循莫耳定律。由於微影術及整合限制,製造製程中的進步無法獨立地跟上恆定元件縮放趨勢,因此佈局設計技術亦幫助半導體元件的進一步縮放。 Over the past few decades, the scaling of semiconductor components has followed Moore's Law. Due to lithography and integration constraints, advances in manufacturing processes cannot independently keep pace with constant device scaling trends, so layout design techniques also aid in further scaling of semiconductor devices.

根據本案的一實施例是關於一種產生積體電路佈局圖的方法,方法包括在第一列中佈置具有第一單元高度的複數個第一單元;在鄰接第一列的第二列中佈置具有第二單元高度的複數個第二單元,第二單元高度小於第一單元高 度,且第一列及第二列沿第一方向延伸並相對於佈線網格佈置,佈線網格包含沿第一方向延伸的複數個第一佈線軌跡及沿第二方向延伸的複數個第二佈線軌跡,第二方向垂直於第一方向;在複數個第一單元的每個第一單元內放置複數個第一單元引腳,複數個第一單元引腳中的每一者沿複數個第二佈線軌跡的相應第二佈線軌跡延伸;以及,在複數個第二單元的每個第二單元中的複數個選定通孔佈局點上方放置複數個第二單元引腳,複數個第二單元引腳中的至少一個第二單元引腳沿複數個第二佈線軌跡的相應第二佈線軌跡延伸跨過複數個第二單元的相應第二單元的邊界且延伸至鄰接相應第二單元的複數個第一單元的相應第一單元中。 According to an embodiment of the present application, it is directed to a method of generating a layout of an integrated circuit, the method comprising arranging a plurality of first cells having a first cell height in a first column; arranging in a second column adjacent to the first column A plurality of second cells with the height of the second cell, the height of the second cell is smaller than the height of the first cell degrees, and the first and second columns extend in a first direction and are arranged relative to a routing grid comprising a plurality of first routing traces extending in the first direction and a plurality of second routing traces extending in the second direction routing traces, the second direction is perpendicular to the first direction; placing a plurality of first cell pins within each first cell of the plurality of first cells, each of the plurality of first cell pins along the plurality of first cells Corresponding second routing traces of the two routing traces extend; and placing a plurality of second cell leads over a plurality of selected via placement points in each second cell of the plurality of second cells, the plurality of second cell leads At least one second cell pin of the pins extends across the boundary of the corresponding second cell of the plurality of second cells and to a plurality of the second cells adjacent to the corresponding second cell along a corresponding second wiring trace of the plurality of second wiring traces. in the corresponding first unit of a unit.

根據本案的一實施例是關於一種產生積體電路的佈局圖的方法,包括:在多個第一列中佈置具有一第一單元高度的多個第一單元;在多個第二列中佈置具有一第二單元高度的多個第二單元,第二單元高度小於第一單元高度,其中根據一佈線網格佈置第一列及第二列,佈線網格包含在一第一方向上延伸的多個第一佈線軌跡及在一第二方向上延伸的多個第二佈線軌跡,第二方向垂直於第一方向;在第一單元的每個第一單元中的多個選定第一通孔佈局點上方放置多個第一單元引腳,其中第一單元引腳的每個第一單元引腳沿第二佈線軌跡的一相應第二佈線軌跡延伸且具有兩端皆終止於第一單元的一相應第一單元的頂部及底部邊界內;以及在第二單元的每個第二單元中的多個選定通孔佈局點上方放置多個第二單元引腳,其中第二單元引腳中的至少 一個第二單元引腳沿第二佈線軌跡的一相應第二佈線軌跡延伸跨過第二單元的一相應第二單元的一邊界且延伸至鄰接相應第二單元的第一單元的一相應第一單元中。 An embodiment according to the present application relates to a method for generating a layout diagram of an integrated circuit, comprising: arranging a plurality of first cells having a first cell height in a plurality of first columns; arranging in a plurality of second columns a plurality of second cells having a second cell height, the second cell height being smaller than the first cell height, wherein the first and second columns are arranged according to a wiring grid, the wiring grid including extending in a first direction a plurality of first wiring traces and a plurality of second wiring traces extending in a second direction, the second direction being perpendicular to the first direction; a plurality of selected first vias in each of the first cells A plurality of first cell pins are placed over the layout points, wherein each first cell pin of the first cell pins extends along a corresponding second routing trace of the second routing traces and has a within the top and bottom boundaries of a respective first cell; and placing a plurality of second cell pins over a plurality of selected via placement points in each second cell of the second cells, wherein the At least A second cell pin extends across a boundary of a corresponding second cell of the second cell along a corresponding second one of the second routing traces and to a corresponding first cell of the first cell adjacent to the corresponding second cell in the unit.

根據本案的一實施例是關於一種用於處理積體電路佈局圖的系統,包括:一非暫時性電腦可讀取儲存媒體,用於儲存多個指令於其上;以及連接至非暫時性電腦可讀取儲存媒體的一處理器,其中處理器用以執行指令,以:從儲存於非暫時性電腦可讀取儲存媒體中的一資料庫取得一第一單元,其中第一單元具有一第一高度;從資料庫取得一第二單元,其中第二單元具有不同於第一高度的一第二高度;在延伸於一第一方向的一第一列佈置第一單元;在鄰接第一列的一第二列佈置第二單元,其中第二列延伸於第一方向;在第一單元內放置多個第一單元引腳,其中第一單元引腳的每一者延伸于垂直於第一方向的一第二方向;以及在第二單元內的多個選定通孔佈局點上放置多個第二單元引腳,其中第二單元在第二方向延伸,以及第二單元引腳的至少一第二單元引腳延伸越過第二單元的一邊界並延伸至第一單元內。 An embodiment according to the present application relates to a system for processing layouts of integrated circuits, comprising: a non-transitory computer-readable storage medium for storing a plurality of instructions thereon; and connecting to the non-transitory computer A processor of a readable storage medium, wherein the processor is used to execute instructions to: obtain a first unit from a database stored in a non-transitory computer-readable storage medium, wherein the first unit has a first height; obtain a second unit from the database, wherein the second unit has a second height different from the first height; arrange the first unit in a first row extending in a first direction; in a row adjacent to the first row Arranging the second cells in a second column, wherein the second column extends in the first direction; placing a plurality of first cell pins within the first cell, wherein each of the first cell pins extends perpendicular to the first direction and placing a plurality of second cell pins on a plurality of selected via layout points within the second cell, wherein the second cell extends in the second direction, and at least a first The two cell leads extend beyond a boundary of the second cell and into the first cell.

100、300:產生積體電路佈局圖方法 100, 300: Method for generating an integrated circuit layout diagram

102、104、106、108、110、112、302、304、306、308、310:步驟 102, 104, 106, 108, 110, 112, 302, 304, 306, 308, 310: Steps

200A、200B、200C、200D、200E、200F、400A、400B、400C:佈局圖 200A, 200B, 200C, 200D, 200E, 200F, 400A, 400B, 400C: Layout

202:第一單元 202: Unit 1

204:第二單元 204: Unit Two

206a~206e:電力軌 206a~206e: Power rail

212A、214A:頂部邊界 212A, 214A: Top border

212B、214B:底部邊界 212B, 214B: Bottom border

212C、214C:側面邊界 212C, 214C: Side borders

220:第一導電線 220: First Conductive Thread

222、224、226、228:第一導電線 222, 224, 226, 228: the first conductive line

232、234、236:第二導電線 232, 234, 236: the second conductive line

240、240(1)~240(5):第一單元引腳 240, 240(1)~240(5): The first unit pin

242:第一通孔 242: first through hole

250、250(1)~250(6):通孔佈局點 250, 250(1)~250(6): Through-hole layout points

260:第二單元引腳 260: Second unit pin

260':單元引腳 260': unit pin

260(1)、260(2):第二單元引腳 260(1), 260(2): Second unit pins

262:第二通孔 262: second through hole

270:第一通孔佈局點 270: First Via Layout Point

500:電子設計自動化(EDA)系統 500: Electronic Design Automation (EDA) Systems

502:處理器 502: Processor

504:非暫時性電腦可讀取儲存媒體 504: Non-transitory computer-readable storage medium

506:電腦程式碼(指令) 506: Computer code (instruction)

507:標準單元庫 507: Standard Cell Library

508:匯流排 508: Busbar

510:I/O介面 510: I/O interface

512:網路介面 512: Network interface

514:網路 514: Internet

542:使用者介面 542: User Interface

600:IC製造系統 600: IC Manufacturing Systems

620:設計室 620: Design Studio

622:IC設計佈局圖 622: IC Design Layout

630:遮罩室 630: Mask Room

632:資料準備 632: Data preparation

644:遮罩製造 644: Mask Making

645:遮罩 645:Mask

650:IC製造商/製造者/fab 650: IC Manufacturer/Manufacturer/fab

652:晶圓製造 652: Wafer Fabrication

653:晶圓 653: Wafer

660:裝置 660: Device

當結合隨附圖式閱讀時,將自下文的詳細描述最佳地理解本案的一實施例的態樣。應注意,根據工業中的標準實務,並未按比例繪製各特徵。事實上,為了論述清楚,可任意增加或減小各特徵的尺寸。 Aspects of an embodiment of the present case are best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

第1圖係根據一實施例的產生積體電路(IC)的佈局圖的方法的流程圖;第2A圖至第2F圖係根據一實施例的產生IC佈局圖的各階段的佈局圖的描繪;第3圖係根據一實施例的產生IC的佈局圖的方法的流程圖;第4A圖至第4C圖係根據一實施例的產生IC佈局圖的各階段的佈局圖的描繪;第5圖係根據一實施例的電子設計自動化(electronic design automation;EDA)系統的方塊圖;以及第6圖係根據一實施例的IC製造系統及與其相關聯的IC製造流程的方塊圖。 FIG. 1 is a flowchart of a method of generating a layout of an integrated circuit (IC) according to an embodiment; FIGS. 2A to 2F are depictions of layouts at various stages of generating an IC layout according to an embodiment. ; Figure 3 is a flowchart of a method for generating a layout diagram of an IC according to an embodiment; Figure 4A to Figure 4C are a depiction of a layout diagram of each stage of generating an IC layout diagram according to an embodiment; Figure 5 is a block diagram of an electronic design automation (EDA) system according to an embodiment; and FIG. 6 is a block diagram of an IC manufacturing system and an IC manufacturing process associated therewith, according to an embodiment.

以下揭示內容提供許多不同實施例或實例,以便實施所提供的標的之不同特徵。下文描述部件、材料、值、步驟、操作、材料、佈置或類似者的特定實例以簡化本案的一實施例。當然,此等僅為實例且不欲為限制性。涵蓋其他部件、值、操作、材料、佈置或類似者。舉例而言,在下文的描述中,第一特徵形成於第二特徵上方或第二特徵上可包括以直接接觸形成第一特徵與第二特徵的實施例,且亦可包括可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可不處於直接接觸的實施例。另外,本案的一實施例可在各實例中重複元件符號及/或字母。此重複係 出於簡化與清楚目的,且本身並不指示所論述的各實施例及/或配置之間的關係。 The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of components, materials, values, steps, operations, materials, arrangements, or the like are described below to simplify an embodiment of the present case. Of course, these are only examples and are not intended to be limiting. Covers other components, values, operations, materials, arrangements or the like. For example, in the description below, the formation of a first feature over or on a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and may also include embodiments that may be formed on the first feature Embodiments in which additional features are formed with the second feature such that the first feature and the second feature may not be in direct contact. Additionally, an embodiment of the present case may repeat reference numerals and/or letters in each instance. this repeat It is for the purpose of simplicity and clarity, and does not in itself indicate a relationship between the various embodiments and/or configurations discussed.

此外,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所圖示一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)的關係。除了諸圖所描繪的定向外,空間相對性術語意欲包含使用或操作中元件的不同定向。設備可經其他方式定向(旋轉90度或處於其他定向上)且因此可類似解讀本文所使用的空間相對性描述詞。 Additionally, for ease of description, spatially relative terms such as "below," "below," "lower," "above," "upper," and the like may be used herein to describe an element illustrated in the figures or The relationship of a feature to another element(s) or feature(s). In addition to the orientation depicted in the figures, spatially relative terms are intended to encompass different orientations of elements in use or operation. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and thus the spatially relative descriptors used herein may be interpreted similarly.

一些積體電路(integrated circuit,IC)設計係基於自庫中選擇的單元集合。佈局包括為特定用途定製的至少一個邏輯區塊。邏輯區塊係放置在垂直與水平佈線軌跡的佈線網格中的單元的佈置。將諸如金屬線的導電結構放置在佈線軌跡上以提供單元之間的連接。藉由自動佈局與佈線(automatic placement and routing,APR)工具執行IC佈局的設計,此APR工具包括佈局器及佈線器,藉由自標準單元庫選擇標準單元及根據許多設計規則對單元放置及佈線。佈局器決定積體電路的每個標準單元的最佳位置,且佈線器最佳化輸入/輸出線的佈線及標準單元之間的連接使得IC佈局不會因輸入/輸出及其他佈線而變得過度擁擠。 Some integrated circuit (IC) designs are based on a collection of cells selected from a library. A layout includes at least one logical block customized for a specific purpose. A logic block is an arrangement of cells placed in a routing grid of vertical and horizontal routing traces. Conductive structures such as metal lines are placed on the routing traces to provide connections between cells. The design of the IC layout is performed by an automatic placement and routing (APR) tool, which includes a placer and a router, by selecting standard cells from a standard cell library and placing and routing the cells according to a number of design rules . The placer decides the best position of each standard cell of the integrated circuit, and the placer optimizes the routing of the input/output lines and the connection between the standard cells so that the IC layout is not changed by the input/output and other wiring. Overcrowded.

佈局器及佈線器使用許多設計規則來決定將單元放置在何處及如何產生導線來連接所有單元。設計規則例如包括線的最小長度、線之間的最小間隔及類似者。在一些 情況下,不滿足設計規則有時導致製程相關問題,諸如由於光學接近而導致金屬線之間的短路。 Placers and routers use many design rules to decide where to place cells and how to generate wires to connect all cells. Design rules include, for example, minimum lengths of lines, minimum spacing between lines, and the like. in some In some cases, failure to meet design rules sometimes leads to process-related problems, such as shorts between metal lines due to optical proximity.

單元的高度由單元的最上邊緣與最下邊緣之間延伸的水平軌跡數量決定。具有較小單元高度的單元用於實現高整合度及低功耗,而具有較高單元高度的單元用於高速操作。在一些邏輯區塊中,標準單元具有相同單元高度以便於單元佈局與佈線。 The height of the cell is determined by the number of horizontal tracks extending between the top and bottom edges of the cell. Cells with smaller cell heights are used to achieve high integration and low power consumption, while cells with higher cell heights are used for high-speed operation. In some logic blocks, standard cells have the same cell height to facilitate cell placement and routing.

隨著對適用於攜帶型電子應用的高速及低功率積體電路的需求增加,邏輯區塊經修改為包括不同單元高度的標準單元。在此類混合單元設計中,在多列中佈置標準單元,且在一列中僅放置具有相同高度的標準單元。 As the demand for high speed and low power integrated circuits suitable for portable electronic applications has increased, logic blocks have been modified to include standard cells of different cell heights. In this type of hybrid cell design, standard cells are arranged in multiple columns, and only standard cells with the same height are placed in one column.

在一個邏輯區塊中使用不同單元高度的標準單元的混合單元設計幫助在積體電路佈局設計中實現高速與低功率兩者。然而,在混合單元設計中,用於在單元之間傳輸訊號的單元引腳,諸如輸入與輸出引腳,忍受端點到端點間之間隔及引腳存取區域的實質性減小。因此,使用額外切削遮罩來執行單元佈線。使用額外切削遮罩通常會導致製造成本增加。 A mixed cell design using standard cells of different cell heights in one logic block helps achieve both high speed and low power in an integrated circuit layout design. However, in hybrid cell designs, cell pins used to transmit signals between cells, such as input and output pins, suffer from a substantial reduction in end-to-end spacing and pin access area. Therefore, cell routing is performed using an extra cut mask. The use of additional cut masks often results in increased manufacturing costs.

在一些實施例中,提供用於對不同單元高度的單元實施單元引腳佈局與佈線的佈局設計方法。佈局設計方法允許在相同邏輯區塊中將高速單元及低功率單元的引腳放置及佈線,而無需使用額外切削遮罩以便符合現有設計規則。因此,本案的一實施例的佈局設計方法幫助在傳統均勻 單元高度設計變為混合單元高度設計時維持相同的製程成本。 In some embodiments, a layout design method for implementing cell pin placement and routing for cells of different cell heights is provided. The layout design method allows pin placement and routing of high-speed cells and low-power cells in the same logic block without the use of additional cut masks to comply with existing design rules. Therefore, the layout design method of an embodiment of the present application helps in the traditional uniform The same process cost is maintained when the cell height design is changed to a mixed cell height design.

第1圖係根據一實施例的產生積體電路(IC)的佈局圖200F的方法100的流程圖。在各個實施例中,以第1圖描繪的次序或者以除第1圖所描繪的次序之外的一或更多個次序執行方法100的操作。在一些實施例中,在執行方法100的一或更多個操作之前、之間、期間及/或之後執行一或更多個額外操作。下文結合第2A圖至第2F圖描述方法100,此等圖式包括產生佈局圖200F的各個階段的視圖。 FIG. 1 is a flowchart of a method 100 of generating an integrated circuit (IC) layout 200F according to an embodiment. In various embodiments, the operations of method 100 are performed in the order depicted in FIG. 1 or in one or more orders other than the order depicted in FIG. 1 . In some embodiments, one or more additional operations are performed before, during, during, and/or after one or more operations of method 100 are performed. The method 100 is described below in conjunction with FIGS. 2A-2F, which include views of various stages of generating the layout 200F.

方法100的操作中的一些或全部能夠作為自動佈局與佈線(APR)工具的一部分來執行。在一些實施例中,藉由電腦的處理器執行方法100中的一些或全部。在一些實施例中,藉由下文關於第5圖論述的電子設計自動化(EDA)系統500的處理器502執行方法100中的一些或全部。在一些實施例中,方法100的操作中的一些或全部能夠作為在設計室中執行的設計程序的一部分來執行,例如,下文關於第6圖論述的設計室620。 Some or all of the operations of method 100 can be performed as part of an automatic place and route (APR) tool. In some embodiments, some or all of the method 100 is performed by a processor of a computer. In some embodiments, some or all of method 100 is performed by processor 502 of electronic design automation (EDA) system 500 discussed below with respect to FIG. 5 . In some embodiments, some or all of the operations of method 100 can be performed as part of a design program executed in a design studio, eg, design studio 620 discussed below with respect to FIG. 6 .

請參照第1圖及第2A圖,方法100包括步驟102,其中沿複數個水平佈線軌跡HT1~HT21的相應水平佈線軌跡放置針對複數個第一單元202的複數個第一導電線220~228及針對複數個第二單元204的複數個導電線232~236,第一單元202具有第一單元高度CH1且第二單元204具有第二單元高度CH2,第二單元高度CH2小於第一單元高度CH1;將第一單元202及第二單元204佈置成複數 個列。第2A圖係根據一實施例的在沿複數個水平佈線軌跡HT1~HT21的相應水平佈線軌跡放置複數個第一導電線220~228及複數個第二導電線232~236之後的IC的佈局圖200A。 Referring to FIGS. 1 and 2A, the method 100 includes step 102, wherein a plurality of first conductive lines 220-228 for the plurality of first cells 202 are placed along corresponding horizontal wiring traces of the plurality of horizontal wiring traces HT1-HT21 and For the plurality of conductive lines 232˜236 of the plurality of second cells 204, the first cell 202 has a first cell height CH1 and the second cell 204 has a second cell height CH2, and the second cell height CH2 is smaller than the first cell height CH1; Arrange the first unit 202 and the second unit 204 in plural columns. 2A is a layout diagram of an IC after placing a plurality of first conductive lines 220 - 228 and a plurality of second conductive lines 232 - 236 along respective ones of the plurality of horizontal wiring traces HT1 - HT21 according to an embodiment 200A.

請參照第2A圖,佈局圖200A包括以分別列佈置的不同單元高度的複數個單元,例如第一單元高度CH1的第一單元202及第二單元高度CH2的第二單元204。為了簡化說明,佈局圖200A包括四列,亦即第一列(列1)、第二列(列2)、第三列(列3)及第四列(列4)。在一些實施例中,佈局圖200A包括除了四之外的許多列。複數個列列1至列4中的每一列沿X方向延伸。在一些實施例中,X方向為佈局圖200A的水平方向。在一些實施例中,X方向為除了水平之外的方向。複數個列列1至列4中的列在Y方向上彼此鄰接,Y方向垂直於X方向。在一些實施例中,Y方向為佈局圖200A的垂直方向。在一些實施例中,Y方向為除了垂直之外的方向。 Referring to FIG. 2A , a layout diagram 200A includes a plurality of cells of different cell heights arranged in respective columns, such as a first cell 202 of a first cell height CH1 and a second cell 204 of a second cell height CH2 . To simplify the description, the layout diagram 200A includes four columns, namely, a first column (Column 1), a second column (Column 2), a third column (Column 3), and a fourth column (Column 4). In some embodiments, the floor plan 200A includes many columns other than four. Each of the plurality of columns column 1 to column 4 extends in the X direction. In some embodiments, the X direction is the horizontal direction of the floor plan 200A. In some embodiments, the X direction is a direction other than horizontal. The columns of the plurality of columns column 1 to column 4 are adjacent to each other in the Y direction, which is perpendicular to the X direction. In some embodiments, the Y direction is the vertical direction of the floor plan 200A. In some embodiments, the Y direction is a direction other than vertical.

相對於由複數個水平佈線軌跡HT1~HT21及複數個垂直佈線軌跡VT1~VT20界定的佈線網格佈置複數個列,例如列1至列4。沿X方向平行佈置水平佈線軌跡HT1~HT21。每個水平佈線軌跡HT1~HT21表示IC沿X方向的潛在佈線路徑。在一些實施例中,水平佈線軌跡HT1~HT21中的每一者與相鄰水平佈線軌跡HT1~HT21間隔相等的距離。沿Y方向平行佈置垂直佈線軌跡VT1~VT20。每個垂直佈線軌跡VT1~VT20表示IC沿Y方向的 潛在佈線路徑。在一些實施例中,垂直佈線軌跡VT1~VT20中的每一者與相鄰垂直佈線軌跡VT1~VT20間隔相等的距離。在一些實施例中,複數個垂直佈線軌跡VT1~VT20的兩個相鄰垂直佈線軌跡以標稱最小距離分開,以在給定技術節點處利用單個光遮罩的單次曝光來形成清晰的圖案(而不使用雙重圖案化技術)。因此,複數個垂直佈線軌跡VT1~VT20中的兩個垂直第二佈線軌跡經指定為具有相同顏色(未圖示)。在一些實施例中,奇數垂直佈線軌跡VT1、VT3、……、VT19彼此以最小距離間隔開,以在給定技術節點處利用單個光遮罩的單次曝光來形成清晰的圖案(而不使用雙重圖案化技術),而偶數垂直佈線軌跡VT2、VT4、……、VT20彼此以最小距離間隔開,以在給定技術節點處利用單個光遮罩的單次曝光來形成清晰的圖案(而不使用雙重圖案化技術)。因此,複數個第二佈線軌跡VT1~VT20的兩個相鄰垂直佈線軌跡之間的距離(亦即,間距P)小於由單個圖案化微影術所允許的最小距離。在第2A圖中,向垂直佈線軌跡VT1~VT20中的每一者分配第一顏色,諸如顏色A,或第二顏色,諸如顏色B。從垂直佈線軌跡VT1開始,每個垂直佈線軌跡VT1~VT20經指定為顏色A或顏色B任一者,使得兩個相鄰垂直佈線軌跡不具有相同顏色。在第2A圖中,每隔一個垂直佈線軌跡VT1~VT20經指定為相同顏色。例如,奇數垂直佈線軌跡VT1、VT3、……、VT19經指定為顏色A,且偶數第二佈線軌跡VT2、VT4、……、VT20經指定為顏色B。顏色(例如, 顏色A、顏色B)指示將在多個遮罩集合的相同遮罩上形成具有相同顏色的特徵,及將在多個遮罩集合的不同遮罩上形成具有不同顏色的特徵。 A plurality of columns, such as columns 1 to 4, are arranged relative to a wiring grid defined by a plurality of horizontal wiring traces HT1-HT21 and a plurality of vertical wiring traces VT1-VT20. Horizontal wiring traces HT1 to HT21 are arranged in parallel along the X direction. Each horizontal routing trace HT1~HT21 represents a potential routing path of the IC along the X direction. In some embodiments, each of the horizontal wiring traces HT1 - HT21 is spaced an equal distance from the adjacent horizontal wiring traces HT1 - HT21 . The vertical wiring traces VT1 to VT20 are arranged in parallel along the Y direction. Each vertical wiring trace VT1~VT20 represents the IC along the Y direction Potential routing paths. In some embodiments, each of the vertical wiring traces VT1-VT20 is spaced an equal distance from adjacent vertical wiring traces VT1-VT20. In some embodiments, two adjacent vertical routing traces of the plurality of vertical routing traces VT1-VT20 are separated by a nominal minimum distance to form a sharp pattern with a single exposure of a single photomask at a given technology node (without using double patterning techniques). Therefore, two vertical second wiring traces of the plurality of vertical wiring traces VT1 to VT20 are designated to have the same color (not shown). In some embodiments, odd vertical routing traces VT1, VT3, . double patterning technique), while the even vertical routing traces VT2, VT4, ..., VT20 are spaced a minimum distance from each other to form a clear pattern at a given technology node with a single exposure of a single photomask (without using double patterning technique). Therefore, the distance (ie, the pitch P) between two adjacent vertical wiring traces of the plurality of second wiring traces VT1 to VT20 is smaller than the minimum distance allowed by a single patterning lithography. In Figure 2A, a first color, such as color A, or a second color, such as color B, is assigned to each of the vertical wiring traces VT1-VT20. Starting from vertical wiring trace VT1, each vertical wiring trace VT1 to VT20 is assigned either color A or color B so that two adjacent vertical wiring traces do not have the same color. In Fig. 2A, every other vertical wiring trace VT1 to VT20 are assigned the same color. For example, odd-numbered vertical wiring traces VT1, VT3, . . . , VT19 are designated as color A, and even-numbered second wiring traces VT2, VT4, . color (eg, Color A, Color B) indicates that features of the same color will be formed on the same mask of multiple mask sets, and features of different colors will be formed on different masks of multiple mask sets.

在佈局階段期間,藉由APR工具放置單元202及204以彼此鄰接。在一些實施例中,單元202及204經佈置以使得放置在相同列中的單元具有相同單元高度,但相同列中的單元202或204的寬度變化。在一些實施例中,將第一單元202及第二單元204交替地放置在複數個列列1至列4中。在第2A圖中,將具有第一單元高度CH1的第一單元202放置在奇數列中,例如列1及列3,且將具有第二單元高度CH2的第二單元204放置在偶數列中,例如列2及列4。在一些實施例中,將第一單元高度CH1設定為大於第二單元高度CH2。單元(例如,單元202或204)的單元高度CH由環繞在單元202或204的最上邊緣與最下邊緣之間的水平佈線軌跡HT1~HT21的數量來決定。在一些實施例中,每個第一單元202具有軌跡高度七(7)及每個第二單元204具有軌跡高度五(5)。具有相對較大單元高度CH1的第一單元202在較高速度下操作,且因此適用於高速應用。具有相對較小單元高度CH2的第二單元204在較小功率下操作,且因此可用於低功率應用。儘管第2A圖中的相鄰列中的單元具有不同單元高度,但在本案的一實施例中涵蓋具有相同高度的相鄰列中的單元。在一些實施例中及在第2A圖中,用於放置第一單元202的複數個列中的列數等於用於放置第二單元204的複數個列(例如,列2及列4)中的列數(例如,列1 及列3)。熟習此項技術者應將理解,在一些實施例中,用於放置第一單元202的複數個列中的列數與用於放置第二單元204的複數個列中的列數不同(未圖示)。 During the layout stage, cells 202 and 204 are placed adjacent to each other by the APR tool. In some embodiments, cells 202 and 204 are arranged such that cells placed in the same column have the same cell height, but the widths of cells 202 or 204 in the same column vary. In some embodiments, the first cells 202 and the second cells 204 are alternately placed in a plurality of columns Column 1 to Column 4 . In Figure 2A, the first cells 202 with the first cell height CH1 are placed in odd columns, such as columns 1 and 3, and the second cells 204 with the second cell height CH2 are placed in the even columns, For example, column 2 and column 4. In some embodiments, the first cell height CH1 is set to be greater than the second cell height CH2. The cell height CH of a cell (eg, cell 202 or 204 ) is determined by the number of horizontal wiring traces HT1 to HT21 surrounding between the uppermost edge and the lowermost edge of the cell 202 or 204 . In some embodiments, each first cell 202 has a track height of seven (7) and each second cell 204 has a track height of five (5). The first cell 202 with a relatively large cell height CH1 operates at higher speeds and is therefore suitable for high speed applications. The second cell 204 with a relatively small cell height CH2 operates at less power and thus can be used for low power applications. Although cells in adjacent columns in Figure 2A have different cell heights, cells in adjacent columns with the same height are encompassed in one embodiment of the present case. In some embodiments and in Figure 2A, the number of columns in the plurality of columns used to place the first cell 202 is equal to the number of columns in the plurality of columns (eg, column 2 and column 4) used to place the second cell 204 number of columns (for example, column 1 and column 3). Those skilled in the art will understand that, in some embodiments, the number of columns in the plurality of columns used to place the first cells 202 is different from the number of columns in the plurality of columns used to place the second cells 204 (not shown). Show).

在一些實施例中,單元202及204為標準單元。標準單元包括但不限於非(INV)、及(AND)、或(OR)、反及(NOR)、反或(XOR)、互斥或(AOI)、或及非(OAI)、多工器、緩衝器、加法器、填充器、正反器、鎖存器、延遲、時脈單元或類似者。或者,單元202及204為定製單元。在佈局階段,藉由APR工具放置單元202及204。 In some embodiments, cells 202 and 204 are standard cells. Standard cells include but are not limited to not (INV), and (AND), or (OR), inverse and (NOR), inverse or (XOR), exclusive or (AOI), or and not (OAI), multiplexer , buffers, adders, fillers, flip-flops, latches, delays, clock units or the like. Alternatively, units 202 and 204 are custom units. During the layout stage, cells 202 and 204 are placed by the APR tool.

第一單元202中的每一者具有實質矩形形狀,包括頂部邊界212A、底部邊界212B及相對側面邊界212C。頂部邊界212A及底部邊界212B平行於X方向。側面邊界212C平行於Y方向。在頂部邊界212A與底部邊界212B之間界定每個第一單元202的高度,亦即單元高度CH1。同樣,第二單元204中的每一者具有實質矩形形狀,包括頂部邊界214A、底部邊界214B及相對側面邊界214C。頂部邊界214A及底部邊界214B平行於X方向。側面邊界214C平行於Y方向。在頂部邊界214A與底部邊界214B之間界定每個第二單元204的高度,亦即單元高度CH2。當一列(例如,列1或列3)中的第一單元202鄰接相鄰列(例如,列2或列4)中的第二單元204時,第二單元204的頂部及底部邊界214A、214B與相鄰列列1至列4中的第一單元202的相應頂部及底部邊界212A、212B合併。例如,在第2A圖中,列2中的第二單元204的頂部邊界214A 與列1中的第一單元202的底部邊界212B合併,列2中的第二單元204的底部邊界214B與列3中的第一單元202的頂部邊界212A合併,且列4中的第二單元204的頂部邊界214A與列3中的第一單元202的底部邊界合併。 Each of the first cells 202 has a substantially rectangular shape, including a top boundary 212A, a bottom boundary 212B, and opposing side boundaries 212C. The top boundary 212A and the bottom boundary 212B are parallel to the X direction. The side boundary 212C is parallel to the Y direction. The height of each first cell 202, ie, cell height CH1, is defined between the top boundary 212A and the bottom boundary 212B. Likewise, each of the second cells 204 has a substantially rectangular shape, including a top boundary 214A, a bottom boundary 214B, and opposing side boundaries 214C. The top boundary 214A and the bottom boundary 214B are parallel to the X direction. Side boundary 214C is parallel to the Y direction. The height of each second cell 204, ie, cell height CH2, is defined between the top boundary 214A and the bottom boundary 214B. When a first cell 202 in a column (eg, column 1 or column 3) abuts a second cell 204 in an adjacent column (eg, column 2 or column 4), the top and bottom boundaries 214A, 214B of the second cell 204 Merged with the respective top and bottom boundaries 212A, 212B of the first cells 202 in adjacent columns Column 1-4. For example, in Figure 2A, the top boundary 214A of the second cell 204 in column 2 is merged with the bottom boundary 212B of the first cell 202 in column 1, the bottom boundary 214B of the second cell 204 in column 2 is merged with the top boundary 212A of the first cell 202 in column 3, and the second cell in column 4 The top boundary 214A of 204 merges with the bottom boundary of the first cell 202 in column 3.

佈局圖200A進一步包括複數個電力軌,例如206a~206e,此等電力軌沿複數個列列1至列4的邊界延伸。在第2A圖中,電力軌206b存在於列1及列2的共同邊界處,電力軌206c存在於列2及列3的共同邊界處,且電力軌206d存在於列3及列4的共同邊界處。電力軌206a~206e中的每一者用以向相應列1至列4中的單元202或204提供電源電壓電位Vdd及接地電壓電位Vss中的一者。電力軌206a~206e為矩形,具有與相應水平佈線軌跡(例如,HT1、HT7、HT11、HT17及HT21)實質上對準的長軸。在一些實施例中,在相應電力軌206a或206c的中間界定每個第一單元202的頂部邊界212A,且在相應電力軌206b或206b的中間界定每個第一單元202的底部邊界212B。另外,在相應電力軌206b或206d的中間界定每個第二單元204的頂部邊界214A,且在相應電力軌206c或206e的中間界定每個第二單元204的底部邊界214B。 The layout diagram 200A further includes a plurality of power rails, such as 206a-206e, which extend along the boundaries of the plurality of columns 1-4. In Figure 2A, power rail 206b exists at the common boundary of columns 1 and 2, power rail 206c exists at the common boundary of columns 2 and 3, and power rail 206d exists at the common boundary of columns 3 and 4 place. Each of the power rails 206a-206e is used to provide one of the supply voltage potential Vdd and the ground voltage potential Vss to the cell 202 or 204 in the corresponding row 1-4. The power rails 206a-206e are rectangular with long axes substantially aligned with corresponding horizontal routing traces (eg, HT1, HT7, HT11, HT17, and HT21). In some embodiments, the top boundary 212A of each first cell 202 is defined in the middle of the respective power rail 206a or 206c, and the bottom boundary 212B of each first cell 202 is defined in the middle of the respective power rail 206b or 206b. Additionally, the top boundary 214A of each second cell 204 is defined in the middle of the respective power rail 206b or 206d, and the bottom boundary 214B of each second cell 204 is defined in the middle of the respective power rail 206c or 206e.

第一單元202中的每一者包括複數個第一導電線220、222、224、226及228在頂部及底部邊界212A、212B內。將第一單元202中的每一者中的第一導電線220、222、224、226及228沿X方向實質上平行於彼此佈置且對準相應水平佈線軌跡(例如,HT2~HT6及HT12~ HT16)。第二單元204中的每一者包括複數個第二導電線232、234及236在頂部及底部邊界214A、214B內。將第二單元204中的每一者中的第二導電線232、234及236沿X方向實質上平行於彼此佈置且對準相應水平佈線軌跡(例如,HT8~HT10及HT18~HT20)。 Each of the first cells 202 includes a plurality of first conductive lines 220, 222, 224, 226 and 228 within the top and bottom boundaries 212A, 212B. The first conductive lines 220, 222, 224, 226, and 228 in each of the first cells 202 are arranged substantially parallel to each other along the X-direction and aligned with respective horizontal wiring traces (eg, HT2~HT6 and HT12~ HT16). Each of the second cells 204 includes a plurality of second conductive lines 232, 234, and 236 within the top and bottom boundaries 214A, 214B. The second conductive lines 232, 234, and 236 in each of the second cells 204 are arranged substantially parallel to each other along the X-direction and aligned with the respective horizontal wiring traces (eg, HT8-HT10 and HT18-HT20).

在一些實施例中,在第一金屬層(亦即,M1層)內形成電力軌206a~206e及導電線220~228及232~236,此第一金屬層靠近基板,在基板中形成單元202及204的主動部件,例如電晶體或類似者。在佈線階段期間,藉由APR工具相對於相應水平佈線軌跡HT1~HT21佈置電力軌206a~e及導電線220~228及232~236。 In some embodiments, power rails 206a-206e and conductive lines 220-228 and 232-236 are formed within a first metal layer (ie, M1 layer), which is close to the substrate in which cells 202 are formed and active components of 204, such as transistors or the like. During the routing phase, power rails 206a-e and conductive lines 220-228 and 232-236 are arranged with respect to respective horizontal routing traces HT1-HT21 by an APR tool.

請參照第1圖及第2B圖,方法100進行至步驟104,其中沿複數個垂直佈線軌跡VT1~VT20的相應垂直佈線軌跡放置針對每個第一單元202的複數個第一單元引腳240。第2B圖係根據一實施例的佈局圖200A在針對每個第一單元202沿複數個垂直佈線軌跡VT1~VT20的相應垂直佈線軌跡放置複數個第一單元引腳240之後的佈局圖200B。 Referring to FIGS. 1 and 2B, the method 100 proceeds to step 104, wherein a plurality of first cell pins 240 for each first cell 202 are placed along respective vertical wiring traces of the plurality of vertical wiring traces VT1-VT20. 2B is a layout diagram 200B of a layout diagram 200A after placing a plurality of first cell pins 240 for each first cell 202 along respective vertical routing traces of the plurality of vertical routing traces VT1 - VT20 , according to an embodiment.

本文描述的單元引腳係指為單元承載輸入或輸出訊號的導電線。在一些實施例中且在第2B圖中,每個第一單元202內的複數個第一單元引腳240包含至少一個輸入引腳或至少一個輸出引腳,此至少一個輸入引腳經調適成將輸入訊號接收到單元中,此至少一個輸出引腳經調適成自單元傳遞輸出訊號。根據實際電路需求調整每個第一單元202 內的輸入引腳及輸出引腳的數量。例如,列1中最左側第一單元202包括三個第一單元引腳240(1)~240(3),其中將第一單元引腳240(1)及240(2)用作輸入引腳及將第一單元引腳240(3)用作輸出引腳;而列3中最左側第一單元202包括兩個第一單元引腳240(4)~240(5),其中將第一單元引腳240(4)用作輸入引腳及將第一單元引腳240(5)用作輸出引腳。 Cell leads described herein refer to the conductive wires that carry input or output signals for the cell. In some embodiments and in Figure 2B, the plurality of first cell pins 240 within each first cell 202 include at least one input pin or at least one output pin, the at least one input pin being adapted to An input signal is received into the unit, and the at least one output pin is adapted to pass an output signal from the unit. Adjust each first cell 202 according to actual circuit requirements The number of input pins and output pins within. For example, the leftmost first cell 202 in column 1 includes three first cell pins 240(1)-240(3), wherein the first cell pins 240(1) and 240(2) are used as input pins and use the first cell pin 240(3) as an output pin; while the leftmost first cell 202 in column 3 includes two first cell pins 240(4) to 240(5), where the first cell Pin 240(4) is used as an input pin and first cell pin 240(5) is used as an output pin.

每個第一單元引腳240在Y方向上延伸且與複數個垂直佈線軌跡VT1~VT20的相應垂直佈線軌跡對準。每個第一單元引腳240為矩形且具有沿Y方向的長度及沿X方向的寬度。第一單元引腳240的長度等於或大於最小長度,此最小長度由特定製造製程的第一設計規則規定,且因此滿足設計規則線長需求。如本文所使用,製造製程的最小長度為在可製造導電線同時仍滿足相應設計規則以避免錯誤的電路功能的最小長度。在一些實施例中,第二設計規則在第一單元引腳240的末端與第一單元202的頂部及底部邊界212A、212B之間強加最小邊界偏移。因此,第一單元引腳240位於頂部及底部邊界212A、212B,使得無第一單元引腳240終止於第一單元202的頂部或底部邊緣212A或212B處以滿足最小邊界偏移準則。在一些實施例中,第一單元引腳240延伸跨過第一單元202中封閉的第一導電線220~228的整個集合。 Each of the first cell pins 240 extends in the Y direction and is aligned with a corresponding vertical wiring trace of the plurality of vertical wiring traces VT1 to VT20. Each of the first unit pins 240 is rectangular and has a length in the Y direction and a width in the X direction. The length of the first cell lead 240 is equal to or greater than the minimum length, which is specified by the first design rule of the specific manufacturing process, and thus meets the design rule line length requirements. As used herein, the minimum length of a fabrication process is the minimum length at which conductive lines can be fabricated while still satisfying corresponding design rules to avoid erroneous circuit function. In some embodiments, the second design rule imposes a minimum boundary offset between the end of the first cell pin 240 and the top and bottom boundaries 212A, 212B of the first cell 202 . Accordingly, the first cell pins 240 are located at the top and bottom boundaries 212A, 212B such that no first cell pins 240 terminate at the top or bottom edge 212A or 212B of the first cell 202 to satisfy the minimum boundary excursion criterion. In some embodiments, the first cell pins 240 extend across the entire set of first conductive lines 220 - 228 enclosed in the first cell 202 .

每個第一單元引腳240分配有一顏色,此顏色與相應垂直佈線軌跡VT1~VT20的顏色相同,第一單元引 腳240沿此垂直佈線軌跡延伸。在一些實施例中,向沿奇數垂直佈線軌跡VT1、VT3、……、VT19延伸的第一單元引腳240的第一集合分配為第一顏色,例如顏色A,且向沿偶數垂直佈線軌跡VT2、VT4、……、VT20延伸的第一單元引腳240的第二集合分配為第二顏色,例如顏色B,此指示使用第一遮罩形成第一單元引腳240的第一集合及使用第二遮罩形成第一單元引腳240的第二集合,第二遮罩與第一遮罩不同。 Each first unit pin 240 is assigned a color, and this color is the same as the color of the corresponding vertical wiring traces VT1 to VT20. Feet 240 extend along this vertical routing trace. In some embodiments, the first set of first cell pins 240 extending along odd vertical routing traces VT1, VT3, . , VT4, . The two masks form a second set of first unit pins 240, and the second mask is different from the first mask.

在一些實施例中,第一單元引腳240位於覆蓋M1層的第二金屬層(亦即,M2層)內。經由佈置在第一單元引腳240下方的複數個第一通孔242將第一單元引腳240電耦接至相應第一導電線220~228。每個第一通孔242處於第一單元引腳240與相應第一導電線220~228之間的交叉點處。 In some embodiments, the first cell lead 240 is located within the second metal layer (ie, the M2 layer) overlying the M1 layer. The first unit pins 240 are electrically coupled to the corresponding first conductive lines 220 ˜ 228 through a plurality of first through holes 242 arranged below the first unit pins 240 . Each of the first through holes 242 is at an intersection between the first unit pin 240 and the corresponding first conductive lines 220 to 228 .

請參照第1圖及第2C圖,方法100進行至步驟106,其中針對第二單元204中的每一者識別複數個通孔佈局點250。第2C圖係根據一實施例的佈局圖200B在針對第二單元204中的每一者識別複數個通孔佈局點250之後的佈局圖200C。 Referring to FIGS. 1 and 2C , the method 100 proceeds to step 106 where a plurality of via layout points 250 are identified for each of the second cells 204 . Figure 2C is a layout 200C of the layout 200B after identifying a plurality of via layout points 250 for each of the second cells 204, according to an embodiment.

通孔佈局點250對應於用於放置通孔262的可能位置(第2E圖),將M1層中的第二導電線232~236電連接至第二單元引腳260(第2D圖)以形成於上覆M2層中,從而賦能元件訊號傳輸。通孔佈局點250位於第二導電線232~236與垂直佈線軌跡VT1~VH20中的相應垂直佈線 軌跡的交點處。例如,針對列2中最左側第二單元204,將兩個示例性通孔佈局點250(1)及250(2)識別為用於在置於水平佈線軌跡HT8上的第二導電線232上方放置通孔的可能位置,將兩個示例性通孔佈局點250(3)及250(4)識別為用於在置於水平佈線軌跡HT9上的第二導電線234上方放置通孔的可能位置,及將兩個示例性通孔佈局點250(5)及250(6)識別為用於在置於水平佈線軌跡HT9上的第二導電線236上方放置通孔的可能位置。 Via layout points 250 correspond to possible locations for placing vias 262 (FIG. 2E), electrically connecting second conductive lines 232-236 in the M1 layer to second cell pins 260 (FIG. 2D) to form In the overlying M2 layer, the signal transmission of the components is enabled. The via layout points 250 are located on the corresponding vertical wirings in the second conductive lines 232-236 and the vertical wiring traces VT1-VH20 the intersection of the trajectories. For example, for the leftmost second cell 204 in column 2, two exemplary via layout points 250(1) and 250(2) are identified for use over the second conductive line 232 placed on the horizontal routing trace HT8 Possible locations for via placement, two exemplary via placement points 250(3) and 250(4) are identified as possible locations for placement of vias over second conductive line 234 placed on horizontal routing trace HT9 , and two exemplary via placement points 250(5) and 250(6) are identified as possible locations for placing vias over the second conductive line 236 placed on the horizontal routing trace HT9.

請參照第1圖及第2D圖,方法100進行至步驟108,其中在複數個選定通孔佈局點250上方放置針對每個第二單元204的複數個第二單元引腳260。第2D圖係根據一實施例的佈局圖200C在針對每個第二單元204在複數個選定通孔佈局點250上方放置複數個第二單元引腳260之後的佈局圖200D。 Referring to FIGS. 1 and 2D , the method 100 proceeds to step 108 where a plurality of second cell leads 260 for each second cell 204 are placed over the plurality of selected via layout points 250 . 2D is a layout 200D of a layout 200C after placing a plurality of second cell pins 260 over a plurality of selected via layout points 250 for each second cell 204, according to an embodiment.

在一些實施例中,第三設計規則強加最小端到端間隔需求,此需求指定相同垂直佈線軌跡上的相鄰單元引腳的面對端必須相隔最小距離。最小端到端間隔為特定製程技術節點的參數。在步驟108中,檢查在步驟106中識別的通孔佈局點250,以判斷是否存在足夠的空間可用於將第二單元引腳260放置在通孔佈局點250上方,使得第二單元引腳260與相鄰第一單元引腳240以最小端到端間隔分開,相鄰第一單元引腳240位於與第二單元引腳260相同的垂直佈線軌跡VT1~VT20上。在檢查之後,選擇適用於針對第二單元204放置第二單元引腳260的通孔佈局點250的子集。 下文使用針對列2最左側第二單元204識別的示例性第二單元引腳260(1)~260(3)及示例性通孔佈局點250(1)~250(6)說明及描述用於選擇通孔佈局點250放置第二單元引腳260以滿足最小端到端間隔需求的標準。可沿垂直佈線軌跡VT2將第二單元引腳260(1)放置在通孔佈局點250(3)上方或者通孔佈局點250(6)上方,而不引發最小端到端間隔衝突。此係因為在列中,亦即緊鄰列2的列1及列3中,僅列3中最左側第一單元202沿相同垂直佈線軌跡VT2包含第一單元引腳240(4),而列1中垂直佈線軌跡VT2的一部分未被佔用。因此,第二單元引腳260(1)可延伸跨過第二單元204的頂部邊界214A且延伸至列1的最左側第一單元202中的垂直佈線軌跡VT2的未佔用部分上,以確保第二單元引腳260(1)的一端與第一單元引腳240(4)的相鄰端間隔開一距離,此距離等於或大於最小端到端間隔。類似地,沿垂直佈線軌跡VT5,可將第二單元引腳260(2)放置在通孔佈局點250(2)上方而不引發最小端到端間隔衝突。此係因為列1及列3中最左側第一單元202沿相同垂直佈線軌跡VT5均不包含第一單元引腳240以觸發最小端到端間隔衝突,以便防止將第二單元引腳260(2)放置在通孔佈局點250(2)上方。在一些實施例中,可形成第二單元引腳260(2)以具有兩端皆終止於列2中最左側第二單元204的相對頂部及底部邊界214A及214B處。在其他實施例中,可形成第二單元引腳260(2)以具有在列2中最左側第二單元204內終止的一端及延伸跨過相應邊界214A或 214B且延伸至列1中的垂直佈線軌跡VT5的一部分上或列3中的垂直佈線軌跡VT5的一部分上的相對端,因為列1及列3中的垂直佈線軌跡VT5的兩個部分均未被佔用。相反,如十字符號所指示的,藉由最小端到端間隔需求禁止沿垂直佈線軌跡VT4在通孔佈局點250(1)上方放置單元引腳260',因為列1及列3中最左側第一單元202中的每一者沿相同垂直佈線軌跡VT4包含第一單元引腳240(2)或240(5),且無足夠空間可用於在其之間容納單元引腳而不引發最小端到端間隔衝突。沿相同垂直佈線軌跡VT4在列1及列3中的兩個第一單元引腳240(2)及240(5)之間放置單元引腳260'將觸發最小端到端間隔衝突,因為列1中的單元引腳260'與第一單元引腳240(2)的面對端之間的距離D1或列3中的單元引腳260'與第一單元引腳240(5)的面對端之間的距離D2小於最小端到端間隔。若沿垂直佈線軌跡VT4在通孔佈局點250(1)上方放置單元引腳260',則增加自佈局圖200F(第2F圖)製造IC導致故障電路的風險。 In some embodiments, the third design rule imposes a minimum end-to-end spacing requirement specifying that facing ends of adjacent cell pins on the same vertical routing trace must be separated by a minimum distance. The minimum end-to-end separation is a process technology node-specific parameter. In step 108, the via placement point 250 identified in step 106 is checked to determine if there is sufficient space available for placing the second cell pin 260 over the via placement point 250 such that the second cell pin 260 The adjacent first cell pins 240 are separated from the adjacent first cell pins 240 by a minimum end-to-end interval, and the adjacent first cell pins 240 are located on the same vertical wiring traces VT1 to VT20 as the second cell pins 260 . After inspection, a subset of via layout points 250 suitable for placement of second cell pins 260 for second cell 204 is selected. Exemplary second cell pins 260(1)-260(3) and example via layout points 250(1)-250(6) identified for column 2 leftmost second cell 204 are illustrated and described below for The via placement point 250 is chosen to place the second cell pin 260 to meet the criteria of minimum end-to-end spacing requirements. The second cell pin 260(1) may be placed over via placement point 250(3) or over via placement point 250(6) along vertical routing trace VT2 without causing minimum end-to-end spacing violations. This is because in a row, that is, in row 1 and row 3 immediately adjacent to row 2, only the leftmost first cell 202 in row 3 includes the first cell pin 240(4) along the same vertical wiring trace VT2, while row 1 A part of the vertical wiring trace VT2 in the middle is not occupied. Thus, the second cell pin 260(1) may extend across the top boundary 214A of the second cell 204 and onto the unoccupied portion of the vertical routing trace VT2 in the leftmost first cell 202 of column 1 to ensure the first One end of the second unit lead 260(1) is spaced from the adjacent end of the first unit lead 240(4) by a distance equal to or greater than the minimum end-to-end separation. Similarly, along vertical routing trace VT5, second cell pin 260(2) can be placed over via placement point 250(2) without causing minimum end-to-end spacing violations. This series is because the leftmost first cell 202 in both column 1 and column 3 does not include the first cell pin 240 along the same vertical routing trace VT5 to trigger a minimum end-to-end spacing conflict in order to prevent the second cell pin 260 (2 ) is placed over via placement point 250(2). In some embodiments, the second cell lead 260( 2 ) may be formed to have both ends terminating at opposing top and bottom boundaries 214A and 214B of the leftmost second cell 204 in row 2 . In other embodiments, the second cell lead 260(2) may be formed to have one end terminating within the leftmost second cell 204 in column 2 and to extend across the corresponding boundary 214A or 214B and extends to opposite ends on a portion of vertical wiring trace VT5 in column 1 or on a portion of vertical wiring trace VT5 in column 3, since neither portion of vertical wiring trace VT5 in column 1 and column 3 is occupied. Conversely, placement of cell pin 260' above via placement point 250(1) along vertical routing trace VT4 is prohibited by the minimum end-to-end spacing requirement, as indicated by the cross symbol, because the leftmost row in columns 1 and 3 Each of a cell 202 includes a first cell pin 240(2) or 240(5) along the same vertical routing trace VT4, and there is not enough space available to accommodate the cell pin therebetween without causing a minimum end-to-end End interval conflict. Placing cell pin 260' between the two first cell pins 240(2) and 240(5) in column 1 and column 3 along the same vertical routing trace VT4 will trigger a minimum end-to-end spacing violation because column 1 The distance D1 between the cell pin 260' and the facing end of the first cell pin 240(2) in column 3 or the facing end of the cell pin 260' in column 3 and the first cell pin 240(5) The distance D2 between them is less than the minimum end-to-end separation. Placing cell lead 260' above via layout point 250(1) along vertical routing trace VT4 increases the risk of faulty circuits resulting from fabrication of ICs from layout 200F (FIG. 2F).

接著,將用以輸入及輸出訊號至第二單元204的第二單元引腳260放置在選定通孔佈局點250上方,從而沿選定通孔佈局點250所在的相應垂直佈線軌跡VT1~VT21延伸。因此,根據最小端到端間隔需求,在一些實施例中,可形成針對第二單元204的第二單元引腳260以具有終止於相應頂部或底部邊界214A或214B內的一端及延伸跨過相應頂部或底部邊界214A或214B至相鄰第一單元202中的相對端,此相鄰第一單元在相同垂直佈線軌跡VT1 ~VT20上不包含第一單元引腳240。在此情況下,第二單元引腳260可由任何長度形成,使得在一些實施例中第二單元引腳260具有等於或大於最小線長的長度。在此情況下,第二單元引腳260具有小於最小線長的長度。在相鄰垂直軌跡VT1~VT20分配有不同顏色的情況下,相鄰垂直佈線軌跡VT1~VT20上的第二單元引腳260亦分配有不同顏色,顏色A或顏色B,此指示相鄰第二單元引腳260由不同遮罩製造。 Next, the second cell pins 260 for inputting and outputting signals to the second cell 204 are placed over the selected via layout point 250 so as to extend along the corresponding vertical wiring traces VT1 - VT21 where the selected via layout point 250 is located. Therefore, in some embodiments, the second cell pin 260 for the second cell 204 may be formed to have one end terminating within the respective top or bottom boundary 214A or 214B and extending across the respective top or bottom boundary 214A or 214B, in accordance with minimum end-to-end spacing requirements. The top or bottom boundary 214A or 214B to the opposite end in the adjacent first cell 202 on the same vertical routing trace VT1 The first cell pin 240 is not included on ~VT20. In this case, the second cell lead 260 may be formed of any length, such that in some embodiments the second cell lead 260 has a length equal to or greater than the minimum wire length. In this case, the second unit lead 260 has a length less than the minimum wire length. In the case where the adjacent vertical traces VT1 to VT20 are assigned different colors, the second unit pins 260 on the adjacent vertical wiring traces VT1 to VT20 are also assigned different colors, either color A or color B, which indicates that the adjacent second unit pins 260 are also assigned different colors. Cell pins 260 are fabricated from different masks.

請參照第1圖及第2E圖,方法100進行至步驟110,其中複數個第二通孔262經放置以將複數個第二單元引腳260耦接至複數個第二導電線232~236。第2E圖係根據一實施例的佈局圖200D在放置複數個第二通孔262以將複數個第二單元引腳260耦接至複數個第二導電線232~236之後的佈局圖200E。 Referring to FIGS. 1 and 2E, the method 100 proceeds to step 110, wherein a plurality of second vias 262 are placed to couple the plurality of second unit pins 260 to the plurality of second conductive lines 232-236. 2E is the layout 200D after placing the second vias 262 to couple the second cell pins 260 to the second conductive lines 232 - 236 according to an embodiment.

經由第二通孔262將第二單元引腳260電耦接至相應下層第二導電線232~236。將第二通孔262放置在步驟108中選定的彼等通孔佈局點250的位置處。 The second unit pins 260 are electrically coupled to the corresponding lower-layer second conductive lines 232 ˜ 236 through the second through holes 262 . The second vias 262 are placed at the locations of their via layout points 250 selected in step 108 .

請參照第1圖及第2F圖,方法100行進至步驟112,其中拉長至少一個第二單元引腳260及/或至少一個第一單元引腳240。第2F圖係根據一實施例的佈局圖200F在拉長第一單元引腳240及第二單元引腳260之後的佈局圖200F。 Referring to FIGS. 1 and 2F, the method 100 proceeds to step 112, wherein at least one second cell lead 260 and/or at least one first cell lead 240 are elongated. FIG. 2F is a layout diagram 200F of the layout diagram 200F after the first cell lead 240 and the second cell lead 260 are elongated according to an embodiment.

在步驟112中,沿Y方向拉長第二單元引腳260中的至少一個第二單元引腳260,使得所有第二單元引腳 260具有等於或大於最小線長的長度。第二單元引腳260的拉長幫助改良引腳可存取性,從而幫助提供針對第二單元204的較佳佈線效率及佈線密度。同樣,在一些實施例中,沿Y方向拉長第一單元引腳240中的至少一個第一單元引腳240,從而跨過至少一個第一單元引腳240所在的第一單元202的相應邊界212A或212B。第一單元引腳240的拉長幫助改良引腳可存取性,從而幫助提供針對第一單元202的較佳佈線效率及佈線密度。可將第一單元引腳240及第二單元引腳260中的每一者拉長成任何長度,只要在引腳拉長之後在相同垂直佈線軌跡VT1~VT30上的相鄰第一單元引腳240與第二單元引腳260的面對端之間滿足最小端到端間隔需求。 In step 112, at least one second cell pin 260 in the second cell pins 260 is elongated in the Y direction, so that all the second cell pins 260 has a length equal to or greater than the minimum wire length. The elongation of the second cell pins 260 helps improve pin accessibility, thereby helping to provide better routing efficiency and routing density for the second cell 204 . Also, in some embodiments, at least one of the first cell pins 240 is elongated in the Y direction so as to span a corresponding boundary of the first cell 202 where the at least one first cell pin 240 is located 212A or 212B. The elongation of the first cell lead 240 helps improve lead accessibility, thereby helping to provide better routing efficiency and routing density for the first cell 202 . Each of the first cell pin 240 and the second cell pin 260 may be elongated to any length as long as the adjacent first cell pins on the same vertical routing traces VT1~VT30 after pin elongation The minimum end-to-end spacing requirement is met between the facing ends of 240 and the second unit pins 260 .

第3圖係根據一實施例的產生佈局圖200F的方法300的流程圖。在各個實施例中,以第3圖描繪的次序或者以除第3圖所描繪的次序之外的一或更多個次序執行方法300的步驟。在一些實施例中,在執行方法300的一或更多個步驟之前、之間、期間及/或之後執行一或更多個額外操作。下文結合第4A圖至第4C圖描述方法300,其中圖示產生佈局圖200F的各個階段。除非另有說明,否則第4A圖至第4C圖中的部件由第2A圖至第2F圖中所示的相同元件符號來表示,第4A圖至第4C圖中的部件與第2A圖至第2F圖中的相同部件基本上相同。 FIG. 3 is a flowchart of a method 300 of generating a floor plan 200F according to one embodiment. In various embodiments, the steps of method 300 are performed in the order depicted in FIG. 3 or in one or more orders other than the order depicted in FIG. 3 . In some embodiments, one or more additional operations are performed before, between, during, and/or after performing one or more steps of method 300 . The method 300 is described below in conjunction with FIGS. 4A-4C, which illustrate the various stages of generating the floor plan 200F. Unless otherwise stated, components in Figures 4A-4C are identified by the same reference numerals as shown in Figures 2A-2F, and components in Figures 4A-4C are the same as those in Figures 2A-4C. The same parts in the 2F diagram are basically the same.

類似於方法100,方法300的操作中的一些或全部能夠作為APR工具的一部分來執行。在一些實施例中, 藉由電腦的處理器執行方法300中的一些或全部。在一些實施例中,藉由下文關於第5圖論述的EDA系統500的處理器502執行方法300中的一些或全部。在一些實施例中,方法300的操作中的一些或全部能夠作為在設計室中執行的設計程序的一部分來執行,例如,下文關於第6圖論述的設計室620。 Similar to method 100, some or all of the operations of method 300 can be performed as part of an APR tool. In some embodiments, Some or all of method 300 is performed by a processor of a computer. In some embodiments, some or all of the method 300 is performed by the processor 502 of the EDA system 500 discussed below with respect to FIG. 5 . In some embodiments, some or all of the operations of method 300 can be performed as part of a design program executed in a design studio, eg, design studio 620 discussed below with respect to FIG. 6 .

請參照第3圖,方法300包括操作302,其中沿佈線網格的相應水平佈線軌跡HT1~HT21放置針對第一單元高度的複數個第一單元202的複數個第一導電線220~228及針對第二單元高度CH2的複數個第二單元204的複數個導電線232~236,第二單元高度CH2小於第一單元高度CH1;將第一單元202及第二單元204佈置成複數個列。步驟302與步驟102基本上相同,且在步驟302之後產生佈局圖200A。 Referring to FIG. 3, method 300 includes operation 302, wherein a plurality of first conductive lines 220-228 for a plurality of first cells 202 of a first cell height are placed along corresponding horizontal wiring traces HT1-HT21 of a wiring grid and for The plurality of conductive lines 232-236 of the plurality of second cells 204 of the second cell height CH2, the second cell height CH2 is smaller than the first cell height CH1; the first cells 202 and the second cells 204 are arranged in a plurality of columns. Step 302 is substantially the same as step 102, and after step 302, the layout diagram 200A is generated.

請參照第3圖及第4A圖,方法300行進至操作304,其中針對第一單元202中的每一者識別複數個第一通孔佈局點270及針對第二單元204中的每一者識別複數個第二通孔佈局點250。第4A圖係根據一實施例的佈局圖200A在針對第一單元202中的每一者識別複數個第一通孔佈局點270及針對第二單元204中的每一者識別複數個第二通孔佈局點250之後的佈局圖400A。 Referring to FIGS. 3 and 4A , method 300 proceeds to operation 304 where a plurality of first via layout points 270 are identified for each of first cells 202 and for each of second cells 204 A plurality of second via layout points 250 . 4A is a layout diagram 200A identifying a plurality of first via layout points 270 for each of the first cells 202 and a plurality of second vias for each of the second cells 204, according to an embodiment Layout 400A after hole layout point 250.

第一通孔佈局點270對應於用於放置第一通孔242的可能位置(第4C圖),第一通孔242用以將M1層中的第一導電線220~228電連接至第一單元引腳240(第4B 圖)以形成於上覆M2層中,從而致能第一單元202的訊號傳輸。第一通孔佈局點270位於第一導電線220~228與垂直佈線軌跡VT1~VT20中的相應垂直佈線軌跡的交點處。 The first via layout point 270 corresponds to a possible position for placing the first via 242 (FIG. 4C), and the first via 242 is used to electrically connect the first conductive lines 220-228 in the M1 layer to the first via Unit pin 240 (section 4B Fig. ) is formed in the overlying M2 layer, thereby enabling the signal transmission of the first unit 202. The first via layout point 270 is located at the intersection of the first conductive lines 220 ˜ 228 and corresponding vertical wiring traces of the vertical wiring traces VT1 ˜ VT20 .

同樣,第二通孔佈局點250對應於用於放置第二通孔262的可能位置(第4C圖),第二通孔262用以將M1層中的第二導電線232~236電連接至第二單元引腳260(第4B圖)以形成於上覆M2層中,從而致能第二單元204的訊號傳輸。第二通孔佈局點250位於第二導電線232~236與垂直佈線軌跡VT1~VT20中的相應垂直佈線軌跡的交點處。 Likewise, the second via layout point 250 corresponds to a possible location (FIG. 4C) for placing the second via 262 for electrically connecting the second conductive lines 232-236 in the M1 layer to the The second cell leads 260 (FIG. 4B) are formed in the overlying M2 layer to enable signal transmission of the second cell 204. The second via layout point 250 is located at the intersection of the second conductive lines 232 ˜ 236 and corresponding ones of the vertical wiring traces VT1 ˜ VT20 .

請參照第3圖及第4B圖,方法300進行至步驟306,其中沿相應垂直佈線軌跡VT1~VT20在選定第一通孔佈局點270上方放置複數個第一單元引腳240及沿相應垂直佈線軌跡VT1~VT20在選定第二通孔佈局點250上方放置複數個第二單元引腳260。第4B圖係佈局圖400A在沿相應垂直佈線軌跡VT1~VT20在選定第一通孔佈局點270上方放置複數個第一單元引腳240及沿相應垂直佈線軌跡VT1~VT20在選定第二通孔佈局點250上方放置複數個第二單元引腳260之後的佈局圖400B。 Referring to FIGS. 3 and 4B, the method 300 proceeds to step 306, wherein a plurality of first cell pins 240 are placed over the selected first via layout point 270 along the corresponding vertical routing traces VT1-VT20 and routed along the corresponding vertical The traces VT1 to VT20 place a plurality of second cell pins 260 above the selected second via layout point 250 . FIG. 4B is a layout diagram 400A where a plurality of first cell pins 240 are placed over selected first via layout points 270 along corresponding vertical wiring traces VT1 - VT20 and a plurality of first cell pins 240 are placed on selected second vias along corresponding vertical wiring traces VT1 - VT20 The layout diagram 400B after placing a plurality of second cell pins 260 above the layout points 250 .

對所有通孔佈局點270及250迭代執行一種演算法,以評估第一通孔佈局點270及第二通孔佈局點250周圍可用的自由空間。基於可用自由空間,選擇一些第一通孔佈局點270及第二通孔佈局點250,使得將第一單元引腳240及第二單元引腳270放置在相應選定通孔佈局點270及 250上不引發最小端到端間隔需求的任何衝突,因為將針對相鄰第一單元202及第二單元204的第一單元引腳240及第二單元引腳260放置在相同垂直佈線軌跡VT1~VT20上。 An algorithm is performed iteratively over all via placement points 270 and 250 to evaluate the free space available around the first via placement point 270 and the second via placement point 250 . Based on the available free space, some of the first via layout points 270 and the second via layout points 250 are selected such that the first cell pin 240 and the second cell pin 270 are placed at the corresponding selected via layout points 270 and 250 250 does not cause any conflict of minimum end-to-end spacing requirements because the first cell pin 240 and second cell pin 260 for adjacent first cell 202 and second cell 204 are placed on the same vertical routing trace VT1~ on VT20.

基於應用於第一單元引腳240及第二單元引腳260的不同設計約束選擇適於放置第一單元引腳240的彼等第一通孔佈局點270及適於放置第二單元引腳260的彼等第二通孔佈局點250。例如,由於第一單元202具有相對較大單元高度CH1,此單元高度CH1允許第一單元202容納具有一長度的單元引腳,此長度等於或大於最小長度,第一單元引腳240能夠經形成以符合最小長度需求及最小邊界偏移需求兩者。因此,形成每個第一單元引腳240以具有兩端皆終止於第一單元202的頂部及底部邊界212A及212B內。相反,由於第二單元204具有相對較小單元高度CH2,此單元高度CH2僅允許第二單元204容納具有一長度的單元引腳,此長度小於最小長度,第二單元引腳260無法形成以符合最小長度需求及最小邊界偏移需求。因此,每個第二單元引腳260用以在相鄰列列1及列3中的相同垂直佈線軌跡VT1~VT20上不存在第一單元引腳240時具有兩端皆終止於第二單元204的頂部及底部邊界214A及214B處,或者用以在列1或列3中的相同垂直佈線軌跡VT1~VT20上僅存在一個第一單元引腳240時具有終止於頂部及底部邊界214A及214B內的一端及延伸跨過第二單元204的相應頂部或底部邊界214A或214B至相鄰列列1或列3的另一端。藉由將不同設計約束施加之第一單元引腳240及第二單元 引腳260,可放置第一單元引腳240及第二單元引腳260,使得相同垂直佈線軌跡VT1~VT20上的兩個相鄰第一單元引腳240及第二單元引腳260的面對端滿足最小端到端間隔需求。 Those first via layout points 270 suitable for placing the first cell pin 240 and those suitable for placing the second cell pin 260 are selected based on different design constraints applied to the first cell pin 240 and the second cell pin 260 250 of those second via layout points. For example, since the first cell 202 has a relatively large cell height CH1 that allows the first cell 202 to accommodate cell pins having a length equal to or greater than the minimum length, the first cell pins 240 can be formed to meet both minimum length requirements and minimum boundary offset requirements. Accordingly, each first cell lead 240 is formed to have both ends terminated within the top and bottom boundaries 212A and 212B of the first cell 202 . Conversely, since the second cell 204 has a relatively small cell height CH2 that only allows the second cell 204 to accommodate cell leads having a length less than the minimum length, the second cell leads 260 cannot be formed to conform to Minimum length requirements and minimum boundary offset requirements. Therefore, each second cell pin 260 is used to have both ends terminated in the second cell 204 when there is no first cell pin 240 on the same vertical wiring traces VT1 to VT20 in adjacent columns Column 1 and 3 at the top and bottom boundaries 214A and 214B, or to have termination within the top and bottom boundaries 214A and 214B when there is only one first cell pin 240 on the same vertical routing trace VT1-VT20 in column 1 or column 3 one end and extends across the corresponding top or bottom boundary 214A or 214B of the second cell 204 to the other end of the adjacent column column 1 or column 3 . By imposing different design constraints on the first cell pin 240 and the second cell The pin 260 can place the first unit pin 240 and the second unit pin 260 so that the two adjacent first unit pins 240 and the second unit pins 260 on the same vertical wiring traces VT1 to VT20 face each other end to meet the minimum end-to-end spacing requirement.

接著,將用以輸入及輸出訊號至第一單元202的第一單元引腳240放置在選定第一通孔佈局點270上方以沿選定第一通孔佈局點270所在的相應垂直佈線軌跡VT1~VT21延伸。並且,將用以輸入及輸出訊號至第二單元204的第二單元引腳260放置在選定第二通孔佈局點250上方以沿選定第二通孔佈局點250所在的相應垂直佈線軌跡VT1~VT21延伸。 Next, the first cell pins 240 for inputting and outputting signals to the first cell 202 are placed above the selected first via layout point 270 to be along the corresponding vertical wiring traces VT1~ where the selected first via layout point 270 is located. VT21 extension. Also, the second cell pins 260 for inputting and outputting signals to the second cell 204 are placed above the selected second via layout point 250 to be along the corresponding vertical wiring traces VT1~ where the selected second via layout point 250 is located VT21 extension.

請參照第3圖及第4C圖,方法300進行至步驟308,其中複數個第一通孔242經放置以將複數個第一單元引腳240耦接至複數個第一導電線220~228及複數個第二通孔262經放置以將複數個第二單元引腳260耦接至複數個第二導電線232~236。第4C圖係根據一實施例的佈局圖400B在放置複數個第一通孔242以將複數個第一單元引腳240耦接至複數個第一導電線及放置複數個第二通孔262以將複數個第二單元引腳260耦接至複數個第二導電線232~236之後的佈局圖400C。 Referring to FIGS. 3 and 4C, the method 300 proceeds to step 308, wherein a plurality of first vias 242 are placed to couple the plurality of first cell leads 240 to the plurality of first conductive lines 220-228 and The plurality of second vias 262 are placed to couple the plurality of second cell leads 260 to the plurality of second conductive lines 232-236. FIG. 4C is a layout diagram 400B of placing a plurality of first vias 242 to couple a plurality of first cell pins 240 to a plurality of first conductive lines and placing a plurality of second vias 262 to The layout diagram 400C after the plurality of second unit pins 260 are coupled to the plurality of second conductive lines 232 - 236 .

經由第一通孔242將第一單元引腳240電耦接至相應下層第一導電線220~228。將第一通孔242放置在步驟306中選定的彼等通孔佈局點270的位置處。同樣,經由第二通孔262將第二單元引腳260電耦接至相應下層第二 導電線232~236。將第二通孔262放置在步驟308中選定的彼等通孔佈局點250的位置處。 The first unit pins 240 are electrically coupled to the corresponding lower-layer first conductive lines 220 - 228 through the first through holes 242 . The first vias 242 are placed at the locations of their via layout points 270 selected in step 306 . Likewise, the second cell pins 260 are electrically coupled to the corresponding lower layer second vias 262 Conductive wires 232~236. The second vias 262 are placed at the locations of the via layout points 250 selected in step 308 .

請參照第3圖及第2F圖,方法300進行至步驟310,其中拉長至少一個第二單元引腳260及/或至少一個第一單元引腳240。步驟310與步驟112基本上相同,且在步驟310之後產生佈局圖200F。 Referring to FIGS. 3 and 2F, the method 300 proceeds to step 310, wherein at least one second cell lead 260 and/or at least one first cell lead 240 are elongated. Step 310 is substantially the same as step 112, and after step 310 the floorplan 200F is generated.

在本案的一實施例中,藉由在針對不同單元高度的單元放置單元引腳中使用不同設計約束,在混合單元設計中針對不同高度的單元的佈線能夠在相同設計區塊下執行而不推擠現有設計規則。作為結果,引腳佈線利用率實現10%的增加。 In one embodiment of the present case, by using different design constraints in the placement of cell pins for cells of different cell heights, routing for cells of different heights in a mixed cell design can be performed under the same design block without pushing Extrude existing design rules. As a result, a 10% increase in pin routing utilization is achieved.

第5圖係根據一實施例的電子設計自動化(EDA)系統500的方塊圖。 FIG. 5 is a block diagram of an electronic design automation (EDA) system 500 according to one embodiment.

在一些實施例中,EDA系統500包括APR工具。根據一些實施例,可例如使用EDA系統500實施根據一或更多個實施例的本文描述的設計佈局圖的方法,此設計佈局圖表示電線佈線佈置。 In some embodiments, EDA system 500 includes an APR tool. According to some embodiments, the methods described herein for designing a layout representing a wire routing arrangement according to one or more embodiments may be implemented, for example, using EDA system 500 .

在一些實施例中,EDA系統500為通用計算裝置,包括硬體處理器502及非暫時性電腦可讀取儲存媒體504。其中,用電腦程式碼506對儲存媒體504編碼,亦即,儲存媒體儲存電腦程式碼,其中電腦程式碼506為一組電腦可執行指令。由處理器502執行電腦程式碼506(至少部分地)表示APR工具,此APR工具實施例如根據一或更多者 的本文描述的方法的一部分或全部(在下文中為所述製程及/或方法)。 In some embodiments, the EDA system 500 is a general-purpose computing device including a hardware processor 502 and a non-transitory computer-readable storage medium 504 . The storage medium 504 is encoded with the computer code 506, that is, the storage medium stores the computer code, wherein the computer code 506 is a set of computer-executable instructions. Execution of computer code 506 by processor 502 represents (at least in part) an APR tool implemented, for example, according to one or more some or all of the methods described herein (hereinafter the processes and/or methods).

經由匯流排508將處理器502電耦接至電腦可讀取儲存媒體504。亦藉由匯流排508將處理器502電耦接至I/O介面510。亦經由匯流排508將網路介面512電連接至處理器502。將網路介面512連接至網路514,使得處理器502及電腦可讀取儲存媒體504能夠經由網路514連接至外部元件。處理器502用以執行在電腦可讀取儲存媒體504中編碼的電腦程式碼506以便引發EDA系統500可用於執行所述製程及/或方法中的一部分或全部。在一或更多個實施例中,處理器502為中央處理單元(central processing unit,CPU)、多處理器、分佈式處理系統、特殊應用積體電路(application specific integrated circuit,ASIC)及/或適宜處理單元。 The processor 502 is electrically coupled to the computer-readable storage medium 504 via the bus bar 508 . Processor 502 is also electrically coupled to I/O interface 510 by bus 508 . The network interface 512 is also electrically connected to the processor 502 via the bus bar 508 . Connecting the network interface 512 to the network 514 enables the processor 502 and the computer-readable storage medium 504 to connect to external components via the network 514 . Processor 502 is used to execute computer code 506 encoded in computer-readable storage medium 504 to cause EDA system 500 to be operable to perform some or all of the processes and/or methods. In one or more embodiments, the processor 502 is a central processing unit (CPU), a multiprocessor, a distributed processing system, an application specific integrated circuit (ASIC), and/or Appropriate processing unit.

在一或更多個實施例中,電腦可讀取儲存媒體504為電子、磁性、光學、電磁、紅外及/或半導體系統(或設備或裝置)。例如,電腦可讀取儲存媒體504包括半導體或固態記憶體、磁帶、可移電腦碟片、隨機存取記憶體(random access memory,RAM)、唯讀記憶體(read~only memory,ROM)、剛性磁碟及/或光碟。在使用光碟的一或更多個實施例中,電腦可讀取儲存媒體504包括壓縮光碟~唯讀記憶體(compact disk-read only memory,CD-ROM)、壓縮光碟~讀取/寫入(compact disk-read/write,CD-R/W)及/或數位視訊光碟(digital video disc,DVD)。 In one or more embodiments, computer-readable storage medium 504 is an electronic, magnetic, optical, electromagnetic, infrared, and/or semiconductor system (or device or device). For example, the computer-readable storage medium 504 includes semiconductor or solid-state memory, magnetic tape, removable computer disc, random access memory (RAM), read-only memory (ROM), Rigid disks and/or CDs. In one or more embodiments using optical discs, the computer-readable storage medium 504 includes compact disc-read only memory (CD-ROM), compact disc-read/write ( compact disk-read/write, CD-R/W) and/or digital video disc (DVD).

在一或更多個實施例中,儲存媒體504儲存電腦程式碼506,電腦程式碼用以引發EDA系統500(其中此類執行(至少部分地)表示APR工具)可用於執行所述製程及/或方法中的一部分或全部。在一或更多個實施例中,儲存媒體504亦儲存資訊,此資訊促進執行所述製程及/或方法中的一部分或全部。在一或更多個實施例中,儲存媒體504儲存標準單元庫507,包括對應於本文揭示的單元的此類標準單元。 In one or more embodiments, the storage medium 504 stores computer code 506 for causing the EDA system 500 (wherein such execution (at least in part) to represent an APR tool) may be used to execute the process and/or or some or all of the methods. In one or more embodiments, storage medium 504 also stores information that facilitates performing some or all of the processes and/or methods. In one or more embodiments, storage medium 504 stores standard cell library 507, including such standard cells corresponding to the cells disclosed herein.

EDA系統500包括I/O介面510。將I/O介面510耦接至外部電路系統。在一或更多個實施例中,I/O介面510包括鍵盤、鍵板、滑鼠、追蹤球、追蹤板、螢幕及/或遊標方向鍵,以便傳遞資訊及命令至處理器502。 EDA system 500 includes I/O interface 510 . The I/O interface 510 is coupled to external circuitry. In one or more embodiments, I/O interface 510 includes a keyboard, keypad, mouse, trackball, trackpad, screen, and/or cursor direction keys for communicating information and commands to processor 502 .

EDA系統500亦包括耦接至處理器502的網路介面512。網路介面512允許EDA系統500與網路514通訊,一或更多個其他電腦系統連接至此網路。網路介面512包括無線網路介面,諸如藍芽、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,諸如乙太網路、USB或IEEE-1364。在一或更多個實施例中,在兩個或更多個系統500中實施所述製程及/或方法中的一部分或全部。 The EDA system 500 also includes a network interface 512 coupled to the processor 502 . Network interface 512 allows EDA system 500 to communicate with network 514 to which one or more other computer systems are connected. The network interface 512 includes a wireless network interface such as Bluetooth, WIFI, WIMAX, GPRS or WCDMA; or a wired network interface such as Ethernet, USB or IEEE-1364. In one or more embodiments, some or all of the processes and/or methods are implemented in two or more systems 500 .

EDA系統500用以經由I/O介面510接收資訊。經由I/O介面510接收的資訊包括以下中的一者或更多者:指令、資料、設計規則、標準單元庫及/或由處理器502 處理的其他參數。經由匯流排508將資訊傳輸至處理器502。EDA系統500用以經由I/O介面510接收與UI相關的資訊。在電腦可讀取媒體504中將資訊儲存為使用者介面(user interface,UI)542。 The EDA system 500 is used to receive information via the I/O interface 510 . Information received via I/O interface 510 includes one or more of the following: instructions, data, design rules, standard cell libraries, and/or by processor 502 Additional parameters for processing. Information is transmitted to processor 502 via bus 508 . The EDA system 500 is used for receiving UI-related information through the I/O interface 510 . The information is stored in the computer readable medium 504 as a user interface (UI) 542 .

在一些實施例中,將所述製程及/或方法中的一部分或全部實現為由處理器執行的獨立軟體應用程式。在一些實施例中,將所述製程及/或方法中的一部分或全部實現為額外軟體應用程式的一部分的軟體應用程式。在一些實施例中,將所述製程及/或方法中的一部分或全部實現為軟體應用程式中的插件。在一些實施例中,將所述製程及/或方法中的至少一者實現為APR工具的一部分的軟體應用程式。在一些實施例中,將所述製程及/或方法中的一部分或全部實現為由EDA系統500使用的軟體應用程式。在一些實施例中,使用諸如可購自CADENCE DESIGN SYSTEMS,Inc.的VIRTUOSO®的工具或另一適宜佈局產生工具來產生包括標準單元的佈局圖。 In some embodiments, some or all of the processes and/or methods are implemented as stand-alone software applications executed by a processor. In some embodiments, some or all of the processes and/or methods are implemented as a software application that is part of an additional software application. In some embodiments, some or all of the processes and/or methods are implemented as plug-ins in a software application. In some embodiments, at least one of the processes and/or methods is implemented as a software application that is part of an APR tool. In some embodiments, some or all of the processes and/or methods are implemented as software applications used by the EDA system 500 . In some embodiments, a tool such as VIRTUOSO® available from CADENCE DESIGN SYSTEMS, Inc. or another suitable layout generation tool is used to generate a layout diagram including standard cells.

在一些實施例中,此等製程經實現為儲存在非暫時性電腦可讀取記錄媒體中的程式的功能。非暫時性電腦可讀取記錄媒體的實例包括但不限於外部/可移除及/或內部/內置儲存器或記憶體單元,例如以下中的一者或更多者:光碟,諸如DVD;磁碟,諸如硬碟;半導體記憶體,諸如ROM、RAM、記憶體卡及類似者。 In some embodiments, these processes are implemented as functions of programs stored in a non-transitory computer-readable recording medium. Examples of non-transitory computer-readable recording media include, but are not limited to, external/removable and/or internal/internal storage or memory units, such as one or more of the following: optical discs, such as DVDs; magnetic Disks, such as hard disks; semiconductor memories, such as ROM, RAM, memory cards, and the like.

第6圖係根據一實施例的IC製造系統600及與其相關聯的IC製造流程的方塊圖。 FIG. 6 is a block diagram of an IC manufacturing system 600 and an IC manufacturing flow associated therewith, according to an embodiment.

在一些實施例中,基於佈局圖,使用IC製造系統600製造以下的至少一者:(A)一或更多個半導體遮罩或(B)半導體積體電路的層中的至少一個部件。 In some embodiments, based on the layout, IC fabrication system 600 is used to fabricate at least one of: (A) one or more semiconductor masks or (B) at least one component of a layer of a semiconductor integrated circuit.

在第6圖中,IC製造系統600包括在設計、開發及製造循環及/或與製造IC裝置660相關的服務中彼此互動的實體,諸如設計室620、遮罩室630及IC製造商/製造者(「fab」)650。系統600中的實體藉由通訊網路連接。在一些實施例中,通訊網路為單個網路。在一些實施例中,通訊網路為多種不同的網路,諸如內部網路及網際網路。通訊網路包括有線及/或無線通訊通道。每個實體與其他實體中的一者或更多者互動並向其他實體中的一者或更多者提供服務及/或接收來自其他實體中的一者或更多者的服務。在一些實施例中,設計室620、遮罩室630及IC晶圓廠650中的兩者或更多者由單個較大公司所有。在一些實施例中,設計室620、遮罩室630及IC晶圓廠650中的兩者或更多者共存於共同設施中且使用共同資源。 In FIG. 6, IC manufacturing system 600 includes entities such as design room 620, mask room 630, and IC manufacturers/fabs that interact with each other in the design, development, and manufacturing cycle and/or services related to manufacturing IC devices 660 ("fab") 650. Entities in system 600 are connected by a communication network. In some embodiments, the communication network is a single network. In some embodiments, the communication network is a variety of different networks, such as an intranet and the Internet. Communication networks include wired and/or wireless communication channels. Each entity interacts with and provides services to and/or receives services from one or more of the other entities. In some embodiments, two or more of design room 620, mask room 630, and IC fab 650 are owned by a single larger company. In some embodiments, two or more of design room 620, mask room 630, and IC fab 650 coexist in a common facility and use common resources.

設計室(或設計團隊)620產生IC設計佈局圖622。IC設計佈局圖622包括為IC裝置660所設計的各個幾何圖案。幾何圖案對應於金屬、氧化物或半導體層的圖案,此等層構成待製造的IC裝置660的各個部件。各個層組合以形成各個IC特徵。例如,IC設計佈局圖622的一部分包括待形成於半導體基板(諸如矽晶圓)中的各個IC特徵,諸如主動區域、閘電極、源極與汲極、層間互連的導電線或通孔及用於黏結襯墊的開口,以及安置在半導體基板上的各個材 料層。設計室620實施適宜設計程序以形成IC設計佈局圖622。設計程序包括邏輯設計、實體設計或佈局與佈線中的一者或更多者。在具有幾何圖案的資訊的一或更多個資料檔案中呈現IC設計佈局圖622。例如,IC設計佈局圖622可以GDSII檔案格式或DFII檔案格式表示。 A design house (or design team) 620 generates an IC design layout 622 . IC design layout 622 includes various geometric patterns designed for IC device 660 . The geometric pattern corresponds to the pattern of metal, oxide, or semiconductor layers that make up the various components of the IC device 660 to be fabricated. The individual layers combine to form individual IC features. For example, a portion of the IC design layout 622 includes various IC features to be formed in a semiconductor substrate, such as a silicon wafer, such as active regions, gate electrodes, source and drain electrodes, conductive lines or vias for interlayer interconnects, and Openings for bond pads, and individual materials placed on semiconductor substrates material layer. Design office 620 implements appropriate design procedures to form IC design layout 622 . Design programs include one or more of logical design, physical design, or place and route. The IC design layout 622 is presented in one or more data files with geometric pattern information. For example, the IC design layout 622 may be represented in the GDSII file format or the DFII file format.

遮罩室630包括資料準備632及遮罩製造644。遮罩室630使用IC設計佈局圖622來製造一或更多個遮罩645以用於根據IC設計佈局圖622製造IC裝置660的各個層。遮罩室630執行遮罩資料準備632,其中將IC設計佈局圖622轉換為代表性資料檔案(representative data file,RDF)。遮罩資料準備632將RDF提供給遮罩製造644。遮罩製造644包括遮罩寫入器。遮罩寫入器將RDF轉換為基板上的影像,諸如遮罩(主光罩)645或半導體晶圓653。設計佈局圖622由遮罩資料準備632操縱,以符合遮罩寫入器的特定特性及/或IC晶圓廠650的需求。在第6圖中,將遮罩資料準備632及遮罩製造644圖示為單獨元件。在一些實施例中,遮罩資料準備632及遮罩製造644可統稱為遮罩資料準備。 Mask chamber 630 includes data preparation 632 and mask fabrication 644 . Mask chamber 630 uses IC design floorplan 622 to fabricate one or more masks 645 for fabricating various layers of IC device 660 according to IC design floorplan 622 . Mask room 630 performs mask data preparation 632, in which IC design layout 622 is converted into a representative data file (RDF). Mask data preparation 632 provides RDF to mask fabrication 644 . Mask fabrication 644 includes a mask writer. The mask writer converts the RDF to an image on a substrate, such as a mask (master mask) 645 or semiconductor wafer 653 . The design layout 622 is manipulated by the mask data preparation 632 to meet the specific characteristics of the mask writer and/or the needs of the IC fab 650. In Figure 6, mask data preparation 632 and mask fabrication 644 are shown as separate elements. In some embodiments, mask data preparation 632 and mask fabrication 644 may be collectively referred to as mask data preparation.

在一些實施例中,遮罩資料準備632包括光學鄰近校正(optical proximity correction,OPC),此OPC使用微影增強技術來補償影像誤差,諸如可由繞射、干擾、其他製程效應及類似者引起的影像誤差。OPC調整IC設計佈局圖862。在一些實施例中,遮罩資料準備632包括進一步的解析度增強技術(resolution enhancement techniques,RET),諸如軸外照射、子解析度輔助特徵、相移遮罩、其他適宜技術及類似者或上述的組合。在一些實施例中,亦使用反向微影技術(inverse lithography technology,ILT),此技術將OPC處理為反向成像問題。 In some embodiments, mask data preparation 632 includes optical proximity correction (OPC), which uses lithography enhancement techniques to compensate for image errors, such as may be caused by diffraction, interference, other process effects, and the like image error. OPC Adjustment IC Design Layout 862. In some embodiments, mask data preparation 632 includes further resolution enhancement techniques techniques, RET), such as off-axis illumination, sub-resolution assist features, phase-shift masks, other suitable techniques, and the like or combinations of the above. In some embodiments, inverse lithography technology (ILT) is also used, which treats OPC as an inverse imaging problem.

在一些實施例中,遮罩資料準備632包括遮罩規則檢查器(mask rule checker,MRC),此MRC利用一組遮罩產生規則檢查在OPC中經歷製程的IC設計佈局圖622,此組遮罩產生規則包含某些幾何及/或連接限制以確保足夠的邊限,以便考慮到半導體製造製程中的可變性及類似者。在一些實施例中,MRC改良IC設計佈局圖622以補償遮罩製造644期間的限制,此舉可使由OPC執行的改良的一部分失效以便滿足遮罩產生規則。 In some embodiments, the mask data preparation 632 includes a mask rule checker (MRC) that utilizes a set of mask generation rules to check the IC design layout 622 going through the process in OPC. Mask generation rules include certain geometric and/or connection constraints to ensure sufficient margins to account for variability in semiconductor manufacturing processes and the like. In some embodiments, the MRC refines the IC design layout 622 to compensate for constraints during mask fabrication 644, which may disable a portion of the refinement performed by OPC in order to meet mask generation rules.

在一些實施例中,遮罩資料準備632包括微影製程檢查(lithography process checking,LPC),此LPC模擬將由IC晶圓廠650實施以製造IC裝置660的處理。LPC基於IC設計佈局圖622模擬此處理以產生模擬製造元件,諸如IC裝置660。LPC模擬中的處理參數可包括與IC製造循環的各個製程相關聯的參數,與用於製造IC的工具相關聯的參數,及/或製造製程的其他態樣。LPC考慮各個因數,諸如空間影像對比度、焦點深度(depth of focus,DOF)、遮罩誤差增強因數(mask error enhancement factor,MEEF)、其他適宜因數及類似者或上述的組合。在一些實施例中,在LPC已產生模擬製造元件之後,若模擬 元件在形狀上不夠接近於滿足設計規則,則重複OPC及/或MRC以進一步細化IC設計佈局圖622。 In some embodiments, mask data preparation 632 includes lithography process checking (LPC), which simulates the process to be performed by IC fab 650 to manufacture IC device 660 . The LPC simulates this process based on the IC design floorplan 622 to produce a simulated fabrication element, such as the IC device 660 . Process parameters in the LPC simulation may include parameters associated with various processes of the IC manufacturing cycle, parameters associated with the tools used to manufacture the IC, and/or other aspects of the manufacturing process. The LPC considers various factors, such as aerial image contrast, depth of focus (DOF), mask error enhancement factor (MEEF), other suitable factors, and the like or combinations thereof. In some embodiments, after the LPC has produced the simulated fabrication elements, if the simulated If the components are not close enough in shape to meet the design rules, the OPC and/or MRC are repeated to further refine the IC design layout 622 .

應理解,出於清楚目的,已簡化遮罩資料準備632的上文描述。在一些實施例中,資料準備632包括額外特徵,諸如邏輯運算(logic operation,LOP)以根據製造規則改良IC設計佈局圖622。另外,可以各種不同次序執行在資料準備632期間應用於IC設計佈局圖622的製程。 It should be understood that the above description of mask data preparation 632 has been simplified for clarity. In some embodiments, data preparation 632 includes additional features, such as logic operations (LOPs), to refine IC design layout 622 according to manufacturing rules. Additionally, the processes applied to IC design floorplan 622 during data preparation 632 may be performed in various different orders.

在遮罩資料準備632之後且在遮罩製造644期間,基於經改良之IC設計佈局圖622製造遮罩645或一組遮罩645。在一些實施例中,遮罩製造644包括基於IC設計佈局圖622執行一或更多次微影曝光。在一些實施例中,使用電子束(電子束)或多個電子束的機構以基於經改良的IC設計佈局圖622在遮罩(光罩或主光罩)645上形成圖案。可以各個技術形成遮罩645。在一些實施例中,使用二元技術形成遮罩645。在一些實施例中,遮罩圖案包括不透明區域及透明區域。用於暴露已塗覆於晶圓上的影像敏感材料層(例如,光阻劑)的輻射束(諸如紫外線(ultraviolet,UV)束)被不透明區域阻擋及透射穿過透明區域。在一個實例中,遮罩645的二元遮罩版本包括透明基板(例如,熔融石英)及塗覆於二元遮罩的不透明區域中的不透明材料(例如,鉻)。在另一實例中,使用相移技術形成遮罩645。在遮罩645的相移遮罩(phase shift mask,PSM)版本中,在相移遮罩上形成的圖案中的各個特徵用以具有適宜的相位差來增強解析度與成像品質。在各個實例中,相移遮罩可 為衰減的PSM或交替的PSM。在各種製程中使用由遮罩製造644產生的遮罩。例如,在離子佈植製程中使用此類遮罩以在半導體晶圓653中形成各個摻雜區域,在蝕刻製程中使用以在半導體晶圓653中形成各個蝕刻區域,及/或在其他適宜製程中使用。 After mask data preparation 632 and during mask fabrication 644, a mask 645 or set of masks 645 is fabricated based on the modified IC design layout 622. In some embodiments, mask fabrication 644 includes performing one or more lithographic exposures based on IC design layout 622 . In some embodiments, an electron beam (electron beam) or mechanisms of multiple electron beams are used to form a pattern on the mask (reticle or master) 645 based on the modified IC design layout 622 . Mask 645 may be formed by various techniques. In some embodiments, the mask 645 is formed using a binary technique. In some embodiments, the mask pattern includes opaque regions and transparent regions. A beam of radiation, such as an ultraviolet (UV) beam, used to expose a layer of image-sensitive material (eg, photoresist) that has been coated on the wafer is blocked by the opaque regions and transmitted through the transparent regions. In one example, the binary mask version of mask 645 includes a transparent substrate (eg, fused silica) and an opaque material (eg, chrome) coated in the opaque regions of the binary mask. In another example, the mask 645 is formed using phase shifting techniques. In the phase shift mask (PSM) version of the mask 645, each feature in the pattern formed on the phase shift mask is used to have a suitable phase difference to enhance resolution and imaging quality. In various instances, the phase shift mask may is decaying PSM or alternating PSM. The masks produced by the mask fabrication 644 are used in various processes. For example, such masks are used in an ion implant process to form various doped regions in semiconductor wafer 653, in an etch process to form various etch regions in semiconductor wafer 653, and/or in other suitable processes used in.

IC晶圓廠650包括晶圓製造652。IC晶圓廠650為IC製造業務,包括用於製造各種不同IC產品的一或更多個製造設施。在一些實施例中,IC晶圓廠650為半導體工廠。例如,可能存在用於複數個IC產品的前段製造的製造設施(前段製程(front-end-of-line,FEOL)製造),而第二製造設施可提供用於IC產品的互連及封裝的後段製造(後段製程(back-end-of-line,BEOL)製造),以及第三製造設施可提供針對工廠業務的其他服務。 IC fab 650 includes wafer fabrication 652 . IC fab 650 is an IC manufacturing operation that includes one or more manufacturing facilities for manufacturing a variety of different IC products. In some embodiments, IC fab 650 is a semiconductor factory. For example, there may be a fabrication facility for the front-end fabrication of a plurality of IC products (front-end-of-line (FEOL) fabrication), while a second fabrication facility may provide interconnection and packaging for IC products. Back-end manufacturing (back-end-of-line (BEOL) manufacturing), as well as tertiary manufacturing facilities, may provide other services for factory operations.

IC晶圓廠650使用由遮罩室630製造的遮罩645來製造IC裝置660。因此,IC晶圓廠650至少間接地使用IC設計佈局圖622來製造IC裝置660。在一些實施例中,半導體晶圓653由IC晶圓廠650使用遮罩645來製造以形成IC裝置660。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖622執行一或更多次微影曝光。半導體晶圓653包括矽基板或其上形成有材料層的其他適宜基板。半導體晶圓653進一步包括(在後續製造步驟中形成的)各個摻雜區域、介電特徵、多位準互連及類似者中之一者或更多者。 IC fab 650 uses mask 645 fabricated by mask chamber 630 to manufacture IC device 660 . Thus, IC fab 650 uses IC design floorplan 622 at least indirectly to manufacture IC device 660 . In some embodiments, semiconductor wafer 653 is fabricated by IC foundry 650 using mask 645 to form IC device 660 . In some embodiments, IC fabrication includes performing one or more lithographic exposures based at least indirectly on the IC design floorplan 622 . Semiconductor wafer 653 includes a silicon substrate or other suitable substrate on which material layers are formed. Semiconductor wafer 653 further includes one or more of various doped regions (formed in subsequent fabrication steps), dielectric features, multi-level interconnects, and the like.

關於積體電路(IC)製造系統(例如,第6圖的系統600)及與其相關聯的IC製造流程的細節可例如在 2016年2月9日授權的美國專利案第9,256,709號、2015年10月1日公開的美國授權前公開案第20150278429號、2014年2月6日公開的美國授權前公開案第20140040838號及2007年8月21日授權的美國專利案第7,260,442號中找到,此等申請案的每一者以引用的方式全部併入本文。 Details regarding an integrated circuit (IC) manufacturing system (eg, system 600 of FIG. 6 ) and the IC manufacturing process associated therewith can be found, for example, at US Patent No. 9,256,709 issued on February 9, 2016, US Pre-Grant Publication No. 20150278429 published on October 1, 2015, US Pre-Grant Publication No. 20140040838 and 2007 published on February 6, 2014 7,260,442, issued August 21, 2008, each of which is incorporated herein by reference in its entirety.

根據本案的一實施例是關於一種產生積體電路佈局圖的方法,方法包括在第一列中佈置具有第一單元高度的複數個第一單元;在鄰接第一列的第二列中佈置具有第二單元高度的複數個第二單元,第二單元高度小於第一單元高度,且第一列及第二列沿第一方向延伸並相對於佈線網格佈置,佈線網格包含沿第一方向延伸的複數個第一佈線軌跡及沿第二方向延伸的複數個第二佈線軌跡,第二方向垂直於第一方向;在複數個第一單元的每個第一單元內放置複數個第一單元引腳,複數個第一單元引腳中的每一者沿複數個第二佈線軌跡的相應第二佈線軌跡延伸;以及,在複數個第二單元的每個第二單元中的複數個選定通孔佈局點上方放置複數個第二單元引腳,複數個第二單元引腳中的至少一個第二單元引腳沿複數個第二佈線軌跡的相應第二佈線軌跡延伸跨過複數個第二單元的相應第二單元的邊界且延伸至鄰接相應第二單元的複數個第一單元的相應第一單元中。在一些實施例中,方法進一步包括在複數個第一佈線軌跡與複數個第二佈線軌跡之間的相應交點處識別複數個第二單元的每個第二單元中的複數個通孔佈局點。複數個通孔佈局點為用於放置複數個第二單元引腳的可能位置。在一些實施例中, 方法進一步包括自針對複數個第二單元的每個第二單元自複數個通孔佈局點識別複數個選定通孔佈局點,使得在複數個選定通孔佈局點上方放置複數個第二單元引腳之後,相同佈線軌跡上的相鄰第一單元引腳及第二單元引腳的面對端以一距離分開,此距離等於或大於根據一組設計規則的最小端到端間隔。在一些實施例中,放置複數個第一單元引腳中的每一者,使得複數個第一單元引腳中的每一者的相對端終止於複數個第一單元的相應第一單元的頂部及底部邊界內。在一些實施例中,放置複數個第二單元引腳中的至少一個第二單元引腳,使得複數個第二單元引腳中的至少一個第二單元引腳的一端終止於複數個第二單元的相應第二單元的頂部及底部邊界內,且複數個第二單元引腳中的至少一個第二單元引腳的相對端終止於複數個第一單元的相應第一單元內,此複數個第一單元的相應第一單元鄰接複數個第二單元的相應第二單元。在一些實施例中,放置複數個第二單元引腳中的至少另一第二單元引腳,使得複數個第二單元引腳中的至少另一第二單元引腳的相對端終止於複數個第二單元的相應第二單元的頂部及底部邊界處。在一些實施例中,方法進一步包括沿複數個第二佈線軌跡的相應第二佈線軌跡拉長複數個第一單元引腳中的至少一個第一單元引腳以跨過至少一個第一單元引腳所在的相應第一單元的邊界。在一些實施例中,方法進一步包括沿複數個第二佈線軌跡的相應第二佈線軌跡拉長複數個第二單元引腳中的至少一個第二單元引腳。複數個第二單元引腳中的至少一個拉長 第二單元引腳及與至少一個拉長第二單元引腳相同的第二佈線軌跡上的相鄰第一單元引腳的面對端之間的距離等於或大於根據一組設計規則的最小端到端間隔。在一些實施例中,方法進一步包括針對複數個第一單元沿複數個第一佈線軌跡的第一佈線軌跡的第一集合放置複數個第一導電線,且針對複數個第二單元沿複數個第一佈線軌跡的第一佈線軌跡的第二集合放置複數個第二導電線。在一些實施例中,方法進一步包括放置複數個第一通孔以將複數個第一導電線與複數個第一單元引腳耦接。在一些實施例中,方法進一步包括放置複數個第二通孔以將複數個第二導電線與複數個第二單元引腳耦接。 According to an embodiment of the present application, it is directed to a method of generating a layout of an integrated circuit, the method comprising arranging a plurality of first cells having a first cell height in a first column; arranging in a second column adjacent to the first column A plurality of second cells of a second cell height, the second cell height being less than the first cell height, and the first and second columns extending along a first direction and arranged relative to a wiring grid, the wiring grid including along the first direction A plurality of first wiring traces extending and a plurality of second wiring traces extending along a second direction, the second direction being perpendicular to the first direction; placing a plurality of first cells in each of the plurality of first cells pins, each of the plurality of first cell pins extending along respective second routing traces of the plurality of second routing traces; and the plurality of selected pass-throughs in each second cell of the plurality of second cells A plurality of second cell pins are placed over the hole layout points, at least one second cell pin of the plurality of second cell pins extending across the plurality of second cells along respective second routing traces of the plurality of second routing traces The boundaries of the respective second cells of and extend into respective first cells of the plurality of first cells adjacent to the respective second cells. In some embodiments, the method further includes identifying a plurality of via placement points in each second cell of the plurality of second cells at respective intersections between the plurality of first routing traces and the plurality of second routing traces. The plurality of via placement points are possible locations for placing the plurality of second cell pins. In some embodiments, The method further includes identifying the plurality of selected via placement points from the plurality of via placement points for each second cell of the plurality of second cells such that the plurality of second cell pins are placed over the plurality of selected via placement points Thereafter, facing ends of adjacent first and second cell pins on the same routing trace are separated by a distance equal to or greater than a minimum end-to-end separation according to a set of design rules. In some embodiments, each of the plurality of first cell pins is placed such that opposite ends of each of the plurality of first cell pins terminate on top of a corresponding first cell of the plurality of first cells and within the bottom boundary. In some embodiments, at least one second cell pin of the plurality of second cell pins is positioned such that one end of the at least one second cell pin of the plurality of second cell pins terminates in the plurality of second cell pins within the top and bottom boundaries of the respective second cells, and the opposite ends of at least one second cell pin of the plurality of second cell pins terminate within a corresponding first cell of the plurality of first cells, the plurality of first cells A corresponding first cell of a cell is adjacent to a corresponding second cell of a plurality of second cells. In some embodiments, at least another second cell pin of the plurality of second cell pins is positioned such that opposite ends of the at least another second cell pin of the plurality of second cell pins terminate in the plurality of second cell pins At the top and bottom boundaries of the respective second cells of the second cells. In some embodiments, the method further includes elongating at least one first cell lead of the plurality of first cell pins to span the at least one first cell pin along respective second routing traces of the plurality of second routing traces The boundary of the corresponding first cell where it is located. In some embodiments, the method further includes elongating at least one second cell lead of the plurality of second cell pins along respective second routing traces of the plurality of second routing traces. At least one of the plurality of second unit pins is elongated The distance between the second cell pin and the facing end of the adjacent first cell pin on the same second routing trace as the at least one elongated second cell pin is equal to or greater than the minimum end according to a set of design rules end-to-end interval. In some embodiments, the method further includes placing the plurality of first conductive lines along the first set of the first wiring traces of the plurality of first wiring traces for the plurality of first cells, and along the plurality of the first wiring traces for the plurality of second cells A second set of first routing traces of a routing trace places a plurality of second conductive lines. In some embodiments, the method further includes placing the plurality of first vias to couple the plurality of first conductive lines with the plurality of first cell pins. In some embodiments, the method further includes placing a plurality of second vias to couple the plurality of second conductive lines with the plurality of second cell pins.

根據本案的另一實施例是關於一種產生積體電路佈局圖的方法,包括在複數個第一列中佈置具有第一單元高度的複數個第一單元;在複數個第二列中佈置具有第二單元高度的複數個第二單元,第二單元高度小於第一單元高度。根據佈線網格佈置複數個第一列及複數個第二列,佈線網格包含在第一方向上延伸的複數個第一佈線軌跡及在第二方向上延伸的複數個第二佈線軌跡,第二方向垂直於第一方向;在複數個第一單元的每個第一單元中的複數個選定第一通孔佈局點上方放置複數個第一單元引腳,複數個第一單元引腳的每個第一單元引腳沿複數個第二佈線軌跡的相應第二佈線軌跡延伸且具有兩端皆終止於複數個第一單元的相應第一單元的頂部及底部邊界內;以及,在複數個第二單元的每個第二單元中的複數個選定通孔佈局點上方放置複 數個第二單元引腳,複數個第二單元引腳中的至少一個第二單元引腳沿複數個第二佈線軌跡的相應第二佈線軌跡延伸跨過複數個第二單元的相應第二單元的邊界且延伸至鄰接相應第二單元的複數個第一單元的相應第一單元中。在一些實施例中,方法進一步包括識別複數個第一單元的每個第一單元內的複數個第一通孔佈局點及複數個第二單元的每個第二單元內的複數個第二通孔佈局點,複數個第一通孔佈局點及複數個第二通孔佈局點中的每一者處於複數個第一佈線軌跡的相應第一佈線軌跡與複數個第二佈線軌跡的相應第二佈線軌跡的交點處。在一些實施例中,方法進一步包括自複數個第一通孔佈局點識別複數個選定第一通孔佈局點及自複數個第二通孔佈局點識別複數個選定第二通孔佈局點,使得在複數個選定第一通孔佈局點上放置複數個第一單元引腳及在複數個選定第二通孔佈局點上放置複數個第二單元引腳之後,沿相同第二佈線軌跡放置的相鄰第一單元引腳及第二單元引腳的面對端以一距離分開,此距離等於或大於根據一組設計規則的最小端對端間隔。在一些實施例中,放置複數個第一單元引腳包括放置具有一長度的複數個第一單元引腳,此長度等於或大於根據一組設計規則的最小長度。在一些實施例中,放置複數個第二單元引腳包括放置具有一長度的複數個第二單元引腳,此長度小於根據一組設計規則的最小長度。在一些實施例中,方法進一步包括基於佈局圖製造積體電路。 Another embodiment according to the present application relates to a method of generating an integrated circuit layout diagram, comprising arranging a plurality of first cells having a first cell height in a plurality of first columns; arranging a plurality of second columns having a first cell height; A plurality of second units with a height of two units, the height of the second unit is smaller than the height of the first unit. A plurality of first columns and a plurality of second columns are arranged according to a wiring grid, the wiring grid includes a plurality of first wiring traces extending in the first direction and a plurality of second wiring traces extending in the second direction, the first The two directions are perpendicular to the first direction; a plurality of first unit pins are placed over a plurality of selected first through hole layout points in each of the plurality of first units, and each of the plurality of first unit pins the first cell pins extend along respective second routing traces of the plurality of second routing traces and have both ends terminated within the top and bottom boundaries of the respective first cells of the plurality of first cells; and, in the plurality of the first cells Place a complex over a plurality of selected via placement points in each second cell of the two-cell a plurality of second cell pins, at least one second cell pin of the plurality of second cell pins extending across a corresponding second cell of the plurality of second cells along a corresponding second wiring trace of the plurality of second wiring traces and extend into respective first cells of the plurality of first cells adjacent to the respective second cells. In some embodiments, the method further includes identifying a plurality of first via placement points within each first cell of the plurality of first cells and a plurality of second via placement points within each second cell of the plurality of second cells A hole placement point, each of the plurality of first via placement points and the plurality of second via placement points is at a corresponding first wiring trace of the plurality of first wiring traces and a corresponding second one of the plurality of second wiring traces At the intersection of the routing traces. In some embodiments, the method further includes identifying a plurality of selected first via layout points from the plurality of first via layout points and identifying a plurality of selected second via layout points from the plurality of second via layout points, such that Phases placed along the same second routing trace after placing the plurality of first cell pins on the plurality of selected first via placement points and placing the plurality of second cell pins on the plurality of selected second via placement points The facing ends of the adjacent first and second cell leads are separated by a distance equal to or greater than a minimum end-to-end separation according to a set of design rules. In some embodiments, placing the plurality of first cell pins includes placing the plurality of first cell pins having a length equal to or greater than a minimum length according to a set of design rules. In some embodiments, placing the plurality of second cell pins includes placing the plurality of second cell pins having a length that is less than a minimum length according to a set of design rules. In some embodiments, the method further includes fabricating the integrated circuit based on the layout.

根據本案的另一實施例是關於一種用於處理積體電路佈局圖的系統。系統包括至少一個處理器及連接至至少一個處理器的電腦可讀取儲存媒體。至少一個處理器用以執行儲存在電腦可讀取儲存媒體上的指令,以在複數個第一列中佈置具有第一單元高度的複數個第一單元;至少一個處理器用以執行儲存在電腦可讀取儲存媒體上的指令,以在複數個第二列中進一步佈置具有第二單元高度的複數個第二單元,第二單元高度小於第一單元高度,根據佈線網格佈置複數個第一列及複數個第二列,佈線網格包含在第一方向上延伸的複數個第一佈線軌跡及在第二方向上延伸的複數個第二佈線軌跡,第二方向垂直於第一方向;至少一個處理器用以執行儲存在電腦可讀取儲存媒體上的指令,以在複數個第一單元的每個第一單元內放置複數個第一單元引腳,複數個第一單元引腳中的每一者沿複數個第二佈線軌跡的相應第二佈線軌跡延伸;至少一個處理器用以執行儲存在電腦可讀取儲存媒體上的指令,以在複數個第一佈線軌跡與複數個第二佈線軌跡之間的複數個交點的相應交點處進一步識別複數個第二單元的每個第二單元中的複數個通孔佈局點;至少一個處理器用以執行儲存在電腦可讀取儲存媒體上的指令,以自複數個通孔佈局點進一步選擇通孔佈局點子集,其中通孔佈局點子集對應於複數個第二佈線軌跡中的一組第二佈線軌跡,沿此組第二佈線軌跡放置針對複數個第二單元的每個第二單元的複數個第二單元引腳,若複數個第一單元的一對第一單元中沒有一個或僅一個第一單元包括第一單 元引腳,此等第一單元緊鄰複數個第二單元的相應第二單元的相對邊界,則可在與複數個第一單元引腳的相鄰第一單元引腳相同的第二佈線軌跡上放置複數個第二單元引腳的第二單元引腳;至少一個處理器用以執行儲存在電腦可讀取儲存媒體上的指令,以在通孔佈局點子集上方放置複數個第二單元引腳。在一些實施例中,複數個第一單元引腳的每個第一單元引腳具有終止於複數個第一單元的相應第一單元的邊界內的相對端。在一些實施例中,複數個第二單元引腳的至少一個第二單元引腳具有延伸跨過相應第一單元與第二單元之間的相應共同邊界的一端。 Another embodiment in accordance with the present case relates to a system for processing an integrated circuit layout diagram. The system includes at least one processor and a computer-readable storage medium coupled to the at least one processor. At least one processor is used to execute the instructions stored on the computer-readable storage medium to arrange a plurality of first cells having a first cell height in a plurality of first columns; at least one processor is used to execute the instructions stored in the computer-readable storage medium. Fetching an instruction on the storage medium to further arrange a plurality of second cells having a second cell height in a plurality of second columns, the second cell height being smaller than the first cell height, arranging the plurality of first columns and a plurality of second columns, the wiring grid comprising a plurality of first wiring traces extending in a first direction and a plurality of second wiring traces extending in a second direction, the second direction being perpendicular to the first direction; at least one processing a device for executing instructions stored on a computer-readable storage medium to place a plurality of first cell pins, each of the plurality of first cell pins, within each first cell of the plurality of first cells extending along respective second wiring traces of the plurality of second wiring traces; at least one processor for executing instructions stored on the computer-readable storage medium between the plurality of first wiring traces and the plurality of second wiring traces A plurality of through-hole layout points in each of the plurality of second units are further identified at corresponding intersection points of the plurality of second units; at least one processor is used for executing instructions stored on the computer-readable storage medium to automatically The plurality of via placement points further selects a subset of via placement points, wherein the subset of via placement points corresponds to a set of second routing traces of the plurality of second routing traces along which placement of the plurality of second routing traces A plurality of second unit pins of each second unit of the unit, if none or only one first unit of a pair of first units of the plurality of first units includes the first unit Meta pins, such first cells immediately adjacent to opposite boundaries of corresponding second cells of the plurality of second cells, may be on the same second routing trace as the adjacent first cell pins of the plurality of first cell pins A plurality of second cell pins are placed on the second cell pins; at least one processor is configured to execute instructions stored on a computer-readable storage medium to place the plurality of second cell pins over the subset of through-hole layout points. In some embodiments, each first cell pin of the plurality of first cell pins has an opposite end terminating within a boundary of a corresponding first cell of the plurality of first cells. In some embodiments, at least one second cell pin of the plurality of second cell pins has one end extending across the respective common boundary between the respective first cell and the second cell.

前文概述了數個實施例的特徵,使得熟習此項技術者可更好地理解本案的一實施例的態樣。熟習此項技術者應瞭解,可易於使用本案的一實施例作為設計或修改其他製程及結構的基礎以便實施本文所介紹的實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本案的一實施例的精神及範疇,並且可在不脫離本案的一實施例的精神及範疇的情況下在本文中實施各種變化、取代及修改。 The foregoing outlines features of several embodiments so that those skilled in the art may better understand aspects of an embodiment of the present application. Those skilled in the art will appreciate that one embodiment of the present disclosure may readily be used as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments described herein. Those skilled in the art should also realize that such equivalent structures do not depart from the spirit and scope of an embodiment of the present invention, and that various types of structures may be implemented herein without departing from the spirit and scope of an embodiment of the present invention. Changes, Substitutions and Modifications.

100:產生積體電路佈局圖方法 100: Method of generating an integrated circuit layout diagram

102、104、106、108、110、112:步驟 102, 104, 106, 108, 110, 112: Steps

Claims (10)

一種產生積體電路佈局圖的方法,該方法包含以下步驟:在一第一列中佈置具有一第一單元高度的複數個第一單元;在鄰接該第一列的一第二列中佈置具有一第二單元高度的複數個第二單元,其中該第二單元高度小於該第一單元高度,且該第一列及該第二列沿一第一方向延伸並相對於一佈線網格佈置,該佈線網格包含沿該第一方向延伸的複數個第一佈線軌跡及沿一第二方向延伸的複數個第二佈線軌跡,該第二方向垂直於該第一方向;在該些第一單元的每個第一單元內放置複數個第一單元引腳,其中該些第一單元引腳中的每一者沿該些第二佈線軌跡的一相應第二佈線軌跡延伸;以及在該些第二單元的每個第二單元中的複數個選定通孔佈局點上方放置複數個第二單元引腳,其中該些第二單元引腳中的至少一個第二單元引腳沿該些第二佈線軌跡的一相應第二佈線軌跡延伸跨過該些第二單元的一相應第二單元的一邊界且延伸至鄰接該相應第二單元的該些第一單元的一相應第一單元中。 A method of generating an integrated circuit layout, the method comprising the steps of: arranging a plurality of first cells having a first cell height in a first column; arranging in a second column adjacent to the first column a plurality of second cells of a second cell height, wherein the second cell height is smaller than the first cell height, and the first row and the second row extend along a first direction and are arranged relative to a wiring grid, The wiring grid includes a plurality of first wiring traces extending along the first direction and a plurality of second wiring traces extending along a second direction, the second direction being perpendicular to the first direction; in the first cells A plurality of first cell pins are placed within each first cell of the first cell, wherein each of the first cell pins extends along a corresponding second wiring trace of the second wiring traces; and A plurality of second cell pins are placed over the plurality of selected via layout points in each second cell of the two cells, wherein at least one of the second cell pins is routed along the second cell pins A respective second wiring trace of the trace extends across a boundary of a respective second cell of the second cells and into a respective first cell of the first cells adjacent to the respective second cell. 如請求項1所述之方法,進一步包括:在該些第一佈線軌跡與該些第二佈線軌跡之間的相應多個交點處識別多個第二單元的每個第二單元中的多個通 孔佈局點,其中該些通孔佈局點為用於放置該些第二單元引腳的多個可能位置。 The method of claim 1, further comprising: identifying a plurality of each of the plurality of second cells at respective plurality of intersections between the first wiring traces and the second wiring traces Pass hole layout points, wherein the through hole layout points are a plurality of possible locations for placing the second unit pins. 如請求項1所述之方法,進一步包括:沿該些第二佈線軌跡的一相應第二佈線軌跡拉長該些第一單元引腳中的至少一個第一單元引腳以跨過該至少一個第一單元引腳所在的一相應第一單元的一邊界。 The method of claim 1, further comprising: elongating at least one of the first cell pins to span the at least one along a corresponding second one of the second wiring traces A boundary of a corresponding first cell where the first cell pin is located. 如請求項1所述之方法,進一步包括:沿該些第二佈線軌跡的一相應第二佈線軌跡拉長該些第二單元引腳中的至少一個第二單元引腳,其中該些第二單元引腳中的該至少一個拉長第二單元引腳及與該至少一個拉長第二單元引腳相同的一第二佈線軌跡上的一相鄰第一單元引腳的面對端之間的距離等於或大於根據一組設計規則的一最小端到端間隔。 The method of claim 1, further comprising: elongating at least one of the second cell pins along a corresponding second wiring trace of the second wiring traces, wherein the second cell pins Between the at least one elongated second unit lead among the unit leads and the facing end of an adjacent first unit lead on the same second wiring trace as the at least one elongated second unit lead The distance is equal to or greater than a minimum end-to-end separation according to a set of design rules. 如請求項1所述之方法,進一步包括:針對該些第一單元沿該些第一佈線軌跡的第一佈線軌跡的一第一集合放置多個第一導電線,且針對該些第二單元沿該些第一佈線軌跡的第一佈線軌跡的一第二集合放置多個第二導電線。 The method of claim 1, further comprising: placing a plurality of first conductive lines for the first cells along a first set of first wiring traces of the first wiring traces, and for the second cells A plurality of second conductive lines are placed along a second set of first wiring traces of the first wiring traces. 一種產生一積體電路的一佈局圖的方法,包括: 在多個第一列中佈置具有一第一單元高度的多個第一單元;在多個第二列中佈置具有一第二單元高度的多個第二單元,該第二單元高度小於該第一單元高度,其中根據一佈線網格佈置該些第一列及該些第二列,該佈線網格包含在一第一方向上延伸的多個第一佈線軌跡及在一第二方向上延伸的多個第二佈線軌跡,該第二方向垂直於該第一方向;在該些第一單元的每個第一單元中的多個選定第一通孔佈局點上方放置多個第一單元引腳,其中該些第一單元引腳的每個第一單元引腳沿該些第二佈線軌跡的一相應第二佈線軌跡延伸且具有兩端皆終止於該些第一單元的一相應第一單元的頂部及底部邊界內;以及在該些第二單元的每個第二單元中的多個選定第二通孔佈局點上方放置多個第二單元引腳,其中該些第二單元引腳中的至少一個第二單元引腳沿該些第二佈線軌跡的一相應第二佈線軌跡延伸跨過該些第二單元的一相應第二單元的一邊界且延伸至鄰接該相應第二單元的該些第一單元的一相應第一單元中。 A method of generating a layout diagram of an integrated circuit, comprising: A plurality of first cells having a first cell height are arranged in a plurality of first columns; a plurality of second cells having a second cell height are arranged in a plurality of second columns, the second cell height being smaller than the first cell height A cell height in which the first columns and the second columns are arranged according to a routing grid that includes a plurality of first routing traces extending in a first direction and extending in a second direction a plurality of second wiring traces of the plurality of second wiring traces, the second direction being perpendicular to the first direction; placing a plurality of first cell leads over a plurality of selected first via layout points in each of the first cells pins, wherein each of the first cell pins extends along a corresponding second wiring trace of the second wiring traces and has a corresponding first cell both ends terminating in the first cells within the top and bottom boundaries of the cells; and placing a plurality of second cell pins over a plurality of selected second via layout points in each of the second cells, wherein the second cell pins At least one of the second cell pins extends across a boundary of a corresponding second cell of the second cells and extends to a border adjacent to the corresponding second cell along a corresponding second wiring trace of the second wiring traces. in a corresponding first unit of the first units. 如請求項6所述之方法,進一步包括:識別該些第一單元的每個第一單元內的複數個第一通孔佈局點及該些第二單元的每個第二單元內的複數個第二通孔佈局點,該些第一通孔佈局點及該些第二通孔佈局點 中的每一者處於該些第一佈線軌跡的一相應第一佈線軌跡與該些第二佈線軌跡的一相應第二佈線軌跡的一交點處。 The method of claim 6, further comprising: identifying a plurality of first via layout points in each of the first cells and a plurality of first via layout points in each of the second cells The second via layout points, the first via layout points and the second via layout points Each is at an intersection of a respective first routing trace of the first routing traces and a respective second routing trace of the second routing traces. 如請求項6所述之方法,進一步包括:自複數個第一通孔佈局點識別該些選定第一通孔佈局點及自複數個第二通孔佈局點識別該些選定第二通孔佈局點,使得在該些選定第一通孔佈局點上放置該些第一單元引腳及在該些選定第二通孔佈局點上放置該些第二單元引腳之後,沿一相同第二佈線軌跡放置的相鄰第一單元引腳及第二單元引腳的面對端以一距離分開,該距離等於或大於根據一組設計規則的一最小端到端間隔。 The method of claim 6, further comprising: identifying the selected first via layout points from a plurality of first via layout points and identifying the selected second via layout points from a plurality of second via layout points point so that after placing the first cell pins on the selected first via layout points and placing the second cell pins on the selected second via layout points, along a same second wiring The facing ends of adjacent first and second cell leads of the trace placement are separated by a distance equal to or greater than a minimum end-to-end separation according to a set of design rules. 一種用於處理積體電路佈局圖的系統,包括:一非暫時性電腦可讀取儲存媒體,用於儲存多個指令於其上;以及連接至該非暫時性電腦可讀取儲存媒體的一處理器,其中該處理器用以執行該些指令,以:從儲存於該非暫時性電腦可讀取儲存媒體中的一資料庫取得一第一單元,其中該第一單元具有一第一高度;從該資料庫取得一第二單元,其中該第二單元具有不同於該第一高度的一第二高度;在延伸於一第一方向的一第一列佈置該第一單元;在鄰接該第一列的一第二列佈置該第二單元,其中該第二列延伸於該第一方向; 在該第一單元內放置多個第一單元引腳,其中該些第一單元引腳的每一者延伸于垂直於該第一方向的一第二方向;以及在該第二單元內的多個選定通孔佈局點上放置多個第二單元引腳,其中該些第二單元在該第二方向延伸,以及該些第二單元引腳的至少一第二單元引腳延伸越過該第二單元的一邊界並延伸至該第一單元內。 A system for processing an integrated circuit layout diagram, comprising: a non-transitory computer-readable storage medium for storing a plurality of instructions thereon; and a processor connected to the non-transitory computer-readable storage medium a processor, wherein the processor is configured to execute the instructions to: obtain a first unit from a database stored in the non-transitory computer-readable storage medium, wherein the first unit has a first height; from the The database obtains a second unit, wherein the second unit has a second height different from the first height; arranges the first unit in a first row extending in a first direction; adjoins the first row arranging the second cells in a second row, wherein the second row extends in the first direction; placing a plurality of first cell pins within the first cell, wherein each of the first cell pins extends in a second direction perpendicular to the first direction; and a plurality of first cell pins within the second cell A plurality of second cell leads are placed on selected via layout points, wherein the second cells extend in the second direction, and at least one second cell lead of the second cell leads extends beyond the second cell lead A boundary of the unit extends into the first unit. 如請求項9所述之系統,其中該處理器更用以執行該些指令以:伸長該些第二單元引腳的至少一者,其中該些第二單元引腳的經伸長的該至少一者延伸越過該邊界。 The system of claim 9, wherein the processor is further configured to execute the instructions to: extend at least one of the second unit pins, wherein the extended at least one of the second unit pins extend beyond this boundary.
TW108137929A 2018-10-31 2019-10-21 Method for generating a layout of integrated circuit and method for processing layout of integrated circuit TWI775000B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753427P 2018-10-31 2018-10-31
US62/753,427 2018-10-31
US16/556,928 2019-08-30
US16/556,928 US10769342B2 (en) 2018-10-31 2019-08-30 Pin access hybrid cell height design

Publications (2)

Publication Number Publication Date
TW202018867A TW202018867A (en) 2020-05-16
TWI775000B true TWI775000B (en) 2022-08-21

Family

ID=70326786

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108137929A TWI775000B (en) 2018-10-31 2019-10-21 Method for generating a layout of integrated circuit and method for processing layout of integrated circuit

Country Status (3)

Country Link
US (4) US10769342B2 (en)
CN (1) CN111128997A (en)
TW (1) TWI775000B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10642949B2 (en) * 2017-06-07 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Cell placement site optimization
US10769342B2 (en) * 2018-10-31 2020-09-08 Taiwan Semiconductor Manufacturing Company Ltd. Pin access hybrid cell height design
US11188705B2 (en) 2019-05-17 2021-11-30 Synopsys, Inc. Pin accessibility prediction engine
US10963616B1 (en) * 2019-12-30 2021-03-30 Cadence Design Systems, Inc. Systems and methods of aligning sets of wires with minimum spacing rules
US11748542B2 (en) * 2020-01-17 2023-09-05 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for integrated circuit layout
US11616055B2 (en) * 2020-01-30 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming the same
DE102021100870B4 (en) * 2020-05-12 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. HYBRID LAYOUT, PROCESS, SYSTEM AND STRUCTURE
US11893333B2 (en) 2020-05-12 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid sheet layout, method, system, and structure
US11355395B2 (en) * 2020-05-22 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit in hybrid row height structure
KR20220022357A (en) * 2020-08-18 2022-02-25 삼성전자주식회사 Integrated circuit including cells with different heights and method for designing the same
KR20220041280A (en) 2020-09-24 2022-04-01 삼성전자주식회사 Semiconductor devices
US20220115405A1 (en) * 2020-10-08 2022-04-14 Qualcomm Incorporated Heterogeneous height logic cell architecture
US20220165706A1 (en) * 2020-11-23 2022-05-26 Mediatek Inc. Semiconductor structure of cell array

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9292644B2 (en) * 2011-08-12 2016-03-22 William Loh Row based analog standard cell layout design and methodology
US20160086932A1 (en) * 2014-09-23 2016-03-24 United Microelectronics Corp. Integrated circuit layout structure
US9460259B2 (en) * 2014-08-22 2016-10-04 Samsung Electronics Co., Ltd. Methods of generating integrated circuit layout using standard cell library

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613941A (en) * 1985-07-02 1986-09-23 The United States Of America As Represented By The Secretary Of The Army Routing method in computer aided customization of a two level automated universal array
JP3420694B2 (en) * 1996-12-27 2003-06-30 株式会社東芝 Standard cell integrated circuit
JP2001035922A (en) * 1999-07-16 2001-02-09 Kawasaki Steel Corp Semiconductor integrated circuit
US6823500B1 (en) * 1999-11-01 2004-11-23 Intel Corporation 2-dimensional placement with reliability constraints for VLSI design
JP4531340B2 (en) * 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 Multiplexer cell layout structure
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7194717B2 (en) * 2004-09-08 2007-03-20 Lsi Logic Corporation Compact custom layout for RRAM column controller
US9009641B2 (en) * 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7989849B2 (en) * 2006-11-15 2011-08-02 Synopsys, Inc. Apparatuses and methods for efficient power rail structures for cell libraries
US7888705B2 (en) * 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8513978B2 (en) * 2011-03-30 2013-08-20 Synopsys, Inc. Power routing in standard cell designs
US20130170273A1 (en) * 2011-12-29 2013-07-04 Lsi Corporation Content-Addressable Memory Architecture for Routing Raw Hit Lines Using Minimal Base Metal Layers
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9035679B2 (en) * 2013-05-03 2015-05-19 Globalfoundries Inc. Standard cell connection for circuit routing
US9122830B2 (en) * 2013-06-03 2015-09-01 Globalfoundries Inc. Wide pin for improved circuit routing
US9087170B2 (en) * 2013-08-28 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Cell layout design and method
US10083269B2 (en) * 2013-11-19 2018-09-25 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9984191B2 (en) * 2014-08-29 2018-05-29 Taiwan Semiconductor Manufacturing Company Cell layout and structure
US9639650B2 (en) * 2015-05-19 2017-05-02 Globalfoundries Inc. Method, apparatus, and system for offset metal power rail for cell design
EP3314645A4 (en) * 2015-06-24 2019-03-20 Intel Corporation Integrated circuit layout using library cells with alternating conductive lines
CN106611075A (en) * 2015-10-23 2017-05-03 飞思卡尔半导体公司 Integrated circuit adopting standard units from two or more libraries
US10163880B2 (en) * 2016-05-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of fabricating the same
US9977854B2 (en) * 2016-07-12 2018-05-22 Ati Technologies Ulc Integrated circuit implementing standard cells with metal layer segments extending out of cell boundary
WO2018106233A1 (en) * 2016-12-07 2018-06-14 Intel Corporation Integrated circuit device with crenellated metal trace layout
US10402529B2 (en) * 2016-11-18 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US11251124B2 (en) * 2016-11-29 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power grid structures and method of forming the same
US10672709B2 (en) * 2016-12-12 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd Power grid, IC and method for placing power grid
US10402534B2 (en) * 2017-09-28 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout methods, structures, and systems
US20190138682A1 (en) * 2017-11-07 2019-05-09 Qualcomm Incorporated Engineering change order (eco) cell architecture and implementation
US10872189B2 (en) * 2017-12-13 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Uni-gate cell design
CN111201601A (en) * 2017-12-28 2020-05-26 英特尔公司 Power sharing unit architecture
US10808333B2 (en) * 2018-01-08 2020-10-20 Totic Technology Inc. Method and apparatus for performing layout designs using stem cells
KR102465964B1 (en) * 2018-05-18 2022-11-10 삼성전자주식회사 Integrated circuit including multiple height cell and method for manufacturing the same
US10971586B2 (en) * 2018-06-28 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Double height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same
US10878165B2 (en) * 2018-07-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for generating layout diagram including protruding pin cell regions and semiconductor device based on same
US10878158B2 (en) * 2018-07-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including cell region having more similar cell densities in different height rows, and method and system for generating layout diagram of same
US10769342B2 (en) * 2018-10-31 2020-09-08 Taiwan Semiconductor Manufacturing Company Ltd. Pin access hybrid cell height design

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9292644B2 (en) * 2011-08-12 2016-03-22 William Loh Row based analog standard cell layout design and methodology
US9460259B2 (en) * 2014-08-22 2016-10-04 Samsung Electronics Co., Ltd. Methods of generating integrated circuit layout using standard cell library
US20160086932A1 (en) * 2014-09-23 2016-03-24 United Microelectronics Corp. Integrated circuit layout structure

Also Published As

Publication number Publication date
US20230401373A1 (en) 2023-12-14
US20200134119A1 (en) 2020-04-30
US11222157B2 (en) 2022-01-11
US20210286927A1 (en) 2021-09-16
TW202018867A (en) 2020-05-16
US11768991B2 (en) 2023-09-26
CN111128997A (en) 2020-05-08
US20200380193A1 (en) 2020-12-03
US10769342B2 (en) 2020-09-08

Similar Documents

Publication Publication Date Title
TWI775000B (en) Method for generating a layout of integrated circuit and method for processing layout of integrated circuit
US20220093513A1 (en) Method of forming power grid structures
TWI730484B (en) Semiconductor device with filler cell region, method of generating layout diagram and system for same
US11157677B2 (en) Merged pillar structures and method of generating layout diagram of same
TW202008589A (en) Integrated circuit having power structure
KR102387001B1 (en) Odd-fin height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same
KR102058224B1 (en) Integrated circuit layout methods, structures, and systems
US11675961B2 (en) Engineering change order cell structure having always-on transistor
US10867102B2 (en) Inverted pitch IC structure, layout method, and system
CN111128998A (en) Integrated circuit layout method
US20210294957A1 (en) Method for manufacturing a cell having pins and semiconductor device based on same
TW202213159A (en) Method and system for optimizing floor plan for an integrated circuit
US11256844B2 (en) Cell row arrangement in regions of integrated circuit layout
US12009356B2 (en) Integrated circuit and method of forming the same
TW202011550A (en) Manufacturing method for semiconductor device
TWI735961B (en) Integrated circuit layout diagram generation system and method of generating the same
US20220043957A1 (en) Method of forming merged pillar structures and method of generating layout diagram of same
TW202247026A (en) Method of designing integrated circuit
TW202131217A (en) Method of manufacturing a semiconductor device for a layout diagram stored on a non-transitory computer-readable medium
TW202307967A (en) Integrated circuit

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent