TWI773910B - Batch curing chamber with gas distribution and individual pumping - Google Patents

Batch curing chamber with gas distribution and individual pumping Download PDF

Info

Publication number
TWI773910B
TWI773910B TW108125124A TW108125124A TWI773910B TW I773910 B TWI773910 B TW I773910B TW 108125124 A TW108125124 A TW 108125124A TW 108125124 A TW108125124 A TW 108125124A TW I773910 B TWI773910 B TW I773910B
Authority
TW
Taiwan
Prior art keywords
exhaust
processing chamber
batch processing
array
chamber
Prior art date
Application number
TW108125124A
Other languages
Chinese (zh)
Other versions
TW202006181A (en
Inventor
艾德柏 坎
尚卡爾 凡卡塔拉曼
傑D 賓森二世
章喬 楊
尼汀庫利許納拉歐 英格爾
奇偉 梁
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202006181A publication Critical patent/TW202006181A/en
Application granted granted Critical
Publication of TWI773910B publication Critical patent/TWI773910B/en

Links

Images

Abstract

Embodiments of the present disclosure generally relate to a batch processing chamber that is adapted to simultaneously cure multiple substrates at one time. The batch processing chamber includes multiple processing sub-regions that are each independently temperature controlled. The batch processing chamber may include a first and a second sub-processing region that are each serviced by a substrate transport device external to the batch processing chamber. In addition, a slotted cover mounted on the loading opening of the batch curing chamber reduces the effect of ambient air entering the chamber during loading and unloading.

Description

具有氣體分佈及個別泵送的批次固化腔室Batch curing chamber with gas distribution and individual pumping

本發明揭露的實施例一般係關於用於處理多個基板(如半導體晶圓)設備與方法,且更特定言之,係關於固化設置於多個基板上的介電材料之設備與方法。Embodiments disclosed herein relate generally to apparatus and methods for processing multiple substrates (eg, semiconductor wafers), and more particularly, to apparatus and methods for curing dielectric materials disposed on multiple substrates.

自從半導體元件幾十年前引入開始,半導體元件在尺寸上已有顯著的減少。當今半導體製造設備常規地生產32nm、28nm與22nm特徵尺寸的元件,且研發新設備並實施於製造甚至更小尺寸的元件。減小的特徵尺寸使得元件上的結構的特徵減小空間大小。因此,元件上結構之寬度(如間隙、凹槽及類似物)可以縮小到一點,其中間隙深度對間隙寬度的深寬比變得很高使得以介電材料填充此等間隙成為問題。此是因為沉積的介電材料趨於「夾斷」的現象,其中高深寬比的間隙或其他結構的進入區域可能在由下而上的填充完成之前就關閉,而留下孔洞或脆弱點於結構內。Semiconductor components have decreased significantly in size since their introduction decades ago. Today's semiconductor fabrication equipment routinely produces devices with 32nm, 28nm and 22nm feature sizes, and new equipment is developed and implemented to manufacture even smaller size devices. The reduced feature size enables features of structures on components to be reduced in size. As a result, the width of structures on components such as gaps, grooves, and the like can be reduced to a point where the aspect ratio of gap depth to gap width becomes so high that filling such gaps with dielectric material becomes problematic. This is due to a phenomenon in which the deposited dielectric material tends to "pinch off", where high aspect ratio gaps or access areas to other structures may close before bottom-up filling is complete, leaving holes or weak points in the within the structure.

多年來,許多技術已經發展到避免夾斷或「治癒」因夾斷形成的孔洞或縫隙。一個方法係以高流動性前驅物材料可以液相施於旋轉基板表面(如SOG沉積技術)開始。此等流動性前驅物可以流入及填充很小的基板間隙而不會形成孔洞或脆弱縫隙。然而,一旦此等高流動性材料沉積,此等高流動性材料必硬化為固體(solid)介電材料。Over the years, a number of techniques have been developed to avoid pinch-off or to "heal" holes or crevices created by pinch-off. One approach begins with a highly fluid precursor material that can be applied in liquid phase to a rotating substrate surface (eg, SOG deposition techniques). These flowable precursors can flow into and fill small substrate gaps without forming holes or frangible gaps. However, once these high flow materials are deposited, these high flow materials must harden into solid dielectric materials.

在許多例子中,硬化過程包括加熱處理以將揮發性元件自沉積材料移除,揮發性元件對於使初始沉積薄膜可流動係必須的。在此等元件移除後,具有高蝕刻抵抗力的硬化與密集介電材料被留下,如氧化矽。In many instances, the hardening process includes a heat treatment to remove volatile elements necessary to make the initially deposited film flowable from the deposition material. After these components are removed, a hardened and dense dielectric material with high etch resistance is left, such as silicon oxide.

此等薄膜的流動性可能起因於包含於薄膜中的各種化學組成,但透過移除此等相同的化學組成來硬化或緻密化薄膜對於橫跨該組流動性沉積技術幾乎係一致有益的。此等硬化與緻密化過程可能係耗時的。因此,對於當前可用或正在開發的用於緻密化各式流動性薄膜的新後處理技術與設備係有其需求的。此需求與其他需求於本發明揭露中處理。The fluidity of these films may result from the various chemical compositions contained in the films, but hardening or densifying the films by removing these same chemical compositions is almost uniformly beneficial across the set of fluid deposition techniques. These hardening and densification processes can be time consuming. Therefore, there is a need for new post-processing techniques and equipment currently available or under development for densification of various types of flowable films. This and other needs are addressed in the present disclosure.

本發明揭露的實施例一般係關於用於處理基板的設備與方法,如半導體晶圓,且更特定言之,係關於批次固化設置於多個基板上的介電材料之設備與方法。Embodiments disclosed herein relate generally to apparatus and methods for processing substrates, such as semiconductor wafers, and more particularly, to apparatus and methods for batch curing of dielectric materials disposed on a plurality of substrates.

本發明揭露的實施例可提供用於在基板的表面上形成介電材料之系統,該系統包括主機、生產介面、負載鎖定腔室、多個流動性CVD沉積腔室及批次處理腔室,生產介面包括至少一個大氣機械臂且經配置而接收一或多個卡匣的基板,負載鎖定腔室與主機耦接且經配置而自生產介面中的至少一個大氣機械臂接收一或多個基板,多個流動性CVD沉積腔室各自與主機耦接,批次處理腔室與生產介面耦接,批次處理腔室包括多個子處理區域、裝載開口及蓋板,多個子處理區域各自經配置而自至少一個大氣機械臂接收基板並在自大氣機械臂接收的基板上執行固化處理,裝載開口於批次處理腔室的壁中形成,蓋板包括多個槽形開口並設置於負載開口上,其中多個槽形開口的各個經配置而允許至少一個大氣機械臂自批次處理腔室外的位置往多個子處理區域中的一個延伸一臂,以及其中當裝載開口打開時,多個槽形開口的各個經配置而減少裝載開口的自由區域。Embodiments disclosed herein may provide a system for forming a dielectric material on a surface of a substrate, the system including a host, a production interface, a load lock chamber, a plurality of flow CVD deposition chambers, and a batch processing chamber, The production interface includes at least one atmospheric robot and is configured to receive substrates of one or more cassettes, the load lock chamber is coupled to the host and is configured to receive one or more substrates from the at least one atmospheric robot in the production interface , a plurality of fluid CVD deposition chambers are respectively coupled with the host, the batch processing chamber is coupled with the production interface, the batch processing chamber includes a plurality of sub-processing areas, a loading opening and a cover plate, and the plurality of sub-processing areas are respectively configured While the substrate is received from at least one atmospheric robot and a curing process is performed on the substrate received from the atmospheric robot, a loading opening is formed in the wall of the batch processing chamber, and the cover plate includes a plurality of slot-shaped openings and is disposed on the loading opening , wherein each of the plurality of slot openings is configured to allow at least one atmospheric manipulator to extend an arm from a location outside the batch processing chamber to one of the plurality of sub-processing regions, and wherein when the loading opening is open, the plurality of slot openings Each of the openings is configured to reduce the free area of the loading opening.

本發明揭露的實施例可進一步提供批次基板處理腔室,包括多個子處理區域、裝載開口及蓋板,多個子處理區域各自經配置而自大氣機械臂接收基板並在自大氣機械臂接收的基板上執行固化處理,裝載開口於批次處理腔室的壁中形成,蓋板設置於負載開口上而包括多個槽形開口,多個槽形開口的各個經配置而允許至少一個大氣機械臂自批次處理腔室外的位置往多個子處理區域中的一個延伸一臂,以及其中當裝載開口打開時,多個槽形開口的各個經配置而減少裝載開口的自由區域。Embodiments disclosed herein may further provide a batch substrate processing chamber including a plurality of sub-processing regions, a load opening, and a cover plate, each of the plurality of sub-processing regions being configured to receive substrates from an atmospheric robot and to receive substrates from the atmospheric robot A curing process is performed on the substrate, a load opening is formed in the wall of the batch processing chamber, a cover plate is disposed on the load opening and includes a plurality of slot openings, each of the plurality of slot openings is configured to allow at least one atmospheric manipulator An arm extends from a location outside the batch processing chamber toward one of the plurality of sub-processing regions, and wherein each of the plurality of slotted openings is configured to reduce the free area of the loading opening when the loading opening is open.

本發明揭露的實施例一般係關於經調整而在一個時間同時固化多個基板的批次處理腔室。該腔室包括第一與第二子處理區域,第一與第二子處理區域各自由在批次處理腔室外的基板傳送裝置服務,且各子處理區域可支撐(support)基板。在一個實施例中,第一子處理區域直接在第二子處理區域下,其中第一與第二子處理區域可透過蓋板而藉由基板傳送裝置進出,蓋板覆蓋腔室中形成的裝載開口之部分。Embodiments disclosed herein generally relate to batch processing chambers that are tuned to cure multiple substrates simultaneously at one time. The chamber includes first and second sub-processing areas, each of which is serviced by a substrate transfer device outside the batch processing chamber, and each sub-processing area can support a substrate. In one embodiment, the first sub-processing area is directly below the second sub-processing area, wherein the first and second sub-processing areas are accessible by the substrate transfer device through a cover plate that covers the loads formed in the chamber part of the opening.

第1圖係處理工具的一個實施例之俯視圖,該處理工具包括根據本發明揭露實施例設置的具有批次固化腔室103之生產介面105。處理工具100一般包括生產介面105、批次固化腔室103、傳送腔室112、大氣夾持站109及複數個成對處理腔室108a-b、108c-d及108e-f。在處理工具100中,一對FOUPs(前開口統一縱槽)102供應基板(如300mm直徑的晶圓),基板由大氣機械臂104的一臂接受並置放入負載鎖定腔室106。第二機械臂110設置於與負載鎖定腔室106耦接的傳送腔室112中。第二機械臂110用於將基板從負載鎖定腔室106傳送至與傳送腔室112耦接的處理腔室108a-f。FIG. 1 is a top view of one embodiment of a processing tool including a production interface 105 having a batch curing chamber 103 configured in accordance with disclosed embodiments of the present invention. The processing tool 100 generally includes a production interface 105, a batch curing chamber 103, a transfer chamber 112, an atmospheric gripping station 109, and a plurality of pairs of processing chambers 108a-b, 108c-d, and 108e-f. In the processing tool 100 , a pair of FOUPs (Front Open Unified Troughs) 102 supplies substrates (eg, 300 mm diameter wafers) that are received by one arm of an atmospheric robot 104 and placed into a load lock chamber 106 . The second robot arm 110 is disposed in the transfer chamber 112 coupled to the load lock chamber 106 . The second robotic arm 110 is used to transfer substrates from the load lock chamber 106 to the processing chambers 108a-f coupled to the transfer chamber 112.

處理腔室108a-f可包括用於將基板上的流動性介電薄膜沉積、退火、固化與(或)蝕刻的一或多個系統元件。在一個配置中,三對處理腔室(如108 a-b、108c-d與108e-f)可用於將流動性介電材料沉積於基板上。The processing chambers 108a-f may include one or more system elements for depositing, annealing, curing, and/or etching a fluid dielectric film on a substrate. In one configuration, three pairs of processing chambers (eg, 108a-b, 108c-d, and 108e-f) can be used to deposit a flowable dielectric material on a substrate.

在某些實施例中,批次固化腔室103經配置而同時在多個基板上執行批次固化處理,多個基板具有沉積於其上的流動性介電材料。在此等實施例中,批次固化腔室103一般經設置而在很多個基板上執行固化處理,在很多個基板上執行固化處理可以在成對處理腔室108a-b、108c-d與108e-f中同時進行薄膜沉積。因此,在第1圖中所示的設置中,批次固化腔室103有利地調整尺寸以在固化過程中在一個時間容納六個基板。因而,已經由成對處理腔室108a-b、108c-d與108e-f處理的全部基板可以同時進行固化處理,從而最大化處理工具100的基板產量。In certain embodiments, batch curing chamber 103 is configured to perform batch curing processes simultaneously on multiple substrates having a flowable dielectric material deposited thereon. In such embodiments, batch curing chamber 103 is typically configured to perform curing processes on a number of substrates, which may be performed in pairs of process chambers 108a-b, 108c-d, and 108e. Simultaneous film deposition in -f. Thus, in the setup shown in Figure 1, the batch curing chamber 103 is advantageously sized to accommodate six substrates at one time during the curing process. Thus, all of the substrates that have been processed by the pair of processing chambers 108a-b, 108c-d, and 108e-f can be cured simultaneously, thereby maximizing the substrate throughput of the processing tool 100.

此外,在多個處理腔室具有不同處理方法開始與結束時間的情況中,為了避免基板於批次固化腔室103中殘留顯著不同的時間,處理工具100可包括大氣夾持站109,大氣夾持站109用於夾持已經處理完的基板直至其他接續處理的基板以其沉積處理完成。大氣夾持站允許全部基板立刻置放於批次固化腔室103中。例如,大氣夾持站109經配置而暫時將基板儲存於批次固化腔室103外直至所需數量的基板可用於批次固化腔室103中處理。大氣機械臂104接著以快速連續的方式將基板裝載入批次固化腔室103中,使得沒有經薄膜沉積的基板相較任何其他經薄膜沉積的停留在相對高溫度的批次固化腔室103中多長了幾秒。因此,固化處理中基板與基板的變化可以被最小化或減少。Additionally, in order to avoid substrates remaining in batch curing chambers 103 for significantly different times in situations where multiple processing chambers have different processing method start and end times, the processing tool 100 may include an atmospheric clamping station 109, an atmospheric clamping The holding station 109 is used to hold the processed substrate until the other successively processed substrates are completed with their deposition processing. The atmospheric clamping station allows all substrates to be placed in batch curing chamber 103 at once. For example, atmospheric clamping station 109 is configured to temporarily store substrates outside batch curing chamber 103 until a desired number of substrates are available for processing in batch curing chamber 103 . The atmospheric robotic arm 104 then loads the substrates into the batch curing chamber 103 in rapid succession such that substrates without thin film deposition stay at a relatively high temperature in the batch curing chamber 103 compared to any other thin film deposited batch curing chamber 103 It took a few seconds longer. Thus, substrate-to-substrate variation during the curing process can be minimized or reduced.

批次固化腔室103一般包括腔室主體103B與狹縫閥103A。在基板由大氣機械臂104定位於腔室主體103B中後,狹縫閥103A用於密封關閉腔室主體103B的內部區域。批次固化處理與批次固化腔室103相對於以下第4-10圖進一步描述。 流動性CVD腔室與沉積處理示範例The batch curing chamber 103 generally includes a chamber body 103B and a slit valve 103A. After the substrate is positioned in the chamber body 103B by the atmospheric robot 104, the slit valve 103A is used to seal off the inner region of the chamber body 103B. The batch curing process and batch curing chamber 103 are further described with respect to Figures 4-10 below. Fluid CVD Chamber and Deposition Process Demonstration

第2圖係帶有分區電漿產生區域的流動性化學氣相沉積腔室200的一個實施例之截面圖。處理腔室200可係處理工具100的處理腔室108a-f的任何一個,其經至少配置而用於將流動性介電材料沉積於基板上。在某些實施例中,處理工具100可包括任何其他適合的化學氣相沉積腔室而不是處理腔室200。Figure 2 is a cross-sectional view of one embodiment of a fluid chemical vapor deposition chamber 200 with zoned plasma generation regions. The processing chamber 200 may be any of the processing chambers 108a-f of the processing tool 100 that are at least configured for depositing a flowable dielectric material on a substrate. In certain embodiments, processing tool 100 may include any other suitable chemical vapor deposition chamber instead of processing chamber 200 .

在薄膜沉積(如氧化矽、氮化矽、氮氧化矽或碳氧化矽沉積)期間,處理氣體可經由氣體入口組件205流入第一電漿區域215。處理氣體可在進入第一電漿區域215前於遠端電漿系統(RPS)201內激發。處理腔室200包括蓋件212與噴頭225。蓋件212圖示有一所施的AC電壓源以及噴頭225接地,與第一電漿區域215中的電漿產生一致。絕緣環220定位於蓋件212與噴頭225之間,使電容耦接的電漿(CCP)能夠於第一電漿區域215中形成。所示蓋件212與噴頭225有絕緣環220在蓋件212與噴頭225之間,而允許AC電位相對於噴頭225施於蓋件212。During thin film deposition (eg, silicon oxide, silicon nitride, silicon oxynitride, or silicon oxycarbide deposition), process gases may flow into the first plasma region 215 via the gas inlet assembly 205 . The process gas may be excited within the remote plasma system (RPS) 201 before entering the first plasma region 215 . The processing chamber 200 includes a cover 212 and a shower head 225 . Cap 212 is shown with an applied AC voltage source and showerhead 225 grounded, consistent with plasma generation in first plasma region 215. The insulating ring 220 is positioned between the cover 212 and the showerhead 225 to enable capacitively coupled plasma (CCP) to form in the first plasma region 215 . The cap 212 and the showerhead 225 are shown with an insulating ring 220 between the cap 212 and the showerhead 225 to allow an AC potential to be applied to the cap 212 relative to the showerhead 225 .

蓋件212可係用於與處理腔室使用的雙源蓋件。兩個不同的供應通道在氣體入口組件205內是可見的。第一通道202攜帶穿過遠端電漿系統(RPS)201的氣體,而第二通道204繞過RPS 201。第一通道202可用於處理氣體以及第二通道204可用於加工氣體(treatment gas)。流入第一電漿區域215的該等氣體可由擋板206散開。Cover 212 may be a dual source cover for use with a processing chamber. Two different supply channels are visible within the gas inlet assembly 205 . The first channel 202 carries gas through the Remote Plasma System (RPS) 201 , while the second channel 204 bypasses the RPS 201 . The first channel 202 may be used for treatment gas and the second channel 204 may be used for treatment gas. The gases flowing into the first plasma region 215 may be dispersed by the baffle 206 .

流體(如前驅物)可通過噴頭225流入第二電漿區域233。來自第一電漿區域215中的前驅物之激發的物質(species)移動通過噴頭225中的孔214並與自噴頭225流入第二電漿區域233的前驅物反應。少許或沒有電漿存在於第二電漿區域233中。前驅物的激發衍生物於第二電漿區域233中結合以於基板上形成流動性的介電材料。隨著介電材料生長,更近來加入的材料相較下面的材料具有更高的活動性。隨著蒸發減少的有機物含量而活動性減少。間隙可使用此技術由流動性介電材料填充,而在沉積完成後沒有留下傳統密度的有機物含量於介電材料內。固化步驟(所述於下)可用於進一步將有機物含量自沉積的介電材料中減少或移除。A fluid, such as a precursor, may flow into the second plasma region 233 through the showerhead 225 . Species excited from the precursors in the first plasma region 215 travel through the holes 214 in the showerhead 225 and react with the precursors flowing from the showerhead 225 into the second plasma region 233 . Little or no plasma is present in the second plasma region 233 . Excited derivatives of the precursors are combined in the second plasma region 233 to form a fluid dielectric material on the substrate. As the dielectric material grows, the material added more recently has higher mobility than the material below. Mobility decreases with evaporation of reduced organic content. Gaps can be filled with a fluid dielectric material using this technique without leaving conventional density organic content within the dielectric material after deposition is complete. The curing step (described below) can be used to further reduce or remove organic content from the deposited dielectric material.

單獨於第一電漿區域215中激發前驅物或於第一電漿區域215結合的遠端電漿系統(RPS)201激發前驅物提供若干好處。由於第一電漿區域215中的電漿,來自前驅物的激發物質之濃度可於第二電漿區域233內增加。此增加可能起因於第一電漿區域215中電漿的位置。相較於遠端電漿系統(RPS)201,第二電漿區域233位置更靠近第一電漿區域215,而留下更少的時間給激發的物質通過與其他氣體粒子、腔室壁及噴頭表面而離開激發態。Exciting the precursor in the first plasmonic region 215 alone or in combination with the remote plasmonic system (RPS) 201 in the first plasmonic region 215 provides several benefits. Due to the plasma in the first plasma region 215, the concentration of excitation species from the precursor can increase within the second plasma region 233. This increase may be due to the location of the plasma in the first plasma region 215 . Compared to the remote plasma system (RPS) 201, the second plasma region 233 is located closer to the first plasma region 215, leaving less time for the excited species to pass through with other gas particles, chamber walls and to leave the excited state on the surface of the spray head.

來自前驅物的激發物質的濃度均勻性亦可於第二電漿區域233內增加。此可能起因於第一電漿區域215的形狀,第一電漿區域215的形狀與第二電漿區域233的形狀相似。相對於穿過噴頭225中心附近的孔214之物質,遠端電漿系統(RPS)201中產生的激發物質為了穿過噴頭225邊緣附近的孔214移動更多距離。更多距離使得激發物質減少激發,以及,例如,可能導致基板邊緣附近較慢的生長率。於第一電漿區域215中激發前驅物緩和此變化。The concentration uniformity of the excited species from the precursors can also be increased within the second plasma region 233 . This may be due to the shape of the first plasma region 215 , which is similar to the shape of the second plasma region 233 . Excited species generated in the Remote Plasma System (RPS) 201 travel more distances to pass through the holes 214 near the edge of the showerhead 225 relative to the species passing through the holes 214 near the center of the showerhead 225 . More distances result in less excitation of the excited species and, for example, may result in slower growth rates near the edge of the substrate. Exciting the precursor in the first plasma region 215 mitigates this change.

除了前驅物,可能有其他氣體為了不同目的在不同時間引入。可引入加工氣體已將不必要的物質在沉積期間自腔室壁、基板、沉積的薄膜與(或)薄膜移除。加工氣體可包括以下群組中的氣體之至少一個,該群組包含H2 、H2 /N2 混合物、NH3 、NH4 OH、O3 、O2 、H2 O2 與水蒸氣。加工氣體可於電漿中激發以及接著用於將剩餘的有機物含量自沉積薄膜減少或移除。在其他實施例中,可使用加工氣體而不用電漿。當加工氣體包括水蒸氣時,可使用質量流量計(MFM)與注入閥或藉由其他合適的水蒸氣產生器達成傳送。In addition to the precursors, other gases may be introduced at different times for different purposes. Process gases may be introduced to remove unwanted species from the chamber walls, substrate, deposited films, and/or films during deposition. The process gas may comprise at least one of the following group of gases, the group comprising H2 , H2 / N2 mixture, NH3 , NH4OH , O3 , O2 , H2O2 , and water vapor. The process gas can be excited in the plasma and then used to reduce or remove the remaining organic content from the deposited film. In other embodiments, a process gas may be used instead of a plasma. When the process gas includes water vapor, delivery can be accomplished using a mass flow meter (MFM) and injection valve or by other suitable water vapor generators.

在一個實施例中,介電層可以藉由引入介電材料前驅物(如含矽前驅物)以及在第二電漿區域233中反應處理前驅物而沉積。介電材料前驅物的示範例係含矽前驅物,包含矽烷、乙矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、四乙氧基矽烷(TEOS)、三乙氧基矽烷(TES)、八甲基環四矽氧烷(OMCTS)、四甲基二矽氧烷(TMDSO)、四甲基環四矽氧烷(TMCTS)、四甲基二乙氧基二矽氧烷(TMDDSO)、二甲基二甲氧基矽烷(DMDMS)或以上各者之組合。用於氮化矽沉積的額外前驅物包括含Six Ny Hz 前驅物(如甲矽烷胺(sillyl-amine)及其衍生物,包含三甲矽烷胺(trisillylamine,TSA)與 二甲矽烷胺(disillylamine,DSA))、含Six Ny Hz Ozz 前驅物、含Six Ny Hz Clzz 前驅物,或以上各者之結合。In one embodiment, the dielectric layer may be deposited by introducing a dielectric material precursor (eg, a silicon-containing precursor) and reacting the precursor in the second plasma region 233 . Exemplary dielectric material precursors are silicon-containing precursors, including silane, disilane, methylsilane, dimethylsilane, trimethylsilane, tetramethylsilane, tetraethoxysilane (TEOS), triethylsilane Oxysilane (TES), Octamethylcyclotetrasiloxane (OMCTS), Tetramethyldisiloxane (TMDSO), Tetramethylcyclotetrasiloxane (TMCTS), Tetramethyldiethoxydioxane Siloxane (TMDDSO), Dimethyldimethoxysilane (DMDMS) or a combination of the above. Additional precursors for silicon nitride deposition include Six N y H z - containing precursors such as silyl-amine and its derivatives, including trisillylamine (TSA) and dimethylsilylamine ( disillylamine , DSA )), a SixNyHzOzz - containing precursor , a SixNyHzClzz - containing precursor , or a combination of the above.

處理前驅物包括含氫化合物、含氧化合物、含氮化合物或以上各者之結合。適當的處理前驅物之示範例包括由以下群組中選擇的一或多個化合物,該群組包含H2 、H2 /N2 混合物、NH3 、NH4 OH、O3 、O2 、H2 O2 、N2 、含N2 H4 蒸氣的Nx Hy 化合物、NO、N2 O、NO2 、水蒸氣或以上各者之組合。處理前驅物可係存有電漿(如在RPS單元中)以包括N* 與(或)H* 與(或)含O* 基或電漿,例如,NH3 、NH2 * 、NH* 、N* 、H* 、O* 、N* O* 或以上各者之組合。或者,處理前驅物可包括本說明書所述的前驅物中的一或多者。Process precursors include hydrogen-containing compounds, oxygen-containing compounds, nitrogen-containing compounds, or combinations thereof. Examples of suitable process precursors include one or more compounds selected from the group consisting of H2 , H2 / N2 mixtures, NH3 , NH4OH , O3 , O2 , H 2O2 , N2 , NxHy compounds containing N2H4 vapor, NO , N2O , NO2 , water vapor , or a combination of the above. The processing precursors may be in the presence of a plasma (as in the RPS unit) to include N * and/or H * and/or O * -containing groups or plasmas, eg, NH3 , NH2 * , NH * , N * , H * , O * , N * O * or a combination of the above. Alternatively, the treatment precursor may include one or more of the precursors described in this specification.

處理前驅物可係於第一電漿區域215中激發的電漿以產生處理氣體電漿與自由基(包括含N* 與(或)H* 與(或)O* 的自由基或電漿),例如,NH3 、NH2 * 、NH* 、N* 、H* 、O* 、N* O* 或以上各者之組合。或者,處理前驅物可在穿過遠端電漿系統後而在引入第一電漿區域215前已經係在電漿狀態。Process precursors can be associated with the plasma excited in the first plasma region 215 to generate process gas plasmas and radicals (including N * and/or H * and/or O * containing radicals or plasmas) , eg, NH3 , NH2 * , NH * , N * , H * , O * , N * O * , or a combination of the above. Alternatively, the processing precursor may already be tied to the plasma state prior to introduction into the first plasma region 215 after passing through the distal plasma system.

激發的處理前驅物290接著被傳送入第二電漿區域233以為了透過孔214與前驅物反應。一旦在處理空間中,處理前驅物可混合並反應而沉積介電材料。The excited process precursor 290 is then delivered into the second plasma region 233 to react with the precursor through the aperture 214 . Once in the processing space, the processing precursors can mix and react to deposit the dielectric material.

在一個實施例中,於處理腔室200中執行的流動性CVD處理可將介電材料沉積作為含聚矽氮烷為基之矽的薄膜(PSZ類的薄膜),其係可流動的且可填充在沉積了含聚矽氮烷為基之矽的膜之基板中界定的凹槽、特徵、穿孔或其他孔。In one embodiment, the flowable CVD process performed in the processing chamber 200 may deposit the dielectric material as a polysilazane-based silicon-containing film (a PSZ-type film) that is flowable and can be Filling of recesses, features, vias, or other holes defined in a substrate on which a polysilazane-based silicon-containing film is deposited.

除了介電材料前驅物與處理前驅物外,可有其他氣體為了不同目的於不同時間引入。可引入加工氣體以在沉積期間將不必要的物質自腔室壁、基板、沉積薄膜與(或)薄膜移除,如氫、碳與氟。處理前驅物與(或)加工氣體可包括以下群組中的至少一個氣體,該群組包含H2 、H2 /N2 混合物、NH3 、NH4 OH、O3 、O2 、H2 O2 、N2 、N2 H4 蒸氣、NO、N2 O、NO2 、水蒸氣或以上各者之組合。加工氣體可於電漿中激發並接著用於將剩餘的有機物含量自沉積薄膜減少或移除。在其他實施例中,可使用加工氣體而不用電漿。加工氣體可通過RPS單元或繞過RPS單元而引入第一處理區域,並可進一步於第一電漿區域中激發。In addition to the dielectric material precursors and processing precursors, other gases may be introduced at different times for different purposes. Process gases may be introduced to remove unwanted species, such as hydrogen, carbon and fluorine, from the chamber walls, substrate, deposited films and/or films during deposition. The process precursor and/or process gas may include at least one gas from the group consisting of H2 , H2 / N2 mixture, NH3 , NH4OH , O3 , O2 , H2O 2 , N 2 , N 2 H 4 vapor, NO, N 2 O, NO 2 , water vapor, or a combination of the above. The process gas can be excited in the plasma and then used to reduce or remove the remaining organic content from the deposited film. In other embodiments, a process gas may be used instead of a plasma. The process gas can be introduced into the first processing zone through the RPS unit or bypassing the RPS unit and can be further excited in the first plasma zone.

氮化矽材料包括氮化矽、Six Ny 、含氫氮化矽、SixNyHz、氮氧化矽(包括含氫的氮氧化矽)、Six Ny Hz Ozz 與含鹵素的氮化矽(包括氯化的氮化矽,Six Ny Hz Clzz )。沉積的介電材料可接著被轉換為氧化矽類的材料。 沉積與批次固化處理程序示範例Silicon nitride materials include silicon nitride, Six N y , hydrogen-containing silicon nitride, SixNyHz, silicon oxynitride (including hydrogen-containing silicon oxynitride), Six N y H z O zz and halogen - containing silicon nitride (Including Chlorinated Silicon Nitride, Six N y H z Cl zz ). The deposited dielectric material can then be converted to a silicon oxide-based material. Example deposition and batch curing procedures

第3圖係可於處理腔室200與批次固化腔室103中實施的處理300的一個實施例之流程圖。第4A-4C圖係對應於處理300的各式階段的基板之部分的概要截面圖。雖然處理300所示用於基板中或上界定的凹槽中形成介電材料,如淺凹槽隔離(STI)結構製造處理,但是處理300可用於在基板上形成其他結構,如層間介電(ILD)結構。FIG. 3 is a flow diagram of one embodiment of a process 300 that may be implemented in process chamber 200 and batch curing chamber 103 . FIGS. 4A-4C are schematic cross-sectional views of portions of the substrate corresponding to various stages of process 300 . Although process 300 is shown for forming a dielectric material in or on a substrate with defined grooves, such as a shallow trench isolation (STI) structure fabrication process, process 300 can be used to form other structures on a substrate, such as interlayer dielectrics ( ILD) structure.

處理300在步驟302處開始,藉由傳送基板400(如第4A圖所示)至沉積處理腔室(如第2圖所示的流動性化學氣相(CVD)腔室200)。在一個實施例中,基板400可係具有於其上形成一層或多層的矽基板以形成結構,如淺凹槽隔離(STI)結構404。在另一個實施例中,基板400可係具有多個層(如薄膜堆疊)的矽基板,以用於形成不同圖案與(或)特徵。基板400可係如結晶矽(如Si>100>或Si>111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案或非圖案的絕緣體上之晶圓矽(SOI)、摻雜碳的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、設置於矽上的金屬層或其類似物的材料。基板400可係任何各式形狀與尺寸,如200mm、300mm或450mm直徑的晶圓,或矩形或方形板。Process 300 begins at step 302 by transferring substrate 400 (shown in FIG. 4A ) to a deposition processing chamber (flowable chemical vapor (CVD) chamber 200 shown in FIG. 2 ). In one embodiment, the substrate 400 may be a silicon substrate having one or more layers formed thereon to form structures, such as shallow trench isolation (STI) structures 404 . In another embodiment, the substrate 400 may be a silicon substrate with multiple layers (eg, thin film stacks) for forming different patterns and/or features. The substrate 400 may be, for example, crystalline silicon (eg, Si>100> or Si>111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterns or unpatterned silicon-on-insulator (SOI), carbon-doped silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, metal layers on silicon, or the like s material. Substrate 400 can be of any variety of shapes and sizes, such as 200mm, 300mm or 450mm diameter wafers, or rectangular or square plates.

在第4A圖所示的實施例中,層402設置於基板400上並適合用於透過流動性介電材料沉積而製造STI結構404。在某些實施例中,層402可經蝕刻或圖案化(patterned)以於層402內形成凹槽406而用於形成淺凹槽隔離(STI)結構,STI結構可用於將積體電路中的元件彼此電絕緣。或者,在層402不存在的實施例中,本說明書所述的於層402上執行的處理可於基板400上執行。In the embodiment shown in FIG. 4A, layer 402 is disposed on substrate 400 and is suitable for fabrication of STI structure 404 by deposition of a flowable dielectric material. In certain embodiments, layer 402 may be etched or patterned to form grooves 406 within layer 402 for forming shallow trench isolation (STI) structures that may be used to incorporate The elements are electrically insulated from each other. Alternatively, in embodiments where layer 402 is not present, the processes described herein that are performed on layer 402 may be performed on substrate 400 .

在步驟304,介電材料408沉積於基板400上而填充層402內界定的凹槽406,如第4B圖所示。介電材料408可藉由於處理腔室200中執行的流動性化學氣相沉積處理而沉積,如相對於以上第2圖所述。在一個實施例中,介電材料408係由供應入處理腔室200的氣體混合物所沉積之含矽材料。At step 304, a dielectric material 408 is deposited on the substrate 400 to fill the recesses 406 defined in the layer 402, as shown in FIG. 4B. The dielectric material 408 may be deposited as a result of a fluid chemical vapor deposition process performed in the processing chamber 200, as described with respect to FIG. 2 above. In one embodiment, the dielectric material 408 is a silicon-containing material deposited from the gas mixture supplied into the processing chamber 200 .

在一個實施例中,用於形成介電材料408之供應入處理腔室200的氣體混合物可包括如上所討論的介電材料前驅物與處理前驅物。此外,處理前驅物的適當示範例可包括如上所討論的含氮前驅物。此外,處理前驅物亦可包括含氫化合物、含氧化合物或以上之組合,如NH3 氣體。或者處理前驅物可包括所需前驅物中的一或多個。In one embodiment, the gas mixture supplied into the process chamber 200 for forming the dielectric material 408 may include the dielectric material precursors and process precursors as discussed above. Additionally, suitable examples of processing precursors may include nitrogen-containing precursors as discussed above. In addition, the processing precursor may also include hydrogen-containing compounds, oxygen-containing compounds, or combinations thereof, such as NH 3 gas. Alternatively the treatment precursors may include one or more of the desired precursors.

在一個實施例中,沉積處理期間的基板溫度維持於預定溫度範圍內。在一個實施例中,基板溫度維持在小於約攝氏200度,如小於攝氏100度以允許基板上形成的介電材料408係流動性的以回流與填充於凹槽406內。相信相當低的基板溫度(如小於攝氏100度)可以協助將初始於基板表面形成的薄膜維持在液態狀的流動性狀態,以保持基板表面上形成的結果薄膜之流動性與黏滯性。隨著結果的薄膜於基板上形成具有一定程度的流動性與黏滯性,在接續的熱與濕處理之後,薄膜的接合結構可改變、轉換、取代成不同的功能群組或接合結構。在一個實施例中,處理腔室中的基板溫度維持在約室內溫度至約攝氏200度之間,如約小於攝氏100度,例如介於約攝氏30度至約攝氏80度之間。In one embodiment, the substrate temperature during the deposition process is maintained within a predetermined temperature range. In one embodiment, the substrate temperature is maintained at less than about 200 degrees Celsius, such as less than 100 degrees Celsius, to allow the dielectric material 408 formed on the substrate to be fluid to reflow and fill the grooves 406 . It is believed that a relatively low substrate temperature (eg, less than 100 degrees Celsius) can help maintain the fluidity of the film initially formed on the substrate surface in a liquid-like fluid state to maintain the fluidity and viscosity of the resulting film formed on the substrate surface. With the resulting film formed on the substrate with a certain degree of fluidity and viscosity, the bonding structure of the film can be changed, switched, or substituted into different functional groups or bonding structures after subsequent heat and humidity treatments. In one embodiment, the substrate temperature in the processing chamber is maintained between about room temperature and about 200 degrees Celsius, such as less than about 100 degrees Celsius, eg, between about 30 degrees Celsius and about 80 degrees Celsius.

介電材料前驅物可以約1 sccm至約5000 sccm之間的流率供應至處理腔室。處理前驅物可以約1 sccm至約1000 sccm之間的流率供應至處理腔室。或者,在處理期間,供應的氣體混合物亦可控制在介電材料前驅物比處理前驅物之約0.1至100之間的流動比率。處理壓力維持在約0.10Torr至約10Torr之間,例如約0.1Torr至約1Torr之間,如約0.5Torr至0.7Torr之間。The dielectric material precursor may be supplied to the processing chamber at a flow rate between about 1 seem to about 5000 seem. The processing precursor may be supplied to the processing chamber at a flow rate between about 1 seem and about 1000 seem. Alternatively, during processing, the supplied gas mixture can also be controlled at a flow ratio between about 0.1 to 100 of the dielectric material precursor to the processing precursor. The processing pressure is maintained between about 0.10 Torr and about 10 Torr, such as between about 0.1 Torr and about 1 Torr, such as between about 0.5 Torr and 0.7 Torr.

一或多個惰性氣體亦可包含有提供至處理腔室200的氣體混合物。惰性氣體可包括但不局限於稀有氣體(noble gas),如Ar、He、Xe及類似物。惰性氣體可以約1 sccm至約50000 sccm的流動速率供應至處理腔室。One or more inert gases may also be included in the gas mixture provided to the processing chamber 200 . Inert gases may include, but are not limited to, noble gases such as Ar, He, Xe, and the like. The inert gas may be supplied to the processing chamber at a flow rate of about 1 sccm to about 50,000 sccm.

RF電源用於維持沉積期間的電漿。RF電源供應於約100kHz至約100MHz之間,如約350kHz或約13.56MHz。或者,VHF電源可用於提供高達約27MHz至200MHz之間的頻率。在一個實施例中,RF電源可供應於約1000瓦至10000瓦之間。基板至噴頭225的間隔可根據基板尺寸控制。在一個實施例中,處理間隔控制在約100密耳(mil)至約5英吋(inch)之間。RF power was used to maintain the plasma during deposition. The RF power is supplied between about 100 kHz and about 100 MHz, such as about 350 kHz or about 13.56 MHz. Alternatively, a VHF power supply can be used to provide frequencies up to between about 27MHz and 200MHz. In one embodiment, the RF power may be supplied between about 1000 watts to 10000 watts. The spacing from the substrate to the showerhead 225 can be controlled according to the size of the substrate. In one embodiment, the treatment interval is controlled between about 100 mils (mil) to about 5 inches (inch).

在一個實施例中,於基板400上形成的介電材料408係具有氮或氫原子的含矽材料,如於基板上形成的Six Ny Hz 或—Si—N—H—鍵結,其中x係1至200的整數,y、z係0至400的整數。由於提供於氣體混合物中的處理前驅物可在沉積期間提供氮與氫物質,介電材料408中形成的矽原子可包含—Si—N—H—、—Si—N—或—Si—H—或其他鍵結。Si—N、N—H、Si—H鍵結將進一步藉由接續的熱與濕處理以Si—O—Si鍵結取代以形成介電材料408而作為氧化矽層。In one embodiment, the dielectric material 408 formed on the substrate 400 is a silicon-containing material having nitrogen or hydrogen atoms, such as Si x N y H z or —Si—N—H—bonds formed on the substrate, Wherein x is an integer from 1 to 200, and y and z are integers from 0 to 400. The silicon atoms formed in the dielectric material 408 may comprise -Si-N-H-, -Si-N-, or -Si-H- as process precursors provided in the gas mixture may provide nitrogen and hydrogen species during deposition or other bonds. The Si—N, N—H, Si—H bonds will be further replaced by Si—O—Si bonds by subsequent thermal and wet treatments to form the dielectric material 408 as a silicon oxide layer.

在步驟306,在介電材料408於基板400上形成後,基板400經固化與(或)熱處理。固化處理將水分與其他揮發性成份自沉積的介電材料408移除以形成固相介電材料408,如第4C圖所示。隨著介電材料408固化,沉積的介電材料408中的水分與溶劑排出,導致沉積的介電材料408重新填充與回流於界定於基板400中的凹槽406,從而於基板400上形成實質平坦的表面410。在一個實施例中,固化步驟306可於批次固化腔室103中執行。At step 306, after the dielectric material 408 is formed on the substrate 400, the substrate 400 is cured and/or thermally treated. The curing process removes moisture and other volatile components from the deposited dielectric material 408 to form a solid phase dielectric material 408, as shown in Figure 4C. As the dielectric material 408 cures, the moisture and solvent in the deposited dielectric material 408 are drained, causing the deposited dielectric material 408 to refill and reflow in the grooves 406 defined in the substrate 400 , thereby forming substantially the same on the substrate 400 . Flat surface 410. In one embodiment, the curing step 306 may be performed in the batch curing chamber 103 .

在某些實施例中,固化溫度可控制於攝氏150度之下的溫度,如攝氏100度以下,例如約攝氏50度。固化時間可控制於約1秒至約10小時之間。例如,在一個實施例中,固化處理在約攝氏90度的溫度下執行8至10分鐘。在某些實施例中,在固化處理期間,使用加熱的淨化氣體與(或)惰性載體氣體(氬(Ar)或氮(N2 )),並流動到基板上,例如經由加熱的噴頭。在其他實施例中,載體氣體可與臭氧(O3 )結合於固化處理期間使用。在其他例子中,熱處理氣體於基板表面上的流動以及基板的加熱可以有效地將揮發性元件自薄膜移除,其中流動性介電薄膜已經於基板上形成。在此方法中,經由流動性CVD處理形成的薄膜(如於步驟304中沉積的薄膜)可以轉換為帶有小或沒有孔洞的密集、固體介電薄膜,甚至係當於帶有高深寬比特徵的基板上形成時。在某些實施例中,固化處理包括預熱步驟,在預熱步驟中,在處理氣體流動前,基板於加熱的基座上靜置一特定的持續時間(如約1秒至約10分鐘)。In some embodiments, the curing temperature can be controlled to a temperature below 150 degrees Celsius, such as below 100 degrees Celsius, for example, about 50 degrees Celsius. The curing time can be controlled from about 1 second to about 10 hours. For example, in one embodiment, the curing process is performed at a temperature of about 90 degrees Celsius for 8 to 10 minutes. In certain embodiments, during the curing process, a heated purge gas and/or an inert carrier gas (argon (Ar) or nitrogen ( N2 )) is used and flowed onto the substrate, eg, via a heated showerhead. In other embodiments, the carrier gas may be used in combination with ozone (O 3 ) during the curing process. In other examples, the flow of thermal processing gas over the surface of the substrate and heating of the substrate can effectively remove volatile components from the thin film on which the fluid dielectric thin film has been formed. In this method, films formed via flow CVD processes (such as those deposited in step 304) can be converted into dense, solid dielectric films with little or no voids, even as high aspect ratio features formed on the substrate. In certain embodiments, the curing process includes a preheating step in which the substrate rests on the heated susceptor for a specified duration (eg, about 1 second to about 10 minutes) prior to the flow of the process gas. .

在步驟310,在固化處理完成後,介電材料408可選擇性暴露於熱退火處理以形成退火介電材料408。一般來說,熱退火處理於分別處理腔室中執行而不是以上所述的固化處理。步驟310可於其中執行之適當的熱退火腔室之示範例係可自應用材料公司等取得的CENTURA® RADIANCE® RTP腔室。值得注意的是,包含自其他製造商取得的其他類型之退火腔室或RTP腔室亦可用於執行如步驟310中所述的熱退火處理。 批次固化處理程序示範例At step 310 , after the curing process is complete, the dielectric material 408 may be selectively exposed to a thermal annealing process to form the annealed dielectric material 408 . Typically, thermal annealing processes are performed in separate processing chambers rather than the curing processes described above. An example of a suitable thermal annealing chamber in which step 310 may be performed is a CENTURA® RADIANCE® RTP chamber available from Applied Materials, Inc., among others. Notably, other types of annealing chambers or RTP chambers, including those obtained from other manufacturers, may also be used to perform the thermal annealing process as described in step 310 . Sample batch curing process

第5圖係根據本揭露的實施例而設置的批次固化腔室500的側視截面圖。批次固化腔室500可用作第1圖中的批次固化腔室103以即可用於執行以上步驟306所述的批次固化處理。批次固化腔室500一般包括腔室主體510、設置於腔室主體510內的多個固化站530以及部分設置於腔室主體510內多個基板升舉組件540。5 is a side cross-sectional view of a batch curing chamber 500 configured in accordance with embodiments of the present disclosure. The batch curing chamber 500 can be used as the batch curing chamber 103 in FIG. 1 , and thus can be used to perform the batch curing process described in step 306 above. The batch curing chamber 500 generally includes a chamber body 510 , a plurality of curing stations 530 disposed within the chamber body 510 , and a plurality of substrate lift assemblies 540 partially disposed within the chamber body 510 .

腔室主體510包括與腔室蓋件511及腔室底板513耦接的腔室壁512。真空泵前級真空管線514(經配置而將處理與淨化氣體自腔室主體510泵送)通過腔室底板513而穿入腔室510。在其他實施例中,真空泵前級真空管線514可通過腔室壁512中的一或多個與(或)腔室蓋件511而穿入腔室510。真空泵前級真空管線514通過開口521而與腔室510的處理區域522流體耦接以及與鄰近於多個固化站530的各個設置之多個排氣入口陣列523之各者流體耦接。因此,在固化處理期間自基板排出的處理氣體、淨化氣體與揮發性化合物可以自處理區域522移除以及自位於多個固化站530之間的處理子區域524之各個移除。多個排氣入口陣列523結合第8圖而有更詳盡之描述。The chamber body 510 includes a chamber wall 512 coupled with the chamber cover 511 and the chamber bottom plate 513 . A vacuum pump foreline 514 (configured to pump process and purge gases from the chamber body 510 ) penetrates the chamber 510 through the chamber floor 513 . In other embodiments, the vacuum pump foreline 514 may penetrate the chamber 510 through one or more of the chamber walls 512 and/or the chamber cover 511 . Vacuum pump foreline 514 is fluidly coupled to processing region 522 of chamber 510 through opening 521 and to each of plurality of exhaust inlet arrays 523 disposed adjacent to each of plurality of curing stations 530 . Accordingly, process gases, purge gases, and volatile compounds exhausted from the substrate during the curing process may be removed from the process region 522 and from each of the process sub-regions 524 located between the plurality of curing stations 530 . The plurality of exhaust inlet arrays 523 are described in more detail in conjunction with FIG. 8 .

腔室主體510亦可包括與腔室壁512中的一個耦接的RPS歧管515。在定期清洗處理期間,RPS歧管515經配置而將清洗氣體經由多個清洗氣體開口516導向各處理子區域524。清洗氣體可由遠端電漿源550產生。例如,NH3 或任何其他清洗氣體可穿過遠端電漿源並接著用於移除腔室主體與多個固化站530的一或多個內表面上的不必要沉積物。在預定量的固化薄膜由批次固化腔室500處理之後,或在預定數量的基板被批次固化腔室500處理之後,此處理可在特定時間間隔執行。The chamber body 510 may also include an RPS manifold 515 coupled to one of the chamber walls 512 . During the periodic purge process, the RPS manifold 515 is configured to direct purge gas to each of the process sub-regions 524 via the plurality of purge gas openings 516 . The cleaning gas may be generated by the remote plasma source 550 . For example, NH 3 or any other purge gas can be passed through the remote plasma source and then used to remove unwanted deposits on one or more interior surfaces of the chamber body and the plurality of curing stations 530 . This processing may be performed at specific time intervals after a predetermined amount of cured films are processed by batch curing chamber 500 , or after a predetermined number of substrates are processed by batch curing chamber 500 .

腔室主體510一般亦包括裝載開口517、槽形開口蓋部518(第6圖中所示更多細節)及裝載開口門520,裝載開口517於腔室壁512中的其中一個形成,槽形開口蓋部518設置有多個基板狹縫519,裝載開口門520經設置而在固化處理期間密封裝載開口517。一般來說,基板狹縫519的各個對應於固化站530的個別一個,以及與固化站530的個別一個實質對齊以當裝載開口門520在開啟位置中時,允許大氣機械臂104延伸一臂進入多個子處理區域524中的各個。第5圖中圖示裝載開口門520係在關閉位置。The chamber body 510 also generally includes a loading opening 517 formed in one of the chamber walls 512, a slotted opening cover 518 (shown in more detail in Figure 6), and a loading opening door 520. The opening cover 518 is provided with a plurality of substrate slits 519, and the loading opening door 520 is provided to seal the loading opening 517 during the curing process. Generally, each of the substrate slits 519 corresponds to, and is substantially aligned with, a respective one of the curing stations 530 to allow the atmospheric robot arm 104 to extend an arm into when the load opening door 520 is in the open position Each of the plurality of sub-processing regions 524 . Figure 5 shows the load opening door 520 tied in the closed position.

裝載開口517經配置而允許基板裝載入多個固化站530中的各個而不用將裝載開口相對於多個固化站530或生產介面105重新定位。例如,當多個固化站530以堆疊陣列排列時,如第5圖所示,裝載開口517經配置而在兩個維度(two dimensions,即高度與寬度)上橫跨堆疊陣列,使得堆疊陣列中的多個固化站530的全部或至少一大比例可由大氣機械臂104進出。因此,當固化站530以垂直堆疊陣列排列時,裝載開口517的高度525相當大以容納多個固化站530結合的高度。槽形開口蓋部518可係板或其他結構經配置而在裝載開口517打開時(如基板的裝載與卸載期間)最小化或減少裝載開口517的打開區域。因為裝載開口517具有相當大的高度525,所以裝載開口的自由區域係對應地大,而允許來自生產介面105的大量周圍空氣在槽形開口蓋部518不在時進入批次固化腔室500。大量進入批次固化腔室500的周圍空氣可能導致批次固化腔室500不必要的冷卻或批次固化腔室500中內部元件的氧化與(或)污染,以及亦導致批次固化腔室500中處理氣體與排出產物洩漏入生產介面105。因此,槽形開口蓋部518幫助避免粒子與(或)不必要的氣體或處理副產品自批次固化腔室500來回傳送。The load opening 517 is configured to allow substrates to be loaded into each of the plurality of curing stations 530 without repositioning the load opening relative to the plurality of curing stations 530 or the production interface 105 . For example, when the plurality of curing stations 530 are arranged in a stacked array, as shown in FIG. 5, the load opening 517 is configured to span the stacked array in two dimensions (ie, height and width) such that the All or at least a substantial proportion of the plurality of curing stations 530 may be accessed by the atmospheric manipulator 104 . Thus, when the curing stations 530 are arranged in a vertically stacked array, the height 525 of the loading opening 517 is relatively large to accommodate the height of the multiple curing stations 530 combined. The slotted opening cover 518 may be a plate or other structure configured to minimize or reduce the open area of the loading opening 517 when the loading opening 517 is open, such as during loading and unloading of substrates. Because the loading opening 517 has a relatively large height 525, the free area of the loading opening is correspondingly large, allowing a large amount of ambient air from the production interface 105 to enter the batch curing chamber 500 in the absence of the slot opening cover 518. A large amount of ambient air entering batch curing chamber 500 may cause unnecessary cooling of batch curing chamber 500 or oxidation and/or contamination of internal components in batch curing chamber 500 , and also cause batch curing chamber 500 The process gas and exhaust products leak into the production interface 105 . Thus, the slotted opening cover 518 helps avoid the transfer of particles and/or unnecessary gases or process by-products to and from the batch curing chamber 500 .

第6圖係根據本揭露實施例設置的用於第5圖所示的批次固化腔室500的槽形開口蓋部518之等角視圖。槽形開口蓋部518可係板或其他結構經配置而在裝載開口517打開時(如基板的裝載與卸載期間)最小化或減少裝載開口517的打開區域。例如,多個基板狹縫519的尺寸可經選擇係實務上所能做出的小而沒有造成與通過裝載開口517裝載與卸載的基板之干涉。在此實施例中,可基於大氣機械臂104(示於第1圖)位置、槽形開口蓋部518、裝載開口517以及可能影響多個基板狹縫519相對於大氣機械臂104的個別位置之批次固化腔室500的任何元件之容差疊加(tolerance stack-up)與腔室對腔室的變化而決定多個基板狹縫519的尺寸。因此,在此實施例中,多個基板狹縫可經配置而符合靜置於大氣機械臂104的臂上之基板的截面加上額外的自由區域以適應批次固化腔室500的元件、生產介面105、大氣機械臂104及類似物之容差疊加。FIG. 6 is an isometric view of the slotted opening cover 518 for the batch curing chamber 500 shown in FIG. 5, arranged in accordance with an embodiment of the present disclosure. The slotted opening cover 518 may be a plate or other structure configured to minimize or reduce the open area of the loading opening 517 when the loading opening 517 is open, such as during loading and unloading of substrates. For example, the dimensions of the plurality of substrate slits 519 may be selected to be as small as practicable without causing interference with substrates being loaded and unloaded through the loading openings 517 . In this embodiment, the position of the atmospheric robot 104 (shown in FIG. 1 ), the slotted opening cover 518 , the loading opening 517 , and the individual positions of the plurality of substrate slits 519 relative to the atmospheric robot 104 may be affected. Tolerance stack-up of any components of batch curing chamber 500 and chamber-to-chamber variation determine the dimensions of the plurality of substrate slits 519 . Thus, in this embodiment, multiple substrate slits can be configured to conform to the cross-section of the substrate resting on the arms of the atmospheric robot 104 plus additional free area to accommodate the components, production of the batch curing chamber 500 Tolerance stacking of interface 105, atmospheric manipulator 104, and the like.

為了減少基板裝載入批次固化腔室500時裝載開口517的自由區域,槽形開口蓋部518大幅減少或最小化周圍空氣進入的入口以及處理與淨化氣體自批次固化腔室500出去的出口。因此,儘管裝載開口517相當大尺寸,但是很少或沒有處理氣體與(或)揮發性成分在基板裝載與卸載期間離開批次固化腔室500。此外,避免了周圍空氣自生產介面105進入或熱輻射離開批次固化腔室500導致的批次固化腔室500之不必要冷卻。In order to reduce the free area of the loading opening 517 when substrates are loaded into the batch curing chamber 500 , the slotted opening cover 518 greatly reduces or minimizes the entry of ambient air and the exit of process and purge gases from the batch curing chamber 500 . Export. Thus, despite the relatively large size of the load opening 517, little or no process gas and/or volatile components exit the batch curing chamber 500 during substrate loading and unloading. Furthermore, unnecessary cooling of the batch curing chamber 500 due to ambient air entering from the production interface 105 or thermal radiation leaving the batch curing chamber 500 is avoided.

第7圖係根據本揭露實施例配置的多個固化站530的部分之部分截面圖。設置於腔室主體510內的多個固化站530之各個包括加熱基板基座531、定位於加熱基座531上的噴頭532、於加熱基座531與噴頭532之間形成的噴頭氣室533、與噴頭氣室533和處理氣體板(未圖示)流體耦接的環狀氣室534、固化站加熱器535以及熱電偶537。為求清楚,可鄰近於固化站530設置的排氣入口陣列523自第7圖省略。處理子區域524位於多個固化站530的各個之間。7 is a partial cross-sectional view of a portion of a plurality of curing stations 530 configured in accordance with embodiments of the present disclosure. Each of the plurality of curing stations 530 disposed in the chamber main body 510 includes a heating substrate base 531, a shower head 532 positioned on the heating base 531, a shower head air chamber 533 formed between the heating base 531 and the shower head 532, An annular plenum 534, a curing station heater 535, and a thermocouple 537 are fluidly coupled to the showerhead plenum 533 and the process gas plate (not shown). For clarity, the exhaust inlet array 523 that may be positioned adjacent to the curing station 530 is omitted from FIG. 7 . The processing sub-region 524 is located between each of the plurality of curing stations 530 .

加熱基板基座531經配置而支撐以及在某些實施例中於固化處理期間加熱基板。噴頭532經配置而均勻分配進入噴頭氣室533至鄰近處理子區域524的處理氣體(即固化氣體)與淨化氣體。此外,加熱基板基座531與噴頭532經配置而形成所示的噴頭氣室533。值得注意的是穿過噴頭氣室533並進入處理子區域524的氣體可藉由與處理子區域524相聯的加熱基板基座531加熱,該處理子區域524不同於且鄰近於氣體流入的處理子區域524。或者或更甚者,穿過噴頭氣室533與進入處理子區域524的氣體可藉由氣體通過的噴頭532加熱。The heated substrate pedestal 531 is configured to support and in some embodiments heat the substrate during the curing process. Showerhead 532 is configured to evenly distribute process gas (ie, curing gas) and purge gas entering showerhead plenum 533 to adjacent process sub-regions 524 . Additionally, the heated substrate pedestal 531 and the showerhead 532 are configured to form a showerhead plenum 533 as shown. Notably, the gas passing through the showerhead plenum 533 and into the processing sub-region 524 may be heated by the heated substrate pedestal 531 associated with the processing sub-region 524, which is distinct from and adjacent to the processing in which the gas flows. Sub-area 524. Alternatively or even further, the gas passing through the showerhead plenum 533 and entering the processing sub-region 524 may be heated by the showerhead 532 through which the gas passes.

在某些實施例中,通過噴頭氣室533並進入處理子區域524的處理與(或)淨化氣體可首先穿過與噴頭氣室533流體耦接的環狀氣室534,如第7圖所示。環狀氣室534經配置有複數個孔口701,孔口701經調整尺寸而相較於當處理氣體702流動通過噴頭氣室533時於處理氣體702上產生的流動阻力而在處理氣體702上產生更大的流動阻力(即壓降)。在此方法中,雖然環狀氣室534可透過單一入口或少量入口而與處理氣體板耦接,但是進入噴頭氣室533的處理氣體702的流動在噴頭532周圍附近係實質均勻的。一般來說,進入噴頭氣室533的處理氣體702之均勻流動促成通過噴頭532進入處理子區域524的均勻流動。為了進一步促成處理氣體702的均勻流動,孔口701可於環狀氣室534的內周附近對稱分佈。In certain embodiments, the process and/or purge gases that pass through the showerhead plenum 533 and into the processing sub-region 524 may first pass through an annular plenum 534 that is fluidly coupled to the showerhead plenum 533, as shown in FIG. 7 Show. The annular plenum 534 is configured with a plurality of orifices 701 that are sized on the process gas 702 compared to the flow resistance created on the process gas 702 as the process gas 702 flows through the showerhead plenum 533 Creates greater resistance to flow (i.e. pressure drop). In this approach, the flow of process gas 702 entering showerhead plenum 533 is substantially uniform around showerhead 532, although annular plenum 534 may be coupled to the process gas plate through a single inlet or a small number of inlets. In general, uniform flow of process gas 702 entering showerhead plenum 533 promotes uniform flow through showerhead 532 into processing sub-region 524 . To further facilitate uniform flow of the process gas 702 , the orifices 701 may be symmetrically distributed near the inner circumference of the annular gas chamber 534 .

促成進入噴頭氣室533的處理氣體702之均勻流動的孔口701最大自由區域可基於孔口701的數量、噴頭氣室533的尺寸、噴頭532產生的流動阻力以及處理氣體702的約略流動速率等來決定。此孔口701的最大自由區域可藉由所屬領域中具有通常知識者就以上所述之知識來決定。The maximum free area of the orifices 701 that facilitates uniform flow of the process gas 702 into the showerhead plenum 533 may be based on the number of orifices 701, the size of the showerhead plenum 533, the flow resistance created by the showerhead 532, the approximate flow rate of the process gas 702, etc. to decide. The maximum free area of this orifice 701 can be determined by those of ordinary skill in the art with the knowledge described above.

批次固化腔室500可包括固化站加熱器535與熱電偶537,其在一起而使個別閉迴路溫度控制能夠用於多個固化站530的各個。因此,批次固化腔室500可以處理多個基板而沒有多個固化站530間溫度變化導致的基板對基板變化的風險。沒有固化站加熱器535的個別溫度控制,批次固化腔室500的處理子區域524之頂部與底部處理的基板通常相較於中心處理子區域524中處理的基板暴露於較低溫度,其可以嚴重影響固化處理晶圓至晶圓批次處理的結果。Batch curing chamber 500 may include curing station heaters 535 and thermocouples 537 that together enable individual closed loop temperature control for each of multiple curing stations 530 . Thus, batch curing chamber 500 can process multiple substrates without the risk of substrate-to-substrate variation due to temperature variations among multiple curing stations 530 . Without individual temperature control of the curing station heaters 535, substrates processed at the top and bottom of the processing sub-region 524 of the batch curing chamber 500 are typically exposed to lower temperatures than substrates processed in the central processing sub-region 524, which may Severely affects the results of cured wafer-to-wafer batch processing.

在某些實施例中,熱電偶537與固化站加熱器535皆設置於加熱基板基座531中,如第7圖所示。在此等實施例中,噴頭532與環狀氣室534的壁經由傳導與輻射加熱傳遞而加熱至接近加熱基板基座531的溫度。因此,穿過環狀氣室534的處理氣體、噴頭氣室533與噴頭532亦加熱至接近加熱基板基座531的溫度。熱電偶537提供溫度反饋給加熱基板基座531以及因而進入處理子區域524中的一個之處理氣體的溫度閉迴路控制。或者,可設置熱電偶537與噴頭532接觸以及(或)與進入進入處理子區域524中的一個之處理氣體接觸。In some embodiments, both the thermocouple 537 and the curing station heater 535 are disposed in the heated substrate base 531 , as shown in FIG. 7 . In these embodiments, the walls of the showerhead 532 and annular plenum 534 are heated to a temperature close to the heated substrate pedestal 531 via conduction and radiative heating transfer. Therefore, the process gas passing through the annular gas chamber 534 , the shower head gas chamber 533 and the shower head 532 are also heated to a temperature close to the temperature of the heated substrate susceptor 531 . Thermocouple 537 provides temperature feedback to the closed loop control of the temperature of the heated substrate pedestal 531 and thus the processing gas entering one of the processing sub-regions 524. Alternatively, a thermocouple 537 may be placed in contact with the showerhead 532 and/or with the process gas entering one of the process sub-regions 524.

如以上所述,多個排氣入口陣列523鄰近多個固化站530的各者而設置。在處理子區域524中的一個中的基板上執行的某些固化處理中,自基板上形成的介電材料排出之揮發性成分可形成粒子,如SiO2粒子。此等粒子可能靜置於正在處理的基板上,此係非常不受歡迎的。因此,批次固化腔室500中的淨化與處理氣體的流動模式可以影響處理子區域524中正在處理的基板之污染。排氣入口陣列523經配置而將揮發性成份與粒子(如果形成的話)自正處理的基板排出。在某些實施例中,兩個或兩個以上的排氣入口陣列523鄰近於各固化站530如以對稱排列的方式設置,如第7與8A-8C圖所示。As described above, a plurality of exhaust inlet arrays 523 are provided adjacent to each of the plurality of curing stations 530 . In certain curing processes performed on the substrates in one of the processing sub-regions 524, volatile components expelled from the dielectric material formed on the substrates may form particles, such as SiO2 particles. Such particles may settle on the substrate being processed, which is highly undesirable. Thus, the flow patterns of purge and process gases in batch curing chamber 500 can affect contamination of substrates being processed in process sub-region 524 . The exhaust inlet array 523 is configured to exhaust volatile components and particles, if formed, from the substrate being processed. In some embodiments, two or more exhaust inlet arrays 523 are disposed adjacent to each curing station 530 such as in a symmetrical arrangement, as shown in Figures 7 and 8A-8C.

第8A圖係根據本揭露實施例排列的多個群組排氣入口陣列523之等角視圖。第8B圖係第8A圖所示的多個群組排氣入口陣列523之平面圖以及第8C圖係第8A圖所示的多個群組排氣入口陣列523之側視圖。為求清楚,批次固化腔室500的大部分其他元件被省略。如第8A-8C圖所示的實施例所示,一群組的四個排氣入口陣列523鄰近於特定固化站530定位,總共有六群組的四個排氣入口陣列523。在其他實施例中,一群組的多於或少於四個排氣入口陣列523可鄰近於單一固化站530定位。8A is an isometric view of a plurality of groups of exhaust inlet arrays 523 arranged in accordance with an embodiment of the present disclosure. Figure 8B is a plan view of the plurality of group exhaust inlet arrays 523 shown in Figure 8A and Figure 8C is a side view of the plurality of group exhaust inlet arrays 523 shown in Figure 8A. Most other elements of batch curing chamber 500 have been omitted for clarity. As shown in the embodiment shown in Figures 8A-8C, a group of four exhaust inlet arrays 523 is positioned adjacent a particular curing station 530 for a total of six groups of four exhaust inlet arrays 523. In other embodiments, a group of more or less than four exhaust inlet arrays 523 may be positioned adjacent to a single curing station 530 .

各排氣入口陣列523包括與排氣氣室802流體耦接的複數個排氣入口801,排氣氣室802位於排氣入口陣列523內。在某些實施例中,各排氣入口陣列523與支撐構件810機械耦接,支撐構件810結構性支撐以及定位與其耦接的排氣入口陣列523。在第8A-C圖所示的實施例中,批次固化腔室500包括四個分開的支撐構件810,而在其他實施例中,批次固化構件500可經配置有多於或少於總共四個支撐構件810。此外,各排氣入口陣列523與排氣歧管流體耦接(為求清楚而未圖示),排氣歧管接著與批次固化腔室500的前級真空管線514流體耦接。在某些實施例中,支撐構件810的一或多個亦可設置為排氣歧管。Each exhaust inlet array 523 includes a plurality of exhaust inlets 801 fluidly coupled to an exhaust plenum 802 located within the exhaust inlet array 523 . In certain embodiments, each exhaust inlet array 523 is mechanically coupled to a support member 810 that structurally supports and positions the exhaust inlet array 523 to which it is coupled. In the embodiment shown in Figures 8A-C, the batch curing chamber 500 includes four separate support members 810, while in other embodiments, the batch curing members 500 may be configured with more or less than a total of Four support members 810 . Additionally, each exhaust inlet array 523 is fluidly coupled to an exhaust manifold (not shown for clarity), which is in turn fluidly coupled to the fore vacuum line 514 of the batch curing chamber 500 . In certain embodiments, one or more of the support members 810 may also be configured as exhaust manifolds.

在某些實施例中,排氣入口陣列523的部分或全部可包括流動平衡孔口811。在此等實施例中,各流動平衡孔口811經配置而限制流動至相聯的排氣入口陣列523,使得通過各排氣入口陣列523的處理氣體與排出成分之流動相對於鄰近的排氣入口陣列523係相等或實質相等的。在某些實施例中,流動平衡孔口811係固定孔口。在此等實施例中,各固定孔口的特定尺寸可使用電腦模擬、流動視覺化、試誤法(trial-and-error methods)或以上各者之組合而決定。在其他實施例中,流動平衡孔口811的部分或全部係可調整孔口(如針閥),其可以在製造的時間設定(在該領域中)以及(或)回應批次固化腔室500中的排氣平衡問題。In certain embodiments, some or all of exhaust inlet array 523 may include flow equalization orifices 811 . In these embodiments, each flow balance orifice 811 is configured to restrict flow to the associated exhaust inlet array 523 such that the flow of process gas and exhaust components through each exhaust inlet array 523 is relative to adjacent exhaust gas The inlet arrays 523 are equal or substantially equal. In some embodiments, the flow balance orifice 811 is a fixed orifice. In these embodiments, the specific dimensions of each fixed orifice can be determined using computer simulation, flow visualization, trial-and-error methods, or a combination of the above. In other embodiments, some or all of the flow balance orifice 811 is an adjustable orifice (eg, a needle valve) that can be set at the time of manufacture (in the field) and/or responsive to the batch curing chamber 500 Exhaust balance problem in .

多個基板升舉組件540經配置而在裝載與卸載期間將個別基板自大氣機械臂104移除以及將個別基板置放在大氣機械臂104上。此外,多個基板升舉組件540經配置而在批次固化腔室500中的處理期間同時定位多個基板。例如,在某些實施例中,多個基板升舉組件540經配置而同時將正處理的各基板定位入處理位置以及入預熱位置。一般來說,當在處理位置時,基板定位靠近噴頭532,而在預熱位置時,基板定位在加熱基板基座531上。The plurality of substrate lift assemblies 540 are configured to remove and place individual substrates from the atmospheric robot 104 during loading and unloading. Furthermore, multiple substrate lift assemblies 540 are configured to simultaneously position multiple substrates during processing in batch curing chamber 500 . For example, in some embodiments, multiple substrate lift assemblies 540 are configured to simultaneously position each substrate being processed into a processing position and into a preheat position. Generally, when in the processing position, the substrate is positioned close to the showerhead 532, and when in the preheat position, the substrate is positioned on the heated substrate pedestal 531.

多個基板升舉組件540包括多個升舉銷索引器541,如三個或三個以上。在第5圖所示的實施例中,多個基板升舉組件540包括三個升舉銷索引器541,但是只有一個是可見的。第9圖係腔室蓋件511與多個基板升舉組件540的全部三個升舉銷索引器541的部分之等角視圖。為求清楚,腔室壁512與腔室底板513自第9圖省略。三個升舉銷索引器541的各者部分設置於腔室主體510內且與升舉機構544(示於第5圖中以及為求清楚而於第9圖中省略)耦接。升舉機構544可係適合用於將基板定位於上述裝載、卸載、預熱以及處理位置中的任何機械致動器。例如,升舉機構可包括氣動致動器、步進馬達以及類似物。The plurality of substrate lift assemblies 540 include a plurality of lift pin indexers 541, such as three or more. In the embodiment shown in Figure 5, the plurality of substrate lift assemblies 540 includes three lift pin indexers 541, but only one is visible. FIG. 9 is an isometric view of a portion of the chamber cover 511 and all three lift pin indexers 541 of the plurality of substrate lift assemblies 540 . For clarity, the chamber wall 512 and the chamber bottom plate 513 are omitted from FIG. 9 . Portions of each of the three lift pin indexers 541 are disposed within the chamber body 510 and are coupled to a lift mechanism 544 (shown in Figure 5 and omitted in Figure 9 for clarity). The lift mechanism 544 can be any mechanical actuator suitable for positioning the substrates in the loading, unloading, preheating, and processing positions described above. For example, lift mechanisms may include pneumatic actuators, stepper motors, and the like.

第10圖係根據本揭露實施例設置的升舉銷索引器541之截面圖。如圖所示,升舉銷索引器541一般包括用於批次固化腔室500中的處理子區域524的各個之升舉銷542。因此,在第5、9與10圖中所示的示範例,各升舉銷索引器541包括與垂直軸543耦接的六個升舉銷542。三個升舉銷索引器541可以同時將六個基板定位於處理位置或同時將六個基板設定於預熱位置中各別加熱基板基座531上。FIG. 10 is a cross-sectional view of a lift pin indexer 541 configured in accordance with an embodiment of the present disclosure. As shown, lift pin indexer 541 generally includes lift pins 542 for each of processing sub-regions 524 in batch curing chamber 500 . Thus, in the exemplary example shown in Figures 5, 9 and 10, each lift pin indexer 541 includes six lift pins 542 coupled to a vertical axis 543. The three lift pin indexers 541 can simultaneously position six substrates in the processing position or simultaneously set six substrates in the preheat position on the respective heated substrate pedestals 531 .

在某些實施例中,各升舉銷542經配置有低接觸、熱絕緣的接觸表面1001以減少與(或)最小化處理期間自基板至升舉銷542的熱傳遞。如此,基板上所謂的「冷點」在處理期間被減少或去除,從而改善批次固化腔室500中正在固化的介電薄膜之均勻性。在某些實施例中,接觸表面1001形成有圓柱元件1002,使得基板與接觸表面1001間的接觸表面縮減為線或點接觸。此外,圓柱元件1002可由相較於常用於形成升舉銷542的材料(如鋁與不鏽鋼)具有較低熱傳導係數的材料形成。例如,在某些實施例中,圓柱元件1002可由藍寶石(Al2 O3 )形成。In certain embodiments, each lift pin 542 is configured with a low contact, thermally insulating contact surface 1001 to reduce and/or minimize heat transfer from the substrate to the lift pin 542 during processing. In this way, so-called "cold spots" on the substrate are reduced or removed during processing, thereby improving the uniformity of the dielectric film being cured in batch curing chamber 500 . In some embodiments, the contact surface 1001 is formed with cylindrical elements 1002 such that the contact surface between the substrate and the contact surface 1001 is reduced to a line or point contact. Additionally, the cylindrical element 1002 may be formed from a material that has a lower thermal conductivity than materials commonly used to form the lift pins 542, such as aluminum and stainless steel. For example, in certain embodiments, cylindrical element 1002 may be formed from sapphire (Al 2 O 3 ).

概括之,本發明揭露的一或多個實施例提供用於固化設置在多個基板上的介電材料而沒有一般與批次處理相關聯的基板至基板的變化的系統與方法。特定言之,批次固化腔室包括各自獨立作溫度控制的多個處理子區域。此外,裝載於腔室的裝載開口上的槽形蓋部大幅減少裝載與卸載期間進入腔室的周圍空氣之影響。In summary, one or more embodiments disclosed herein provide systems and methods for curing a dielectric material disposed on a plurality of substrates without the substrate-to-substrate variation typically associated with batch processing. In particular, the batch curing chamber includes a plurality of processing sub-zones that are each independently temperature controlled. In addition, the slotted cover that fits over the loading opening of the chamber greatly reduces the effect of ambient air entering the chamber during loading and unloading.

雖然前面所述係針對本發明揭露的實施例,但在不背離本發明基本範圍下,可設計其他與進一步的實施例,而本發明範圍由以下申請專利範圍所界定。Although the foregoing is directed to the disclosed embodiments of the present invention, other and further embodiments may be devised without departing from the essential scope of the present invention, which is defined by the following claims.

100‧‧‧處理工具 103A‧‧‧狹縫閥 103B‧‧‧腔室主體 103‧‧‧批次固化腔室 104‧‧‧大氣機械臂 105‧‧‧生產介面 106‧‧‧負載鎖定腔室 108a‧‧‧處理腔室 109‧‧‧大氣夾持站 110‧‧‧第二機械臂 112‧‧‧腔室 200‧‧‧處理腔室 201‧‧‧RPS 202‧‧‧第一通道 204‧‧‧第二通道 205‧‧‧氣體入口組件 206‧‧‧擋板 212‧‧‧蓋件 214‧‧‧孔 215‧‧‧第一電漿區域 220‧‧‧絕緣環 225‧‧‧噴頭 233‧‧‧第二電漿區域 290‧‧‧激發的處理前驅物 300‧‧‧處理 302‧‧‧步驟 304‧‧‧步驟 306‧‧‧步驟 310‧‧‧步驟 400‧‧‧基板 402‧‧‧層 404‧‧‧STI結構 406‧‧‧凹槽 408‧‧‧介電材料 410‧‧‧平坦表面 500‧‧‧批次固化腔室 510‧‧‧腔室主體 511‧‧‧腔室蓋件 512‧‧‧腔室壁 513‧‧‧腔室底板 514‧‧‧前級真空管線 515‧‧‧RPS歧管 516‧‧‧清洗氣體開口 517‧‧‧裝載開口 518‧‧‧槽形開口蓋部 519‧‧‧基板狹縫 520‧‧‧裝載開口門 521‧‧‧開口 522‧‧‧處理區域 523‧‧‧排氣入口陣列 524‧‧‧處理子區域 525‧‧‧高度 530‧‧‧固化站 531‧‧‧加熱基板基座 532‧‧‧噴頭 533‧‧‧噴頭氣室 534‧‧‧環狀氣室 535‧‧‧固化站加熱器 537‧‧‧熱電偶 540‧‧‧基板升舉組件 541‧‧‧升舉銷索引器 542‧‧‧升舉銷 543‧‧‧垂直軸 544‧‧‧升居機構 550‧‧‧遠端電漿源 701‧‧‧孔口 702‧‧‧處理氣體 801‧‧‧排氣入口 802‧‧‧排氣氣室 810‧‧‧支撐構件 811‧‧‧流動平衡孔口 1001‧‧‧接觸表面 1002‧‧‧圓柱元件100‧‧‧Processing Tools 103A‧‧‧Slit valve 103B‧‧‧Chamber body 103‧‧‧Batch curing chamber 104‧‧‧Atmospheric Manipulator 105‧‧‧Production Interface 106‧‧‧Load lock chamber 108a‧‧‧Processing chamber 109‧‧‧Atmospheric clamping station 110‧‧‧Second Robot Arm 112‧‧‧Chamber 200‧‧‧Processing chamber 201‧‧‧RPS 202‧‧‧First Pass 204‧‧‧Second Channel 205‧‧‧Gas inlet assembly 206‧‧‧Bezel 212‧‧‧Cover 214‧‧‧hole 215‧‧‧First Plasma Region 220‧‧‧Insulation ring 225‧‧‧Sprinkler 233‧‧‧Second Plasma Region 290 ‧‧‧ Excited Processing Precursors 300‧‧‧processing 302‧‧‧Steps 304‧‧‧Steps 306‧‧‧Steps 310‧‧‧Steps 400‧‧‧Substrate Floor 402‧‧‧ 404‧‧‧STI structure 406‧‧‧Grooving 408‧‧‧Dielectric Materials 410‧‧‧Flat surface 500‧‧‧batch curing chamber 510‧‧‧Chamber body 511‧‧‧Chamber cover 512‧‧‧Chamber wall 513‧‧‧Chamber floor 514‧‧‧Foreline vacuum line 515‧‧‧RPS Manifold 516‧‧‧Purge gas opening 517‧‧‧Load opening 518‧‧‧Slotted opening cover 519‧‧‧Substrate slit 520‧‧‧Load opening door 521‧‧‧Opening 522‧‧‧Processing area 523‧‧‧Exhaust Inlet Array 524‧‧‧Processing subregions 525‧‧‧Height 530‧‧‧Cure Station 531‧‧‧Heating Substrate Base 532‧‧‧Sprinkler 533‧‧‧Nozzle air chamber 534‧‧‧Annular air chamber 535‧‧‧Cure Station Heater 537‧‧‧Thermocouple 540‧‧‧Substrate lift assembly 541‧‧‧Lifting Pin Indexer 542‧‧‧Lifting pins 543‧‧‧Vertical axis 544‧‧‧Ascension Organization 550‧‧‧Remote Plasma Source 701‧‧‧Orifice 702‧‧‧Processing gas 801‧‧‧Exhaust inlet 802‧‧‧Exhaust air chamber 810‧‧‧Support member 811‧‧‧Flow balance orifice 1001‧‧‧Contact surface 1002‧‧‧Cylinder element

本發明揭露之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本發明實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了本發明揭露的典型實施例,而由於本發明可允許其他等效之實施例,所附圖式並不會視為本發明範圍之限制。The disclosed features of the present invention have been briefly summarized above and are discussed in greater detail below, which can be understood by reference to the embodiments of the invention illustrated in the accompanying drawings. It should be noted, however, that the appended drawings depict only typical embodiments disclosed in this invention, and are not to be considered as limiting the scope of the invention since the invention may admit to other equivalent embodiments. .

第1圖係包括根據本發明揭露的實施例設置具有批次固化腔室的生產介面之處理工具的俯視圖;FIG. 1 is a top view of a processing tool including a production interface having a batch curing chamber according to an embodiment of the present disclosure;

第2圖係具有分區電漿產生區域的流動性化學氣相沉積腔室的一個實施例的截面圖;FIG. 2 is a cross-sectional view of one embodiment of a fluid chemical vapor deposition chamber having zoned plasma generation regions;

第3圖係可於第1圖所示的處理腔室200與批次固化腔室103中實施的處理過程之一個實施例的流程圖;FIG. 3 is a flow diagram of one embodiment of a process that may be implemented in the process chamber 200 and batch curing chamber 103 shown in FIG. 1;

第4A-4C圖係對應於第3圖所示的處理過程的各式階段之基板的部分之概要截面圖;Figures 4A-4C are schematic cross-sectional views of portions of the substrate corresponding to various stages of the processing shown in Figure 3;

第5圖係根據本發明揭露的實施例設置的批次固化腔室之截面側視圖;5 is a cross-sectional side view of a batch curing chamber configured in accordance with an embodiment of the present disclosure;

第6圖係根據本發明揭露的實施例設置的於第5圖所示的用於批次固化腔室的槽形開口蓋部的等角視圖;FIG. 6 is an isometric view of the slotted opening cover for the batch curing chamber shown in FIG. 5, arranged in accordance with an embodiment of the present disclosure;

第7圖係根據本發明揭露的實施例設置的多個固化站的部分之部分截面圖;FIG. 7 is a partial cross-sectional view of a portion of a plurality of curing stations arranged in accordance with an embodiment of the present disclosure;

第8A圖係根據本發明揭露的實施例排列之多個群組的排氣入口陣列之等角視圖;8A is an isometric view of a plurality of groups of exhaust inlet arrays arranged in accordance with embodiments disclosed herein;

第8B圖係第8A圖所示的多個群組排氣入口陣列的平面圖;Figure 8B is a plan view of the plurality of group exhaust inlet arrays shown in Figure 8A;

第8C圖係第8A圖所示的多個群組排氣入口陣列的側視圖;Fig. 8C is a side view of the plurality of group exhaust inlet arrays shown in Fig. 8A;

第9圖係第5圖所示的腔室蓋與多個基板升舉組件的升舉銷索引器(lift pin indexer)的部分之等角視圖;及FIG. 9 is an isometric view of the portion of the chamber cover and lift pin indexer of the plurality of substrate lift assemblies shown in FIG. 5; and

第10圖係根據本發明揭露的實施例配置的升舉銷索引器的截面圖。10 is a cross-sectional view of a lift pin indexer configured in accordance with disclosed embodiments of the present invention.

為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以考慮,一個實施例中的元件與特徵可有利地用於其它實施例中而無需贅述。To facilitate understanding, where possible, the same numerals have been used to refer to the same elements in the figures. It is contemplated that elements and features of one embodiment may be advantageously used in other embodiments without further elaboration.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic storage information (please note in the order of storage institution, date and number) none

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Foreign deposit information (please mark in the order of deposit country, institution, date and number) none

500‧‧‧批次固化腔室 500‧‧‧batch curing chamber

510‧‧‧腔室主體 510‧‧‧Chamber body

511‧‧‧腔室蓋件 511‧‧‧Chamber cover

512‧‧‧腔室壁 512‧‧‧Chamber wall

513‧‧‧腔室底板 513‧‧‧Chamber floor

514‧‧‧前級真空管線 514‧‧‧Foreline vacuum line

515‧‧‧RPS歧管 515‧‧‧RPS Manifold

516‧‧‧清洗氣體開口 516‧‧‧Purge gas opening

517‧‧‧裝載開口 517‧‧‧Load opening

518‧‧‧槽形開口蓋部 518‧‧‧Slotted opening cover

519‧‧‧基板狹縫 519‧‧‧Substrate slit

520‧‧‧裝載開口門 520‧‧‧Load opening door

521‧‧‧開口 521‧‧‧Opening

522‧‧‧處理區域 522‧‧‧processing area

523‧‧‧排氣入口陣列 523‧‧‧Exhaust Inlet Array

524‧‧‧處理子區域 524‧‧‧Processing subregions

525‧‧‧高度 525‧‧‧Height

530‧‧‧固化站 530‧‧‧Cure Station

531‧‧‧加熱基板基座 531‧‧‧Heating Substrate Base

532‧‧‧噴頭 532‧‧‧Sprinkler

533‧‧‧噴頭氣室 533‧‧‧Nozzle air chamber

534‧‧‧環狀氣室 534‧‧‧Annular air chamber

535‧‧‧固化站加熱器 535‧‧‧Cure Station Heater

537‧‧‧熱電偶 537‧‧‧Thermocouple

540‧‧‧基板升舉組件 540‧‧‧Substrate lift assembly

541‧‧‧升舉銷索引器 541‧‧‧Lifting Pin Indexer

542‧‧‧升舉銷 542‧‧‧Lifting pins

543‧‧‧垂直軸 543‧‧‧Vertical axis

544‧‧‧升居機構 544‧‧‧Ascension Organization

550‧‧‧遠端電漿源 550‧‧‧Remote Plasma Source

Claims (24)

一種批次處理腔室,包含:一或多個壁及固定至該一或多個壁的一蓋件;複數個固化站,該複數個固化站排置在一堆疊中,每個固化站包含:一加熱基座、設置在該加熱基座上的一噴頭,及設置在該噴頭與該加熱基座之間的一處理區域;及一多個基板升舉組件,該多個基板升舉組件包含:複數個升舉銷索引器,每個升舉銷索引器延伸穿過該蓋件且每個升舉銷索引器包含:一軸和連接至該軸的複數個升舉銷,其中每個升舉銷延伸至該複數個固化站中的一不同的固化站的一處理區域中,且每個升舉銷索引器的該軸延伸穿過每個固化站的該噴頭。 A batch processing chamber comprising: one or more walls and a cover secured to the one or more walls; a plurality of curing stations arranged in a stack, each curing station comprising : a heating base, a spray head disposed on the heating base, and a processing area disposed between the spray head and the heating base; and a plurality of substrate lifting assemblies, the plurality of substrate lifting assemblies comprising: a plurality of lift pin indexers, each lift pin indexer extending through the cover and each lift pin indexer comprising: a shaft and a plurality of lift pins connected to the shaft, wherein each lift pin indexer A lift pin extends into a processing area of a different one of the plurality of curing stations, and the shaft of each lift pin indexer extends through the spray head of each curing station. 如請求項1所述之批次處理腔室,其中:該堆疊是該複數個固化站的一垂直堆疊,該升舉銷索引器的該軸垂直地延伸穿過固化站的該堆疊,及每個升舉銷從該軸水平地延伸。 The batch processing chamber of claim 1, wherein: the stack is a vertical stack of the plurality of curing stations, the axis of the lift pin indexer extends vertically through the stack of curing stations, and each A lift pin extends horizontally from the shaft. 如請求項2所述之批次處理腔室,其中:該複數個固化站包含:四個或更多個固化站,及 該多個基板升舉組件包含:三個或更多個升舉銷索引器。 The batch processing chamber of claim 2, wherein: the plurality of curing stations comprises: four or more curing stations, and The plurality of substrate lift assemblies include: three or more lift pin indexers. 如請求項2所述之批次處理腔室,其中每個升舉銷包含:一圓柱元件,該圓柱元件形成該升舉銷的一頂表面。 The batch processing chamber of claim 2, wherein each lift pin comprises: a cylindrical element forming a top surface of the lift pin. 如請求項1所述之批次處理腔室,其中每個升舉銷包含:一圓柱元件,該圓柱元件經配置以支撐一基板。 The batch processing chamber of claim 1, wherein each lift pin includes a cylindrical element configured to support a substrate. 如請求項5所述之批次處理腔室,其中該圓柱元件是由氧化鋁(Al2O3)形成。 The batch processing chamber of claim 5, wherein the cylindrical element is formed of alumina (Al 2 O 3 ). 如請求項5所述之批次處理腔室,其中該圓柱元件是由藍寶石形成。 The batch processing chamber of claim 5, wherein the cylindrical element is formed from sapphire. 一種批次處理腔室,包含:複數個固化站,該複數個固化站排置在一堆疊中,每個固化站包含:一加熱基座、設置在該加熱基座上的一噴頭,及設置在該噴頭與該加熱基座之間的一處理區域;及複數個排氣組件,每個排氣組件包含:複數個排氣陣列,每個排氣組件耦接至一不同的固化站的該處理區域,其中每個排氣陣列包含:一流量平衡孔口。 A batch processing chamber comprising: a plurality of curing stations arranged in a stack, each curing station comprising: a heating base, a spray head disposed on the heating base, and a a processing area between the showerhead and the heating base; and a plurality of exhaust assemblies, each exhaust assembly comprising: a plurality of exhaust arrays, each exhaust assembly coupled to the A treatment area, wherein each exhaust array includes: a flow balancing orifice. 如請求項8所述之批次處理腔室,其中:該複數個排氣組件包含:一第一排氣組件,該第一 排氣組件包括一第一排氣陣列和一第二排氣陣列,該第一排氣陣列具有一第一流量平衡孔口,該第一流量平衡孔口具有一第一尺寸,該第二排氣陣列具有一第二流量平衡孔口,該第二流量平衡孔口具有一第二尺寸,以及該第一尺寸不同於該第二尺寸。 The batch processing chamber of claim 8, wherein: the plurality of exhaust components include: a first exhaust component, the first exhaust component The exhaust assembly includes a first exhaust array and a second exhaust array, the first exhaust array has a first flow balancing orifice, the first flow balancing orifice has a first size, the second row The gas array has a second flow balance orifice, the second flow balance orifice has a second size, and the first size is different from the second size. 如請求項8所述之批次處理腔室,其中每個流量平衡孔口是可調整的,且用於每個排氣組件的每個排氣陣列繞著該排氣組件的一不同角度位置相對於那個排氣組件的其他一個或多個排氣陣列而延伸。 The batch processing chamber of claim 8, wherein each flow balancing orifice is adjustable and each exhaust array for each exhaust assembly has a different angular position about the exhaust assembly Extends relative to the other one or more exhaust arrays of that exhaust assembly. 如請求項8所述之批次處理腔室,其中每個排氣組件的該複數個排氣陣列以一對稱排列方式且圍繞每個排氣組件耦接到的對應的該處理區域而定位。 The batch processing chamber of claim 8, wherein the plurality of exhaust arrays of each exhaust assembly are positioned in a symmetrical arrangement and around the corresponding processing region to which each exhaust assembly is coupled. 如請求項8所述之批次處理腔室,其中:每個排氣陣列包含:一氣室和複數個排氣入口,以及每個排氣陣列的該流量平衡孔口連接至用於該排氣陣列的該氣室的一出口。 The batch processing chamber of claim 8, wherein: each exhaust array comprises: a plenum and a plurality of exhaust inlets, and the flow balancing orifice of each exhaust array is connected to the exhaust for the exhaust an outlet of the plenum of the array. 如請求項12所述之批次處理腔室,其中每個流量平衡孔口是可調整的。 The batch processing chamber of claim 12, wherein each flow balance orifice is adjustable. 如請求項8所述之批次處理腔室,其中該流量平衡孔口包含:一針閥。 The batch processing chamber of claim 8, wherein the flow balancing orifice comprises: a needle valve. 如請求項8所述之批次處理腔室,其中每個排氣組件的該複數個排氣陣列包含:四個排氣陣列,及每個排氣陣列與其他的排氣陣列分隔開。 The batch processing chamber of claim 8, wherein the plurality of exhaust arrays of each exhaust assembly comprises: four exhaust arrays, and each exhaust array is separated from the other exhaust arrays. 一種批次處理腔室,包含:複數個固化站,該複數個固化站排置在一堆疊中,每個固化站包含:一加熱基座、設置在該加熱基座上的一噴頭,及設置在該噴頭與該加熱基座之間的一處理區域;一多個基板升舉組件,該多個基板升舉組件包含:一或多個升舉銷索引器,每個升舉銷索引器包含:一軸和連接至該軸的複數個升舉銷,其中每個升舉銷延伸至該複數個固化站中的一不同的固化站的一處理區域中;及複數個排氣組件,每個排氣組件包含:複數個排氣陣列,每個排氣組件耦接至一不同的固化站的該處理區域,其中每個排氣陣列包含:一流量平衡孔口。 A batch processing chamber comprising: a plurality of curing stations arranged in a stack, each curing station comprising: a heating base, a spray head disposed on the heating base, and a a processing area between the showerhead and the heated base; a plurality of substrate lift assemblies comprising: one or more lift pin indexers, each lift pin indexer comprising : a shaft and a plurality of lift pins connected to the shaft, wherein each lift pin extends into a processing area of a different one of the plurality of curing stations; and a plurality of exhaust assemblies, each row The air assembly includes a plurality of exhaust arrays, each exhaust assembly is coupled to the processing area of a different curing station, wherein each exhaust array includes a flow equalization orifice. 如請求項16所述之批次處理腔室,其中:該堆疊是該複數個固化站的一垂直堆疊,該升舉銷索引器的該軸垂直地延伸穿過固化站的該 堆疊,及每個升舉銷從該軸水平地延伸。 The batch processing chamber of claim 16, wherein: the stack is a vertical stack of the plurality of curing stations, the axis of the lift pin indexer extending vertically through the curing station stack, and each lift pin extends horizontally from the shaft. 如請求項17所述之批次處理腔室,其中每個升舉銷包含:一圓柱元件,該圓柱元件形成該升舉銷的一頂表面。 The batch processing chamber of claim 17, wherein each lift pin comprises: a cylindrical element forming a top surface of the lift pin. 如請求項16所述之批次處理腔室,其中:每個排氣陣列包含:一氣室和複數個排氣入口,以及每個排氣陣列的該流量平衡孔口連接至用於該排氣陣列的該氣室的一出口。 The batch processing chamber of claim 16, wherein: each exhaust array comprises: a plenum and a plurality of exhaust inlets, and the flow balancing orifice of each exhaust array is connected to the exhaust for the exhaust an outlet of the plenum of the array. 如請求項19所述之批次處理腔室,其中每個流量平衡孔口是可調整的,且用於每個排氣組件的每個排氣陣列繞著該排氣組件的一不同角度位置相對於那個排氣組件的其他一個或多個排氣陣列而延伸。 The batch processing chamber of claim 19, wherein each flow balancing orifice is adjustable and each exhaust array for each exhaust assembly has a different angular position about the exhaust assembly Extends relative to the other one or more exhaust arrays of that exhaust assembly. 如請求項8所述之批次處理腔室,其中每個排氣組件繞著一不同的加熱基座延伸,對於每個排氣組件而言,每個排氣陣列繞著該加熱基座的一不同角度部分延伸,且每個排氣組件經配置以從該等固化站中的一不同的固化站的該處理區域排出氣體。 The batch processing chamber of claim 8, wherein each exhaust assembly extends around a different heating pedestal, and for each exhaust assembly, each exhaust array extends around the heating pedestal. A different angled portion extends, and each exhaust assembly is configured to exhaust gas from the processing area of a different one of the curing stations. 如請求項21所述之批次處理腔室,其中 每個排氣陣列包含:一氣室和複數個排氣入口,以及用於每個排氣陣列的該氣室沿著在用於該排氣陣列的該複數個排氣入口和該流量平衡孔口之間的一排氣流路定位。 The batch processing chamber of claim 21, wherein Each exhaust array includes: a plenum and a plurality of exhaust inlets, and the plenum for each exhaust array along the plurality of exhaust inlets and the flow balance orifice for the exhaust array An exhaust flow path is positioned between. 如請求項16所述之批次處理腔室,其中每個排氣組件繞著一不同的加熱基座延伸,對於每個排氣組件而言,每個排氣陣列繞著該加熱基座的一不同角度部分延伸,且每個排氣組件經配置以從該等固化站的一不同固化站的該處理區域排出氣體。 The batch processing chamber of claim 16, wherein each exhaust assembly extends around a different heating pedestal, and for each exhaust assembly, each exhaust array extends around the heating pedestal. A different angled portion extends, and each exhaust assembly is configured to exhaust gas from the processing area of a different one of the curing stations. 如請求項23所述之批次處理腔室,其中每個排氣陣列包含:一氣室和複數個排氣入口,以及用於每個排氣陣列的該氣室沿著在用於該排氣陣列的該複數個排氣入口和該流量平衡孔口之間的一排氣流路定位。 The batch processing chamber of claim 23, wherein each exhaust array comprises: a plenum and a plurality of exhaust inlets, and the plenum for each exhaust array is located along a line for the exhaust An exhaust flow path is positioned between the plurality of exhaust inlets of the array and the flow balance orifice.
TW108125124A 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping TWI773910B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461996817P 2014-05-14 2014-05-14
US61/996,817 2014-05-14

Publications (2)

Publication Number Publication Date
TW202006181A TW202006181A (en) 2020-02-01
TWI773910B true TWI773910B (en) 2022-08-11

Family

ID=55220832

Family Applications (3)

Application Number Title Priority Date Filing Date
TW104113237A TWI670391B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping
TW111126246A TWI813375B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping
TW108125124A TWI773910B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW104113237A TWI670391B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping
TW111126246A TWI813375B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping

Country Status (1)

Country Link
TW (3) TWI670391B (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040099219A1 (en) * 2002-11-26 2004-05-27 Seung-Kap Park Semiconductor manufacturing system
US20120000425A1 (en) * 2010-06-30 2012-01-05 Samsung Mobile Display Co., Ltd. Apparatus for Processing Substrate
WO2013171999A1 (en) * 2012-05-18 2013-11-21 リオン株式会社 Measurement system

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP5021112B2 (en) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 Vacuum processing equipment
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US20070209593A1 (en) * 2006-03-07 2007-09-13 Ravinder Aggarwal Semiconductor wafer cooling device
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040099219A1 (en) * 2002-11-26 2004-05-27 Seung-Kap Park Semiconductor manufacturing system
US20120000425A1 (en) * 2010-06-30 2012-01-05 Samsung Mobile Display Co., Ltd. Apparatus for Processing Substrate
WO2013171999A1 (en) * 2012-05-18 2013-11-21 リオン株式会社 Measurement system

Also Published As

Publication number Publication date
TW202244313A (en) 2022-11-16
TW202346635A (en) 2023-12-01
TWI813375B (en) 2023-08-21
TWI670391B (en) 2019-09-01
TW202006181A (en) 2020-02-01
TW201542864A (en) 2015-11-16

Similar Documents

Publication Publication Date Title
US20220341042A1 (en) Batch curing chamber with gas distribution and individual pumping
KR102493002B1 (en) Suppressing interfacial reactions by varying wafer temperature throughout deposition
KR20230039625A (en) Chamber undercoat preparation method for low temperature ald films
US20190214228A1 (en) Radical assisted cure of dielectric films
US9786496B2 (en) Method of densifying films in semiconductor device
US7192855B2 (en) PECVD nitride film
TWI773910B (en) Batch curing chamber with gas distribution and individual pumping
TWI837045B (en) Batch curing chamber with gas distribution and individual pumping
JP2023509451A (en) Station-to-station control of backside warpage compensation deposition
KR102662595B1 (en) Suppressing interfacial reactions by varying wafer temperature throughout deposition
TW202413687A (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
TW202410175A (en) Sidewall passivation using aldehyde or isocyanate chemistry for high aspect ratio etch
TW202208657A (en) Expandable doped oxide films for advanced semiconductor applications