TWI764662B - Semiconductor structure and package structure - Google Patents

Semiconductor structure and package structure

Info

Publication number
TWI764662B
TWI764662B TW110112548A TW110112548A TWI764662B TW I764662 B TWI764662 B TW I764662B TW 110112548 A TW110112548 A TW 110112548A TW 110112548 A TW110112548 A TW 110112548A TW I764662 B TWI764662 B TW I764662B
Authority
TW
Taiwan
Prior art keywords
die
inter
semiconductor
connection layer
layer
Prior art date
Application number
TW110112548A
Other languages
Chinese (zh)
Other versions
TW202139398A (en
Inventor
傅傳賢
張正佶
Original Assignee
聯發科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/218,328 external-priority patent/US20210320040A1/en
Application filed by 聯發科技股份有限公司 filed Critical 聯發科技股份有限公司
Publication of TW202139398A publication Critical patent/TW202139398A/en
Application granted granted Critical
Publication of TWI764662B publication Critical patent/TWI764662B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices

Abstract

A semiconductor structure includes a base layer, semiconductor dies on the base layer, and an inter-die connection layer electrically connecting two adjacent semiconductor dies. Each of the semiconductor dies includes an active area and a seal ring area including a seal ring surrounding the active area. The inter-die connection layer extends over adjacent portions of the seal rings in the seal ring areas of the two adjacent semiconductor dies.

Description

半導體結構和封裝結構Semiconductor structure and package structure

本發明半導體技術領域,尤其涉及一種半導體封裝結構和封裝結構。The present invention relates to the technical field of semiconductors, and in particular, to a semiconductor packaging structure and a packaging structure.

半導體封裝不僅可以為半導體晶粒提供保護免受環境污染物的侵害,而且還可以提供封裝在其中的半導體晶粒與另一器件(例如印刷電路板(printed circuit board,PCB))之間的電連接。例如,一個或複數個半導體晶粒可以封裝在封裝材料(encapsulating material)中,並且電連接到半導體封裝的基板。然後,可以使用接合製程將半導體封裝電連接到印刷電路板。例如,半導體封裝可以在基板的底表面上具有凸塊(bump)結構,並且該凸塊結構安裝在印刷電路板上並電耦接到印刷電路板上。已經開發了半導體封裝的不同封裝類型以改善封裝中晶粒的電性能。Semiconductor packaging not only provides protection for the semiconductor die from environmental contaminants, but also provides electrical power between the semiconductor die packaged therein and another device such as a printed circuit board (PCB). connect. For example, one or more semiconductor dies may be encapsulated in an encapsulating material and electrically connected to a substrate of the semiconductor package. The semiconductor package may then be electrically connected to the printed circuit board using a bonding process. For example, a semiconductor package may have bump structures on the bottom surface of the substrate, and the bump structures are mounted on and electrically coupled to a printed circuit board. Different package types of semiconductor packages have been developed to improve the electrical properties of the dies in the package.

儘管現有的封裝結構已經足以滿足其預期目的,但是它們在所有方面都不是完全令人滿意的。例如,在封裝結構的晶粒之間會發生訊號損耗和/或功率損耗。因此,關於具有從半導體結構獲得的晶粒的半導體結構和封裝結構,仍然有待克服的問題。Although existing packaging structures are adequate for their intended purpose, they are not entirely satisfactory in all respects. For example, signal loss and/or power loss may occur between the dies of the package structure. Therefore, there are still problems to be overcome with regard to semiconductor structures and packaging structures having dies obtained from semiconductor structures.

有鑑於此,本發明提供一種半導體封裝結構和封裝結構,以解決上述問題。In view of this, the present invention provides a semiconductor packaging structure and a packaging structure to solve the above problems.

根據本發明的第一方面,公開一種半導體結構,包括: 基底層; 半導體晶粒,在該基底層上,每個該半導體晶粒包括有源區域和密封環區域,該密封環區域包括圍繞該有源區域的密封環;以及 晶粒間連接層,電連接兩個相鄰的半導體晶粒,並且該晶粒間連接層在兩個相鄰的半導體晶粒的該密封環區域該中在該密封環的相鄰部分上延伸。According to a first aspect of the present invention, a semiconductor structure is disclosed, comprising: basal layer; semiconductor dies, on the base layer, each of the semiconductor dies including an active region and a seal ring region including a seal ring surrounding the active region; and an inter-die connection layer that electrically connects two adjacent semiconductor die, and the inter-die connection layer extends on adjacent portions of the seal ring in the seal ring region of the two adjacent semiconductor die .

根據本發明的第二方面,公開一種封裝結構,包括: 基板,具有第一表面和與該第一表面相對的第二表面,其中該基板包括重分佈層; 多晶粒部件,設置在該基板的該第一表面上方並且電耦接至該基板的重分佈層,其中,該多晶粒部件包括:基底層;複數個半導體晶粒,在該基底層上並且彼此間隔開;以及晶粒間連接層,電連接兩個相鄰的半導體晶粒;其中,該晶粒間連接層在兩個相鄰的半導體晶粒的密封環區域中的密封環上延伸。According to a second aspect of the present invention, a packaging structure is disclosed, comprising: a substrate having a first surface and a second surface opposite the first surface, wherein the substrate includes a redistribution layer; A multi-die component disposed over the first surface of the substrate and electrically coupled to a redistribution layer of the substrate, wherein the multi-die component includes: a base layer; a plurality of semiconductor dies on the base layer and spaced apart from each other; and an inter-die connection layer electrically connecting two adjacent semiconductor dies; wherein the inter-die connection layer extends over the seal ring in the seal ring region of the two adjacent semiconductor dies .

本發明的半導體結構由於包括:基底層;半導體晶粒,在該基底層上,每個該半導體晶粒包括有源區域和密封環區域,該密封環區域包括圍繞該有源區域的密封環;以及晶粒間連接層,電連接兩個相鄰的半導體晶粒,並且該晶粒間連接層在兩個相鄰的半導體晶粒的該密封環區域該中在該密封環的相鄰部分上延伸。因此,本發明在執行晶圓鋸切製程之後,可以透過佈置包括彼此電連接的兩個或更多個半導體晶粒的多晶粒部件來形成封裝結構。多晶粒部件的半導體晶粒透過半導體晶粒之間的晶粒間連接層提供快速且可靠的訊號傳輸,這是因為相鄰半導體晶粒之間透過晶粒間連接層電連通可以透過最短路徑來實現。因此,由於可以顯著減少實施例的半導體晶粒之間的訊號損耗(和/或功率損耗),所以類似於在實施例中的包括具有複數個半導體晶粒的多晶粒组件的封裝結構,與從常規晶片單獨地具有複數個晶粒的封裝結構相比,具有更好的電性能。The semiconductor structure of the present invention includes: a base layer; semiconductor crystal grains, on the base layer, each of the semiconductor crystal grains includes an active area and a sealing ring area, and the sealing ring area includes a sealing ring surrounding the active area; and an inter-die connection layer that electrically connects two adjacent semiconductor die, and the inter-die connection layer is on adjacent portions of the seal ring in the seal ring region of the two adjacent semiconductor die extend. Therefore, the present invention can form a package structure by arranging a multi-die component including two or more semiconductor dies electrically connected to each other after the wafer sawing process is performed. The semiconductor dies of multi-die components provide fast and reliable signal transmission through the inter-die connection layer between the semiconductor dies, because the electrical communication between adjacent semiconductor dies through the inter-die connection layer can pass through the shortest path to fulfill. Therefore, since the signal loss (and/or power loss) between the semiconductor dies of the embodiment can be significantly reduced, the package structure including the multi-die device having a plurality of semiconductor dies in the embodiment is similar to that in the embodiment, and Compared with the package structure having a plurality of dies individually from a conventional wafer, it has better electrical performance.

以下描述是實施本發明的最佳構想模式。進行該描述是為了說明本發明的一般原理,而不應被認為是限制性的。本發明的範圍由所附申請專利範圍書確定。The following description is of the best contemplated mode for carrying out the invention. This description is made to illustrate the general principles of the invention and should not be considered limiting. The scope of the present invention is determined by the appended claims.

在下文中,參考附圖對本發明構思進行全面描述,在附圖中示出了本發明構思的示例性實施例。根據以下示例性實施例,本發明構思的優點和特徵以及實現它們的方法將變得顯而易見,所述實施例將參考附圖進行更詳細地描述。然而,應當注意,本發明構思不限於以下示例性實施例,並且可以以各種形式實現。因此,提供示例性實施例僅是為了公開發明構思,並且使所屬技術領域具有通常知識者知道發明構思的類別。而且,所示的附圖僅是示意性的,並且是非限制性的。在附圖中,出於說明的目的,一些元件的尺寸可能被放大並且未按比例繪製。在本發明的實踐中,尺寸和相對尺寸不對應於實際尺寸。Hereinafter, the inventive concept is fully described with reference to the accompanying drawings, in which exemplary embodiments of the inventive concept are shown. Advantages and features of the inventive concept and methods for implementing them will become apparent from the following exemplary embodiments, which will be described in more detail with reference to the accompanying drawings. However, it should be noted that the inventive concept is not limited to the following exemplary embodiments, and may be implemented in various forms. Therefore, the exemplary embodiments are provided only to disclose the inventive concept and to make the class of the inventive concept known to those of ordinary skill in the art. Furthermore, the figures shown are only schematic and non-limiting. In the drawings, the size of some of the elements may be exaggerated and not drawn on scale for illustrative purposes. In the practice of the present invention, dimensions and relative dimensions do not correspond to actual dimensions.

本文所使用的術語僅出於描述特定實施例的目的,並不旨在限制本發明。如本文所使用的,單數術語“一”、“一個”和“該”也旨在包括複數形式,除非上下文另外明確指出。如本文所使用的,術語“和/或”包括一個或複數個相關聯的所列項目的任何和所有組合。應該理解的是,當一個元件稱為“連接”或“接觸”到另一個元件時,它可以直接連接或接觸另一個元件,或者可以存在中間元件。The terminology used herein is for the purpose of describing particular embodiments only and is not intended to limit the invention. As used herein, the singular terms "a," "an," and "the" are intended to include the plural forms as well, unless the context clearly dictates otherwise. As used herein, the term "and/or" includes any and all combinations of one or more of the associated listed items. It will be understood that when an element is referred to as being "connected" or "contacting" another element, it can be directly connected or contacting the other element or intervening elements may be present.

類似地,應當理解,當諸如層、區域或基板的元件稱為在另一元件“上”時,其可以直接在另一元件上,或者可以存在中間元件。相反,術語“直接”是指不存在中間元件。應該理解的是,當在本文中使用時,術語“包括”,和/或“包含”規定了所陳述的特徵、整數、步驟、操作、元件和/或部件的存在,但是不排除存在或增加一個或複數個其他特徵、整數、步驟、操作、元件、部件和/或其組合。Similarly, it will be understood that when an element such as a layer, region or substrate is referred to as being "on" another element, it can be directly on the other element or intervening elements may be present. In contrast, the term "directly" refers to the absence of intervening elements. It should be understood that the terms "comprising", and/or "comprising" when used herein specify the presence of stated features, integers, steps, operations, elements and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components and/or combinations thereof.

此外,為了便於描述,本文中可以使用諸如“在...下方”,“在...之下”,“在...下麵”,“在...上方”,“在...之上”之類的空間相對術語,以便於描述一個元件或特徵與之的關係。如圖所示的另一個或複數個元件或特徵。除了在圖中描述的方位之外,空間相對術語還意圖涵蓋設備在使用或操作中的不同方位。應當理解,儘管這裡可以使用術語第一、第二、第三等來描述各種元件,但是這些元件不應受到這些術語的限制。這些術語僅用於將一個元件與另一個元件區分開。因此,在不脫離本發明的教導的情況下,在一些實施例中的第一元件可以在其他實施例中稱為第二元件。本文中解釋和說明的本發明構思的方面的示例性實施例包括它們的互補對等物。在整個說明書中,相同或相似的附圖標記或參考標記表示相同或相似的元件。In addition, for the convenience of description, expressions such as "below", "under", "under", "above", "between" may be used herein. Spatially relative terms such as "on" are used to describe the relationship of an element or feature to it. another element or feature as shown. In addition to the orientation depicted in the figures, spatially relative terms are intended to encompass different orientations of the device in use or operation. It will be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. Thus, a first element in some embodiments could be termed a second element in other embodiments without departing from the teachings of the present invention. Exemplary embodiments of aspects of the inventive concepts explained and illustrated herein include their complementary equivalents. Throughout the specification, the same or similar reference numbers or reference numerals refer to the same or similar elements.

描述了本發明的一些實施例。應當注意,可以在這些實施例中描述的階段之前、之中和/或之後提供附加的操作。對於不同的實施例,可以替換或消除所描述的某些階段。可以將附加特徵添加到半導體器件結構中。對於不同的實施例,下面描述的一些特徵可以被替換或消除。儘管以以特定循序執行的操作討論了一些實施例,但是可以以另一邏輯循序執行這些操作。Some embodiments of the invention are described. It should be noted that additional operations may be provided before, during and/or after the stages described in these embodiments. Some of the stages described may be replaced or eliminated for different embodiments. Additional features can be added to the semiconductor device structure. Some of the features described below may be replaced or eliminated for different embodiments. Although some embodiments are discussed with operations performed in a particular order, the operations may be performed in another logical order.

圖1A和圖1B是根據本發明的一些實施例的用於形成半導體結構的方法的中間階段的俯視圖。半導體結構可以是在基底層10上包括複數個半導體晶粒的晶圓。圖1A和圖1B描繪了在基底層10上的半導體晶粒11的部分,以用於說明一些實施例。在一些實施例中,每個半導體晶粒11包括有源(active)區域AA 和圍繞有源區域AA 的密封環RS 。有源區域AA 包括有源元件,例如積體電路和一個或複數個電晶體。密封環RS 保護有源區域AA 中的有源元件免受有害污染物例如水分、濕氣、微粒(particulate)和/或離子雜質(ionic impurity)的影響。1A and 1B are top views of intermediate stages of a method for forming a semiconductor structure according to some embodiments of the present invention. The semiconductor structure may be a wafer including a plurality of semiconductor dies on the base layer 10 . 1A and 1B depict portions of semiconductor die 11 on base layer 10 for illustrating some embodiments. In some embodiments, each semiconductor die 11 includes an active area AA and a seal ring R S surrounding the active area AA . Active area AA includes active components such as integrated circuits and one or more transistors. The sealing ring R S protects the active elements in the active area AA from harmful contaminants such as moisture, moisture, particles and/or ionic impurities.

如圖1A和圖1B所示,可以將基底層10上的半導體晶粒11佈置成矩陣圖案。在一些實施例中,基底層上的半導體晶粒透過間隔圖案SP彼此分離。間隔圖案SP包括在第一方向D1(例如,X方向)上延伸的複數個第一空間SP1和在第二方向D2(例如,Y方向)上延伸的第二空間SP2。第二方向D2不同於第一方向D1。例如,第二方向D2(例如,Y方向)垂直於第一方向D1(例如,X方向)。第一空間SP1在第二方向D2上彼此間隔開,並且第二空間SP2在第一方向D1上彼此間隔開。As shown in FIGS. 1A and 1B , the semiconductor die 11 on the base layer 10 may be arranged in a matrix pattern. In some embodiments, the semiconductor die on the base layer are separated from each other by the spacer patterns SP. The spacing pattern SP includes a plurality of first spaces SP1 extending in the first direction D1 (eg, the X direction) and second spaces SP2 extending in the second direction D2 (eg, the Y direction). The second direction D2 is different from the first direction D1. For example, the second direction D2 (eg, the Y direction) is perpendicular to the first direction D1 (eg, the X direction). The first spaces SP1 are spaced apart from each other in the second direction D2, and the second spaces SP2 are spaced apart from each other in the first direction D1.

根據本發明的實施例,在晶圓鋸切製程(wafer sawing process)之後形成幾個晶粒部件。每個晶粒部件中的大多數都包括兩個或兩個以上的半導體晶粒11,因此這些晶粒部件可以稱為多晶粒部件。每個多晶粒部件可以在半導體晶粒11的外部包括密封框架FS ,其中,密封框架FS 圍繞半導體晶粒11以限定晶粒區域。在一些實施例中,密封框架FS 還提供有效的環境屏障,其保護半導體晶粒11不受水分、濕氣、微粒或離子雜質的影響。如圖1B所示,作為示例,示出了每個包括四個半導體晶粒11的四個晶粒區域12和每個包括兩個半導體晶粒11的兩個晶粒區域13。而且,晶粒區域12/13的半導體晶粒11透過一個或複數個晶粒間連接層LIC 彼此電連接。According to embodiments of the present invention, several die features are formed after a wafer sawing process. Most of each die feature includes two or more semiconductor dies 11, so these die features may be referred to as multi-die features. Each multi-die component may include a sealing frame FS outside the semiconductor die 11 , wherein the sealing frame FS surrounds the semiconductor die 11 to define a die area. In some embodiments, the sealing frame FS also provides an effective environmental barrier that protects the semiconductor die 11 from moisture, moisture, particulate or ionic impurities. As shown in FIG. 1B , as an example, four die regions 12 each including four semiconductor die 11 and two die regions 13 each including two semiconductor die 11 are shown. Furthermore, the semiconductor die 11 of the die regions 12/13 are electrically connected to each other through one or a plurality of inter-die connection layers L IC .

在該示例中,如圖1B所示,基底層10上的第一密封框架FS1 圍繞第一組(例如,四個)半導體晶粒11,以限定第一晶粒區域12-1和第二密封框架基底層10上的FS2 圍繞第二組(例如四個)半導體晶粒11,以限定第二晶粒區域12-2。基底層10上的第三密封框架FS3 圍繞第三組(例如四個)半導體晶粒11以限定第三晶粒區域12-3,而基底層10上的第四密封框架FS4 圍繞第四組(例如四個)半導體晶粒11以限定第四晶粒區域12-4,而且基底層10上的第五密封框架FS5圍繞第五組(例如兩個)半導體晶粒11以限定第五晶粒區域13-1,並且基底層10上的第六密封框架FS6 圍繞第六組(例如兩個)半導體晶粒11以限定第六晶粒區域13-2。第一晶粒區域12-1、第二晶粒區域12-2、第三晶粒區域12-3和第四晶粒區域12-4可以簡稱為晶粒區域12,並且第五晶粒區域13-1和第六晶粒區域13-2可以簡稱為晶粒區域13。類似地,第一密封框架FS1 、第二密封框架FS2 、第三密封框架FS3 、第四密封框架FS4 、第五密封框架FS5 和第六密封框架FS6 可以簡稱為密封框架FSIn this example, as shown in FIG. 1B , the first sealing frame F S1 on the base layer 10 surrounds the first group (eg, four) of semiconductor dies 11 to define the first die region 12 - 1 and the second The F S2 on the sealing frame base layer 10 surrounds the second group (eg, four) of semiconductor dies 11 to define a second die region 12 - 2 . The third sealing frame F S3 on the base layer 10 surrounds the third group (eg, four) of semiconductor dies 11 to define the third die region 12-3, and the fourth sealing frame F S4 on the base layer 10 surrounds the fourth A set (eg, four) of semiconductor dies 11 to define a fourth die region 12-4, and a fifth sealing frame FS5 on the base layer 10 surrounds a fifth set (eg, two) of semiconductor dies 11 to define a fifth die region 12-4. Die region 13-1, and a sixth sealing frame F S6 on base layer 10 surrounds a sixth group (eg, two) of semiconductor dies 11 to define sixth die region 13-2. The first die region 12-1, the second die region 12-2, the third die region 12-3, and the fourth die region 12-4 may be simply referred to as the die region 12, and the fifth die region 13 -1 and the sixth grain region 13 - 2 may be simply referred to as the grain region 13 . Similarly, the first sealing frame F S1 , the second sealing frame F S2 , the third sealing frame F S3 , the fourth sealing frame F S4 , the fifth sealing frame F S5 and the sixth sealing frame F S6 may be simply referred to as sealing frames F S.

在晶圓鋸切過程中,劃線LS穿過晶粒區域12/13之間的空間(由密封框架FS 限定)。在一些實施例中,如圖1B所示,晶粒區域12/13之間的劃線LS包括在第一方向D1(例如,X方向)上延伸的第一劃線LS1和在第二方向D2(例如Y方向)上延伸的第二劃線LS2。如圖1B所示,第一劃線LS1穿過第一空間SP1中的一個,並且第二劃線LS2穿過第二空間SP2中的一個。具體地,根據一些實施例,每個第一劃線LS1與一個第一空間SP1的一部分重疊,並且每個第二劃線LS2與一個第二空間SP2的一部分重疊。During wafer sawing, the scribe line LS passes through the space between the die regions 12/13 (defined by the sealing frame FS). In some embodiments, as shown in FIG. 1B , the scribe line LS between the die regions 12 / 13 includes a first scribe line LS1 extending in a first direction D1 (eg, the X direction) and a second direction D2 A second scribe line LS2 extending in (eg, the Y direction). As shown in FIG. 1B , the first scribe line LS1 passes through one of the first spaces SP1 , and the second scribe line LS2 passes through one of the second spaces SP2 . Specifically, according to some embodiments, each first scribe line LS1 overlaps with a portion of one first space SP1, and each second scribe line LS2 overlaps with a portion of one second space SP2.

另外,如圖1B所示,第一劃線LS1位於第五晶粒區域13-1與第六晶粒區域13-2之間(例如穿過它們之間的空間),並且位於第一晶粒區域12-1和第三晶粒區域12-3之間,也位於第二晶粒區域12-2和第四晶粒區域12-4之間。第二劃線LS2位於第一晶粒區域12-1與第二晶粒區域12-2之間(例如穿過它們之間的空間),並且也位於第三晶粒區域12-3與第四晶粒區域12-4之間。另一第二劃線LS2位於第一晶粒區域12-1與第五晶粒區域13-1之間,並且也位於第三晶粒區域12-3與第六晶粒區域13-2之間。應當注意,在相鄰晶粒區域上方沒有晶粒間連接層延伸以連接相鄰晶粒區域的半導體晶粒。例如,在第一晶粒區域12-1和第二晶粒區域12-2上沒有晶粒間連接層延伸以連接第一晶粒區域12-1和第二晶粒區域12-2的半導體晶粒。因此,根據本發明的實施例,在晶圓鋸切製程期間沒有切割晶粒間連接層。In addition, as shown in FIG. 1B , the first scribe line LS1 is located between the fifth die region 13 - 1 and the sixth die region 13 - 2 (eg, passing through the space therebetween), and is located in the first die The region 12-1 and the third die region 12-3 are also located between the second die region 12-2 and the fourth die region 12-4. The second scribe line LS2 is located between (eg, through the space between) the first die region 12-1 and the second die region 12-2, and is also located between the third die region 12-3 and the fourth die region 12-3. Between the die regions 12-4. Another second scribe line LS2 is located between the first die area 12-1 and the fifth die area 13-1, and is also located between the third die area 12-3 and the sixth die area 13-2 . It should be noted that no inter-die connecting layer extends over the adjacent die regions to connect the semiconductor dies of the adjacent die regions. For example, no inter-die connecting layer extends on the first die region 12-1 and the second die region 12-2 to connect the semiconductor die of the first die region 12-1 and the second die region 12-2 grain. Therefore, according to embodiments of the present invention, the inter-die connection layer is not cut during the wafer sawing process.

圖2是根據本發明的一些實施例的半導體結構的俯視圖。在一些實施例中,在基底層10上形成包括幾個(複數個)半導體晶粒11的晶圓,以及在基底層10上形成幾個密封框架FS 以限定晶粒區域(例如晶粒區域12、13和14)。在圖2中,大多數晶粒區域均包括兩個或多於兩個的半導體晶粒11。例如,圖2中的晶圓包括九個晶粒區域12、四個晶粒區域13和四個晶粒區域14。每個晶粒區域12包括四個半導體晶粒11,每個晶粒區域13包括兩個半導體晶粒11,並且每個晶粒區域14包括一個半導體晶粒11。應當注意,晶粒區域的佈置和半導體晶粒的數量提供圖2中示出的每個晶粒區域中的示例性的示例性示例,並且本發明不限於此。2 is a top view of a semiconductor structure according to some embodiments of the present invention. In some embodiments, a wafer including several (several) semiconductor dies 11 is formed on the base layer 10 , and several sealing frames F S are formed on the base layer 10 to define die regions (eg, die regions). 12, 13 and 14). In FIG. 2 , most of the die regions include two or more semiconductor die 11 . For example, the wafer in FIG. 2 includes nine die regions 12 , four die regions 13 and four die regions 14 . Each die region 12 includes four semiconductor die 11 , each die region 13 includes two semiconductor die 11 , and each die region 14 includes one semiconductor die 11 . It should be noted that the arrangement of the die regions and the number of semiconductor dies provide an exemplary exemplary example in each of the die regions shown in FIG. 2 , and the present invention is not limited thereto.

另外,如圖2所示,在一些實施例中,沿第一方向D1延伸的第一劃線LS1(諸如X方向)和沿第二方向D2延伸的第二劃線LS2(諸如Y方向)穿過兩個相鄰的晶粒區域12、13和14之間的空間。如果基底層10上製造的每個晶粒區域12和13中的半導體晶粒11具有相同的功能,則晶粒區域12和13可稱為多晶粒部件區域。然而,基底層10上的半導體晶粒11可以具有相同的功能或不同的功能。In addition, as shown in FIG. 2 , in some embodiments, a first scribe line LS1 (such as the X direction) extending in the first direction D1 and a second scribe line LS2 (such as the Y direction) extending in the second direction D2 pass through through the space between two adjacent die regions 12 , 13 and 14 . If the semiconductor die 11 in each of the die regions 12 and 13 fabricated on the base layer 10 has the same function, the die regions 12 and 13 may be referred to as multi-die feature regions. However, the semiconductor die 11 on the base layer 10 may have the same function or different functions.

另外,儘管圖2將晶粒區域12或13之一中的半導體晶粒11描繪為彼此隔開,但是晶粒區域12或13中的一個中的兩個相鄰的半導體晶粒11彼此電連接,可以透過例如圖1B中的一個或複數個晶粒間連接層LIC 中的另一個來實現。根據本發明的一些實施例,在執行晶圓鋸切製程之後,可以透過佈置包括彼此電連接的兩個或更多個(例如,四個)半導體晶粒11的多晶粒部件來形成封裝結構。多晶粒部件的半導體晶粒11透過半導體晶粒11之間的晶粒間連接層提供快速且可靠的訊號傳輸,這是因為相鄰半導體晶粒11之間的電連通(例如,透過晶粒間連接層)可以透過最短路徑來實現。因此,由於可以顯著減少實施例的半導體晶粒11(經由晶粒間連接層LIC 彼此電連接)之間的訊號損耗(和/或功率損耗),所以類似於在實施例中的包括具有複數個半導體晶粒11的多晶粒组件的封裝結構,與從常規晶片單獨地具有複數個晶粒的封裝結構相比,具有更好的電性能。如圖2所示,本實施例中的半導體結構包括:第一密封框架,在該基底層上並圍繞第一組半導體晶粒(例如包括兩個或四個或一個半導體晶粒),其中該第一密封框架限定第一晶粒區域;以及第二密封框架,在該基底層上並圍繞第二組半導體晶粒(例如包括兩個或四個或一個半導體晶粒),其中該第二密封框架限定第二晶粒區域,其中,該第二晶粒區域與該第一晶粒區域相鄰,並且劃線位於該第一晶粒區域和該第二晶粒區域之間。其中,在該第一晶粒區域和該第二晶粒區域上方沒有晶粒間連接層延伸。其中,該第一晶粒區域中的半導體晶粒(例如包括兩個半導體晶粒)的數量與該第二晶粒區域中的半導體晶粒的數量(例如包括兩個或四個或一個半導體晶粒)相同或不同。半導體結構還包括:第三密封框架,在該基底層上並圍繞第三組半導體晶粒,其中該第三密封框架限定第三晶粒區域,並且該第三晶粒區域中的半導體晶粒的數量與該第二晶粒區域中的半導體晶粒的數量不同。 其中,該第三晶粒區域與該第一晶粒區域或該第二晶粒區域相鄰,並且另一劃線位於該第三晶粒區域與該第一晶粒區域或該第二晶粒區域之間。Additionally, although FIG. 2 depicts the semiconductor die 11 in one of the die regions 12 or 13 as being spaced apart from each other, two adjacent semiconductor die 11 in one of the die regions 12 or 13 are electrically connected to each other , which can be realized by, for example, one or the other of the plurality of inter-die connection layers L IC in FIG. 1B . According to some embodiments of the present invention, after performing a wafer sawing process, a package structure may be formed by arranging a multi-die component including two or more (eg, four) semiconductor dies 11 electrically connected to each other . The semiconductor dies 11 of the multi-die component provide fast and reliable signal transmission through the inter-die connection layers between the semiconductor dies 11 due to the electrical communication between adjacent semiconductor dies 11 (eg, through the dies 11 ). inter-connection layer) can be achieved through the shortest path. Therefore, since the signal loss (and/or power loss) between the semiconductor dies 11 of the embodiment (which are electrically connected to each other via the inter-die connection layer L IC ) can be significantly reduced, the inclusion of a plurality of The package structure of a multi-die package of individual semiconductor dies 11 has better electrical performance than a package structure having a plurality of dies individually from a conventional wafer. As shown in FIG. 2 , the semiconductor structure in this embodiment includes: a first sealing frame on the base layer and surrounding a first group of semiconductor die (for example, including two or four or one semiconductor die), wherein the a first encapsulation frame defining a first die region; and a second encapsulation frame on the base layer and surrounding a second set of semiconductor dies (eg, including two or four or one semiconductor die), wherein the second encapsulation The frame defines a second die area, wherein the second die area is adjacent to the first die area, and a scribe line is located between the first die area and the second die area. Wherein, no inter-die connection layer extends over the first die region and the second die region. Wherein, the number of semiconductor crystal grains in the first crystal grain region (for example, including two semiconductor crystal grains) and the number of semiconductor crystal grains in the second crystal grain region (for example, including two or four or one semiconductor crystal grain) grains) same or different. The semiconductor structure further includes: a third sealing frame on the base layer and surrounding a third set of semiconductor dies, wherein the third sealing frame defines a third die region, and wherein the semiconductor dies in the third die region are The number is different from the number of semiconductor dies in the second die region. Wherein, the third die area is adjacent to the first die area or the second die area, and another scribe line is located between the third die area and the first die area or the second die area between regions.

為了示例,下面提供用於在單個晶粒區域中連接相鄰的半導體晶粒的晶粒間連接層的細節。For example, details of an inter-die connection layer used to connect adjacent semiconductor dies in a single die region are provided below.

圖3是根據本發明的一些實施例的半導體結構的晶粒區域的俯視圖。圖4是沿著圖3中的半導體結構的截面線4-4截取的截面圖。圖5是沿著圖3中的半導體結構的截面線5-5截取的截面圖。根據本發明的一些實施例,圖3中的晶粒區域內的兩個相鄰的半導體晶粒的俯視圖。3 is a top view of a die region of a semiconductor structure according to some embodiments of the present invention. FIG. 4 is a cross-sectional view taken along cross-sectional line 4 - 4 of the semiconductor structure in FIG. 3 . FIG. 5 is a cross-sectional view taken along cross-sectional line 5 - 5 of the semiconductor structure in FIG. 3 . A top view of two adjacent semiconductor dies within the die region in FIG. 3 , according to some embodiments of the present invention.

圖3、圖4、圖5和圖6中與圖1A、圖1B和圖2中的特徵/部件相似或相同的特徵/部件用相似或相同的附圖標記表示,並且這些相似或相同的特徵/部件在此不再重複。另外,應注意,根據本發明的一些實施例,提供圖3、圖4、圖5和圖6中的結構用於示出在一個晶粒區域中的相鄰半導體晶粒之間的電連接的一種可應用設計。本發明不限於此。Features/components in Figures 3, 4, 5 and 6 that are similar or identical to features/components in Figures 1A, 1B and 2 are designated by similar or identical reference numerals, and these similar or identical features /Parts are not repeated here. Additionally, it should be noted that the structures in FIGS. 3, 4, 5, and 6 are provided to illustrate electrical connections between adjacent semiconductor dies in a die region, according to some embodiments of the present invention. An applicable design. The present invention is not limited to this.

如圖3所示,在一些實施例中,晶粒區域12在基底層10上,晶粒區域12包括四個半導體晶粒11-1、11-2、11-3和11-4。基底層10具有頂表面101和與頂表面101相對的底表面102,其中半導體晶粒(例如,半導體晶粒11-1和11-2)設置在基底層10的頂表面101上。半導體晶粒11-1包括有源區域AA1 和圍繞該有源區域AA1 的密封環RS1 。半導體晶粒11-2包括有源區域AA2 和圍繞有源區域AA2 的密封環RS2 。半導體晶粒11-3包括有源區域AA3 和圍繞有源區域AA3 的密封環RS3 。半導體晶粒11-4包括有源區域AA4 和圍繞有源區域AA4 的密封環RS4 。基底層10上的密封框架FS 圍繞半導體晶粒11-1、11-2、11-3和11-4以限定晶粒區域12。本發明中需要注意的是,基底層10是由晶圓製造而成的,晶圓可以包括單晶矽、多晶矽或者化合物晶圓(例如砷化鎵,氮化鎵等等所有可以用做生產電晶體的晶圓),並且是矽晶圓片。因此基底層10包括晶圓的材料,例如矽,並且基底層10內還包括電晶體,以及源極/漏極接觸、閘極接觸等,基底層10內不包括佈線或繞線等(例如銅層等)。因此基底層10與基板或印刷電路板等完全不同,包括使用的材料不同(基板或印刷電路板等包括樹脂、銅層等)、採用的製程不同、結構也不同(基板或印刷電路板等為銅等佈線層與樹脂等交替,基底層10在是原本一整塊的矽晶圓上經過光刻、蝕刻等步驟形成)。As shown in FIG. 3 , in some embodiments, the die region 12 is on the base layer 10 , and the die region 12 includes four semiconductor dies 11 - 1 , 11 - 2 , 11 - 3 and 11 - 4 . The base layer 10 has a top surface 101 and a bottom surface 102 opposite the top surface 101 , wherein semiconductor die (eg, semiconductor die 11 - 1 and 11 - 2 ) are disposed on the top surface 101 of the base layer 10 . The semiconductor die 11-1 includes an active area A A1 and a seal ring R S1 surrounding the active area A A1 . The semiconductor die 11-2 includes an active area A A2 and a seal ring R S2 surrounding the active area A A2 . The semiconductor die 11-3 includes an active area A A3 and a seal ring R S3 surrounding the active area A A3 . The semiconductor die 11-4 includes an active area A A4 and a seal ring R S4 surrounding the active area A A4 . The sealing frame F S on the base layer 10 surrounds the semiconductor die 11 - 1 , 11 - 2 , 11 - 3 and 11 - 4 to define the die region 12 . It should be noted in the present invention that the base layer 10 is made of a wafer, and the wafer may include monocrystalline silicon, polycrystalline silicon, or compound wafers (such as gallium arsenide, gallium nitride, etc., all of which can be used to produce electricity crystal wafers), and are silicon wafers. Therefore, the base layer 10 includes the material of the wafer, such as silicon, and the base layer 10 also includes transistors, as well as source/drain contacts, gate contacts, etc., and the base layer 10 does not include wiring or windings (such as copper layer, etc.). Therefore, the base layer 10 is completely different from the substrate or printed circuit board, including different materials (substrate or printed circuit board, etc., including resin, copper layer, etc.), different manufacturing processes, and different structures (the substrate or printed circuit board, etc. are The wiring layers such as copper are alternated with resin and the like, and the base layer 10 is formed on the original silicon wafer through photolithography, etching and other steps).

另外,半導體晶粒11-1、11-2、11-3和11-4可以佈置為2×2矩陣,並且彼此間隔開。例如,半導體晶粒11-1透過第一空間SP1與半導體晶粒11-3間隔開,並且透過第二空間SP2與半導體晶粒11-2間隔開。類似地,半導體晶粒11-4透過第一空間SP1與半導體晶粒11-2間隔開,並且透過第二空間SP2與半導體晶粒11-3間隔開。而且,半導體晶粒透過晶粒間連接層LIC 彼此電連接。例如,半導體晶粒11-1透過晶粒間連接層LIC1 電連接到半導體晶粒11-2,並且半導體晶粒11-2透過晶粒間連接層LIC2 電連接到半導體晶粒11-4。類似地,半導體晶粒11-1透過晶粒間連接層LIC3 電連接到半導體晶粒11-3,並且半導體晶粒11-3透過晶粒間連接層LIC4 電連接到半導體晶粒11-4。In addition, the semiconductor dies 11-1, 11-2, 11-3, and 11-4 may be arranged in a 2×2 matrix and spaced apart from each other. For example, the semiconductor die 11-1 is spaced apart from the semiconductor die 11-3 through the first space SP1, and is spaced apart from the semiconductor die 11-2 through the second space SP2. Similarly, the semiconductor die 11-4 is spaced apart from the semiconductor die 11-2 through the first space SP1, and is spaced apart from the semiconductor die 11-3 through the second space SP2. Also, the semiconductor die are electrically connected to each other through the inter-die connection layer L IC . For example, the semiconductor die 11-1 is electrically connected to the semiconductor die 11-2 through the inter-die connection layer L IC1 , and the semiconductor die 11-2 is electrically connected to the semiconductor die 11-4 through the inter-die connection layer L IC2 . Similarly, the semiconductor die 11-1 is electrically connected to the semiconductor die 11-3 through the inter-die connection layer L IC3 , and the semiconductor die 11-3 is electrically connected to the semiconductor die 11-3 through the inter-die connection layer L IC4 4.

圖4是沿著圖3中的半導體結構的截面線4-4截取的截面圖,其中截面線4-4穿過晶粒間連接層LIC1 之間的位置。因此,根據本發明的一些實施例,圖4僅描繪了兩個相鄰的半導體晶粒11-1和11-2的密封環的構造,而沒有任何晶粒間連接層LIC14 is a cross-sectional view taken along a cross-sectional line 4-4 of the semiconductor structure in FIG. 3, where the cross-sectional line 4-4 passes through a location between the inter-die connection layers L IC1 . Thus, FIG. 4 depicts only the configuration of the seal ring for two adjacent semiconductor dies 11-1 and 11-2 without any inter-die connection layer L IC1 , according to some embodiments of the present invention.

如圖4所示,在一些實施例中,半導體晶粒11-1包括密封環區域AR1 和有源區域AA1 ,並且半導體晶粒11-2包括密封環區域AR2 和有源區域AA2 。有源區域AA1 和AA2 可以包括有源元件,例如電晶體和積體電路。密封環區域AR1 和AR2 中的每一個可以包括垂直堆疊的複數個互連堆疊,以為有源區域AA1 和AA2 提供機械保護和防潮。As shown in FIG. 4 , in some embodiments, the semiconductor die 11-1 includes a seal ring area A R1 and an active area A A1 , and the semiconductor die 11-2 includes a seal ring area A R2 and an active area A A2 . Active areas A A1 and A A2 may include active elements such as transistors and integrated circuits. Each of the seal ring areas A R1 and A R2 may include a plurality of interconnect stacks stacked vertically to provide mechanical protection and moisture protection for the active areas A A1 and A A2 .

在該示例中,在基底層10上(例如,在基底層10的頂表面101上)的三個互連堆疊形成半導體晶粒的密封環。如圖4所示,半導體晶粒11-1的密封環RS1 包括在基底層10上方並且圍繞有源區域AA1 的第一互連堆疊211,在第一互連堆疊211上並且圍繞有源區域AA1 的第二互連堆疊212,以及在第二互連堆疊212上並圍繞有源區域AA1 的第三互連堆疊213。第一互連堆疊211包括複數個第一導線211L和連接第一導線211L的第一導電通孔211V。第二互連堆疊212包括複數個第二導線212L和第二導電通孔212V,其中第二導電通孔212V連接兩個相鄰的第二導線212L並且連接最下面的第二導線212L和最上面的第一導線211L。第三互連堆疊213包括至少一個第三導線213L和幾個第三導電通孔213V,其中第三導電通孔213V連接第三導線213L和最上面的第二導線212L。In this example, three interconnect stacks on base layer 10 (eg, on top surface 101 of base layer 10 ) form a seal ring for the semiconductor die. As shown in FIG. 4 , the seal ring R S1 of the semiconductor die 11 - 1 includes a first interconnect stack 211 over the base layer 10 and surrounding the active area A A1 , on the first interconnect stack 211 and surrounding the active area The second interconnect stack 212 of the area A A1 , and the third interconnect stack 213 on the second interconnect stack 212 and surrounding the active area A A1 . The first interconnect stack 211 includes a plurality of first wires 211L and first conductive vias 211V connecting the first wires 211L. The second interconnect stack 212 includes a plurality of second wires 212L and second conductive vias 212V, wherein the second conductive vias 212V connect two adjacent second wires 212L and connect the lowermost second wire 212L and the uppermost of the first wire 211L. The third interconnect stack 213 includes at least one third wire 213L and several third conductive vias 213V, wherein the third conductive vias 213V connect the third wire 213L and the uppermost second wire 212L.

在一些實施例中,第一互連堆疊211的第一導線211L、第二互連堆疊212的第二導線212L和第三互連堆疊213的第三導線213L分別由第一金屬層形成(例如,M1)、第二金屬層(例如,M2)和第三金屬層(例如,M3)。例如,第一導線211L和第二導線212L由銅(Cu)製成,並且第三導線213L由鋁(Al)製成。最外面的第三導線213L由鋁(Al)製成,鋁的抗氧化性能更好,可以保護內部的銅層等結構。另外,在一些實施例中,其中,每個第一導線211L具有第一厚度t1,每個第二導線212L具有第二厚度t2,並且每個第三導線213L具有第三厚度t3。厚度t2大於第一厚度t1,並且第三厚度t3大於第二厚度t2,但不限於此。本實施例中從基底層10開始,越往上的金屬層或導線可以越來越厚,以便於製造,同時下層厚度較小的金屬層或導線可以用於傳遞訊號等,而上層厚度較大的金屬層或導線可以用於連接到電源或接地。因此本實施例中將不同功能的金屬層或導線分開佈置,以提高作業效率並且防止串擾。In some embodiments, the first wires 211L of the first interconnect stack 211, the second wires 212L of the second interconnect stack 212, and the third wires 213L of the third interconnect stack 213 are each formed of a first metal layer (eg, , M1 ), a second metal layer (eg, M2 ), and a third metal layer (eg, M3 ). For example, the first wire 211L and the second wire 212L are made of copper (Cu), and the third wire 213L is made of aluminum (Al). The outermost third wire 213L is made of aluminum (Al), which has better oxidation resistance and can protect internal structures such as copper layers. Additionally, in some embodiments wherein each first wire 211L has a first thickness t1, each second wire 212L has a second thickness t2, and each third wire 213L has a third thickness t3. The thickness t2 is greater than the first thickness t1, and the third thickness t3 is greater than the second thickness t2, but not limited thereto. In this embodiment, starting from the base layer 10, the metal layers or wires going up can be thicker and thicker to facilitate manufacturing, while the metal layers or wires with a lower thickness can be used to transmit signals, etc., while the upper layer has a larger thickness A metal layer or wire can be used to connect to power or ground. Therefore, in this embodiment, metal layers or wires with different functions are arranged separately to improve work efficiency and prevent crosstalk.

另外,在該示例中,如圖4所示,半導體晶粒11-1的有源區域AA1 包括在基底層10上方的第一導電堆疊311、在第一導電堆疊311上的第二導電堆疊312和在第二導電堆疊312上的第三導電堆疊313,用於形成半導體晶粒11-1的積體電路。在一些實施例中,第一導電堆疊311包括複數個導線311L和複數個導電通孔311V,第二導電堆疊312包括兩個導線312L和複數個導電通孔312V,並且第三導電堆疊313包括一個導線313L和複數個導電通孔313V。第三導電堆疊313電連接到第二導電堆疊312,並且第二導電堆疊312電連接到第一導電堆疊311。第一導電堆疊311可以電連接到基底層10中的一個或複數個有源元件(例如電晶體)。在一些實施例中,第一導電疊層311的導線311L,第二導電疊層312的導線312L和第三導電疊層313的導線313L分別由第一金屬層(例如, M1)、第二金屬層(例如M2)和第三金屬層(例如M3)形成。In addition, in this example, as shown in FIG. 4 , the active area A A1 of the semiconductor die 11 - 1 includes a first conductive stack 311 over the base layer 10 , a second conductive stack 311 over the first conductive stack 311 312 and a third conductive stack 313 on the second conductive stack 312 for forming an integrated circuit of the semiconductor die 11-1. In some embodiments, the first conductive stack 311 includes a plurality of wires 311L and a plurality of conductive vias 311V, the second conductive stack 312 includes two wires 312L and a plurality of conductive vias 312V, and the third conductive stack 313 includes a Conductive wires 313L and a plurality of conductive vias 313V. The third conductive stack 313 is electrically connected to the second conductive stack 312 , and the second conductive stack 312 is electrically connected to the first conductive stack 311 . The first conductive stack 311 may be electrically connected to one or more active elements (eg, transistors) in the base layer 10 . In some embodiments, the wires 311L of the first conductive stack 311 , the wires 312L of the second conductive stack 312 , and the wires 313L of the third conductive stack 313 are composed of a first metal layer (eg, M1 ), a second metal layer, layer (eg M2) and a third metal layer (eg M3) are formed.

類似地,在一些實施例中,如圖4所示,半導體晶粒11-2的密封環RS2 包括在基底層10上方並且圍繞有源區域AA2 的第一互連堆疊221、在第一互連堆疊221上方並且圍繞有源區域AA2 的第二互連堆疊222、在第二互連堆疊222上方並且圍繞有源區域AA2 圍繞第三互連堆疊223。第一互連堆疊221包括複數個第一導線221L和連接第一導線221L的第一導電通孔221V。第二互連堆疊222包括複數個第二導線222L和第二導電通孔222V,其中第二導電通孔222V連接兩個相鄰的第二導線222L並且連接最下面的第二導線222L和最上面的第一導線221L。第三互連堆疊223包括至少一個第三導線223L和複數個第三導電通孔223V,其中,第三導電通孔223V連接第三導線223L和最上方的第二導線222L。在一些實施例中,第一互連堆疊221的第一導線221L、第二互連堆疊222的第二導線222L和第三互連堆疊223的第三導線223L分別由第一金屬層(例如,M1)、第二金屬層(例如,M2)和第三金屬層(例如,M3)形成。Similarly, in some embodiments, as shown in FIG. 4 , the seal ring RS2 of the semiconductor die 11 - 2 includes a first interconnect stack 221 over the base layer 10 and surrounding the active area A A2 , in the first A second interconnect stack 222 above the interconnect stack 221 and surrounding the active area A A2 , and a third interconnect stack 223 above the second interconnect stack 222 and surrounding the active area A A2 . The first interconnect stack 221 includes a plurality of first wires 221L and first conductive vias 221V connecting the first wires 221L. The second interconnect stack 222 includes a plurality of second wires 222L and second conductive vias 222V, wherein the second conductive vias 222V connect two adjacent second wires 222L and connect the lowermost second wires 222L and the uppermost of the first wire 221L. The third interconnect stack 223 includes at least one third wire 223L and a plurality of third conductive vias 223V, wherein the third conductive vias 223V connect the third wire 223L and the uppermost second wire 222L. In some embodiments, the first conductor 221L of the first interconnect stack 221, the second conductor 222L of the second interconnect stack 222, and the third conductor 223L of the third interconnect stack 223 are each composed of a first metal layer (eg, M1 ), a second metal layer (eg, M2 ), and a third metal layer (eg, M3 ) are formed.

此外,在該示例中,如圖4所示,半導體晶粒11-2的有源區域AA2包括位於基底層10上方的第一導電堆疊321、位於第一導電堆疊321上的第二導電堆疊322和在第二導電堆疊322上的第三導電堆疊323,用於形成半導體晶粒11-2的積體電路。在一些實施例中,第一導電堆疊321包括複數個導線321L和幾個導電通孔321V,第二導電堆疊322包括兩個導線322L和幾個導電通孔322V,並且第三導電堆疊323包括一個導線323L和幾個導電通孔323V。第三導電堆疊323電連接到第二導電堆疊322,並且第二導電堆疊322電連接到第一導電堆疊321。第一導電堆疊321可以電連接到基底層10中的一個或複數個有源元件(例如電晶體,圖未示)。在一些實施例中,第一導電疊層321的導線321L、第二導電疊層322的導線322L和第三導電疊層323的導線323L分別由第一金屬層(例如,M1)、第二金屬層(例如,M2)和第三金屬層(例如,M3)形成。Furthermore, in this example, as shown in FIG. 4 , the active area AA2 of the semiconductor die 11 - 2 includes a first conductive stack 321 located above the base layer 10 and a second conductive stack 322 located on the first conductive stack 321 and a third conductive stack 323 on the second conductive stack 322 for forming an integrated circuit of the semiconductor die 11-2. In some embodiments, the first conductive stack 321 includes a plurality of wires 321L and several conductive vias 321V, the second conductive stack 322 includes two wires 322L and several conductive vias 322V, and the third conductive stack 323 includes a Wire 323L and several conductive vias 323V. The third conductive stack 323 is electrically connected to the second conductive stack 322 , and the second conductive stack 322 is electrically connected to the first conductive stack 321 . The first conductive stack 321 may be electrically connected to one or more active elements (eg, transistors, not shown) in the base layer 10 . In some embodiments, the wires 321L of the first conductive stack 321 , the wires 322L of the second conductive stack 322 , and the wires 323L of the third conductive stack 323 are composed of a first metal layer (eg, M1 ), a second metal layer, layer (eg, M2) and a third metal layer (eg, M3) are formed.

在一些實施例中,如圖4所示,半導體晶粒11-1在密封環區域AR1 和有源區域A1之間還包括虛設區域AD1 。虛設區域AD1 可以包括與有源區域AA1 中的導電堆疊(例如311-313)的導線(例如311L-313L)的層疊相似的幾條(複數條)導線311D、312D、313D,導線311D、312D、313D可以分別與導線311L、312L、313L在同一層,例如在同一製程中一起形成。而且,半導體晶粒11-2還包括在密封環區域AR2 和有源區域AA2 之間的虛設區域AD2 。虛設區域AD2 可以包括與有源區域AA2 中的導電堆疊(例如321-323)的導線(例如321L-323L)的層疊相似的幾條(複數條)導線321D、322D、323D,導線321D、322D、323D可以分別與導線321L、322L、323L在同一層,例如在同一製程中一起形成。虛設區域AD1 和AD2 用作緩衝區,虛設區域AD1 和AD2 中的導線將增強晶圓在沿著晶粒區域之間的劃線執行鋸切製程之後的導電疊層(例如311-313)的導線(例如311L-313L)的機械強度。虛設區域AD1 和AD2 中的金屬層或導線可以不與其他的金屬層或導線連接,例如是浮置的,而在上述兩個區域加入金屬層和導線還可以保護與有源區域AA1 中的導電堆疊,並且增加半導體結構整體的機械強度。In some embodiments, as shown in FIG. 4 , the semiconductor die 11 - 1 further includes a dummy area A D1 between the seal ring area A R1 and the active area A1 . Dummy area A D1 may include several (plurality) wires 311D , 312D, 313D, wires 311D, 311D, The wires 312D and 313D may be formed on the same layer as the wires 311L, 312L and 313L, for example, in the same process. Also, the semiconductor die 11-2 further includes a dummy area A D2 between the seal ring area A R2 and the active area A A2 . Dummy area A D2 may include several (plurality) of wires 321D, 322D , 323D, wires 321D, 321D, The wires 322D and 323D may be formed on the same layer as the wires 321L, 322L and 323L, for example, in the same process. Dummy areas A D1 and A D2 are used as buffer areas, the wires in dummy areas A D1 and A D2 will enhance the conductive stack of the wafer after the sawing process along the scribe lines between the die areas (eg 311- 313) for the mechanical strength of wires (eg 311L-313L). The metal layers or wires in the dummy areas A D1 and A D2 may not be connected to other metal layers or wires, such as floating, and adding metal layers and wires in the above two areas can also protect the active area A A1 the conductive stack in the semiconductor structure and increase the mechanical strength of the semiconductor structure as a whole.

圖5是沿著圖3中的半導體結構的截面線5-5截取的截面圖,其中截面線5-5穿過與晶粒間連接層LIC1 對應的位置。因此,根據本發明的一些實施例,圖5示出了複數個晶粒間連接層LIC1 穿過半導體晶粒11-1的密封環區域AR1 和半導體晶粒11-2的密封環區域AR2 。圖6示出了半導體晶粒11-1和11-2的俯視圖,其中晶粒間連接層LIC1 穿過密封環RS1 的凹部21R和密封環RS2 的凹部22R。如圖6所示,密封環RS1 的凹部21R在第二方向D2(例如,Y方向)上並排設置。與密封環RS1 的凹部21R相對的密封環RS2 的凹部22R沿第二方向D2(例如,Y方向)佈置並且彼此分離。應當注意,在圖5、圖6和圖4中,相似或相同的附圖標記用於表示相似或相同的特徵/组件,並且相似或相同的特徵/组件的細節(例如其結構和材料)在此不再重複。本實施例中晶粒間連接層421、422和433與該密封環(例如密封環RS1 、密封環RS2 )電絕緣。在先前技術中,在凹部21R和31R的位置處是密封環的結構(例如層層堆疊的金屬層形成的密封環的結構),因為先前技術中無需在此處(凹部21R和31R的位置)留出位置來提供晶粒之間的連接(先前技術中晶粒都是切割為單個的,並且分割之後的單個的晶粒之間透過基板或重分佈層結構進行連接)。本發明實施例中,沒有先前技術中存在的那種密封環的結構,或者去除了先前技術中的密封環結構,由此形成了(或者假設形成了)凹部21R和31R,本實施例中使用了晶粒間連接層421、422和433穿過和形成在凹部21R和31R中,以將相鄰的晶粒進行電連接。此外,本發明實施例中的晶粒間連接層421、422和433等不同於先前技術中的引線或導線等等,先前技術中的引線或導線是從一個晶粒的焊盤上牽引到另一個晶粒的焊盤上,並且引線或導線的形成是使用引線或導線在晶粒的金屬層之外進行連接;本發明中的晶粒間連接層可以與晶粒中的金屬層一起形成,結構上明顯不同,無需留出用於晶粒間連接的焊盤,製程上也更加簡單和方便,同時避免了先前技術中引線接合電連接不穩定、易損壞的缺點。5 is a cross-sectional view taken along a cross-sectional line 5-5 of the semiconductor structure in FIG. 3, wherein the cross-sectional line 5-5 passes through a position corresponding to the inter-die connection layer L IC1 . Therefore, according to some embodiments of the present invention, FIG. 5 shows a plurality of inter-die connection layers L IC1 passing through the seal ring region A R1 of the semiconductor die 11-1 and the seal ring region A of the semiconductor die 11-2 R2 . 6 shows a top view of semiconductor dies 11-1 and 11-2 in which the inter-die connection layer L IC1 passes through the recess 21R of the seal ring R S1 and the recess 22R of the seal ring R S2 . As shown in FIG. 6 , the concave portions 21R of the seal ring R S1 are arranged side by side in the second direction D2 (eg, the Y direction). The concave portions 22R of the seal ring R S2 opposite to the concave portions 21R of the seal ring R S1 are arranged in the second direction D2 (eg, the Y direction) and separated from each other. It should be noted that in Figures 5, 6 and 4, similar or identical reference numerals are used to denote similar or identical features/components, and details of similar or identical features/components (eg their construction and materials) are This will not be repeated. In this embodiment, the inter-die connection layers 421 , 422 and 433 are electrically insulated from the sealing ring (eg, the sealing ring R S1 , the sealing ring R S2 ). In the prior art, at the positions of the recesses 21R and 31R is the structure of the seal ring (for example, the structure of the seal ring formed by stacking metal layers), because there is no need here in the prior art (the positions of the recesses 21R and 31R) Spaces are reserved to provide connections between dies (in the prior art, dies are all cut into individual dies, and the individual dies after division are connected through a substrate or a redistribution layer structure). In the embodiment of the present invention, the structure of the sealing ring existing in the prior art is absent, or the sealing ring structure in the prior art is removed, thereby forming (or assuming to be formed) the concave portions 21R and 31R, which are used in this embodiment. Inter-die connection layers 421 , 422 and 433 are passed through and formed in the recesses 21R and 31R to electrically connect adjacent die. In addition, the inter-die connection layers 421 , 422 , and 433 in the embodiments of the present invention are different from the leads or wires in the prior art, which are drawn from the pads of one die to another. On the pad of a die, and the formation of the lead or wire is to use the lead or wire to connect outside the metal layer of the die; the inter-die connection layer in the present invention can be formed together with the metal layer in the die, The structure is obviously different, there is no need to reserve pads for inter-die connection, and the manufacturing process is also simpler and more convenient, while avoiding the shortcomings of the prior art that the wire bonding electrical connection is unstable and easily damaged.

如圖5和圖6所示,兩個相鄰的半導體晶粒11-1和11-2的密封環RS1和RS2的相鄰部分具有凹部21R和22R,並且晶粒間連接層LIC1 穿過凹部21R和22R。凹部21R和22R中的每一個可以對應於密封環的互連堆疊中的一個或兩個。在一些實施例中,密封環RS1 的第二互連堆疊212具有第一凹部211R,並且密封環RS1 的第三互連堆疊213具有第二凹部212R。第二凹部212R與第一凹部211R連通。第一凹部211R和第二凹部212R形成密封環RS1 的凹部21R。類似地,密封環RS2 的第二互連堆疊222具有第一凹部221R,並且密封環RS2的第三互連堆疊223具有第二凹部222R。第二凹部222R與第一凹部221R連通。第一凹部221R和第二凹部222R形成密封環RS2 的凹部22R。其中第一凹部221R中可以有一個或複數個晶粒間連接層穿過,第二凹部222R中可以有一個或複數個晶粒間連接層穿過,凹部31R中可以有一個或複數個晶粒間連接層穿過,以上均可以根據設計需求自由設置。As shown in FIGS. 5 and 6 , the adjacent portions of the seal rings RS1 and RS2 of the two adjacent semiconductor dies 11-1 and 11-2 have recesses 21R and 22R, and the inter-die connection layer L IC1 passes through Recesses 21R and 22R. Each of the recesses 21R and 22R may correspond to one or both of the interconnected stacks of seal rings. In some embodiments, the second interconnect stack 212 of seal ring R S1 has a first recess 211R, and the third interconnect stack 213 of seal ring R S1 has a second recess 212R. The second recessed portion 212R communicates with the first recessed portion 211R. The first recessed portion 211R and the second recessed portion 212R form the recessed portion 21R of the seal ring R S1 . Similarly, the second interconnect stack 222 of seal ring RS2 has a first recess 221R , and the third interconnect stack 223 of seal ring RS2 has a second recess 222R. The second recess 222R communicates with the first recess 221R. The first recessed portion 221R and the second recessed portion 222R form the recessed portion 22R of the seal ring RS2. The first concave portion 221R may have one or more inter-die connecting layers passing through it, the second concave portion 222R may have one or more inter-die connecting layers passing through it, and the concave portion 31R may have one or multiple crystal grains. The inter-connecting layer passes through, and the above can be freely set according to the design requirements.

在該示例中,構造了三個晶粒間連接層421、422和423(稱為晶粒間連接層LIC1 ),用於電連接兩個相鄰的半導體晶粒。如圖5所示,晶粒間連接層421和422穿過密封環RS1 的第一凹部211R和密封環RS2 的第一凹部221R。在一些實施例中,晶粒間連接層421和422、第二互連堆疊212的第二導線212L和第二互連堆疊222的第二導線222L由相同的材料製成(例如,第二金屬層(例如,M2))。而且,如圖4和圖5所示,晶粒間連接層421和422與第二導線212L和222L處於同一水平(同一層)。晶粒間連接層421和422可以連接半導體晶粒11-1和11-2的有源元件,晶粒間連接層423也可以連接半導體晶粒11-1和11-2的有源元件。此外,雖然圖中晶粒間連接層421、422和423中間可能有斷開,但是這是因為圖中是截面圖的原因,實際上晶粒間連接層421、422和423中的每一層都是互連的,並非斷開的。In this example, three inter-die connection layers 421 , 422 and 423 (referred to as inter-die connection layers L IC1 ) are constructed for electrically connecting two adjacent semiconductor dies. As shown in FIG. 5 , the inter-die connection layers 421 and 422 pass through the first concave portion 211R of the seal ring R S1 and the first concave portion 221R of the seal ring R S2 . In some embodiments, the inter-die connection layers 421 and 422, the second wire 212L of the second interconnect stack 212, and the second wire 222L of the second interconnect stack 222 are made of the same material (eg, a second metal layer (for example, M2)). Also, as shown in FIGS. 4 and 5 , the inter-die connection layers 421 and 422 are at the same level (same layer) as the second wires 212L and 222L. The inter-die connection layers 421 and 422 may connect the active elements of the semiconductor dies 11-1 and 11-2, and the inter-die connection layer 423 may also connect the active elements of the semiconductor dies 11-1 and 11-2. In addition, although there may be disconnections in the inter-die connecting layers 421, 422 and 423 in the figure, this is because the figure is a cross-sectional view, in fact, each of the inter-die connecting layers 421, 422 and 423 is are interconnected, not disconnected.

另外,如圖5所示,晶粒間連接層423穿過密封環RS1 的第二凹部212R和密封環RS2 的第二凹部222R。在一些實施例中,晶粒間連接層423、第三互連堆疊件213的第三導線213L和第三互連堆疊件223的第三導線223L由相同的材料(例如第三金屬層(例如M3)的材料)製成。而且,如圖4和圖5所示,晶粒間連接層423與第三導線213L和223L處於同一水平(同一層)。晶粒間連接層423可以連接半導體晶粒11-1和11-2的其他有源元件。In addition, as shown in FIG. 5 , the inter-die connection layer 423 passes through the second concave portion 212R of the seal ring R S1 and the second concave portion 222R of the seal ring R S2 . In some embodiments, the inter-die connection layer 423 , the third wires 213L of the third interconnect stack 213 , and the third wires 223L of the third interconnect stack 223 are made of the same material (eg, a third metal layer (eg, M3) material). Also, as shown in FIGS. 4 and 5 , the inter-die connection layer 423 is at the same level (same layer) as the third wires 213L and 223L. The inter-die connection layer 423 may connect other active elements of the semiconductor die 11-1 and 11-2.

第一導線(例如211L和221L)和第三導線(例如213L和223L)可以由不同的材料製成。第二導線(例如212L和222L)和第三導線(例如213L和223L)可以由不同的材料製成。例如,第一導線(例如211L和221L)和第二導線(例如212L和222L)由銅(Cu)製成,第三導線(例如213L和223L)由鋁(Al)製成。另外,在一些實施例中,每個第一導線211L和221L具有第一厚度t1,每個第二導線212L和222L具有第二厚度t2,並且每個第三導線213L和223L具有第三厚度t3,其中第二厚度t2大於第一厚度t1,並且第三厚度t3大於第二厚度t2。在一些實施例中,晶粒間連接層421、422和433中的每個具有的厚度(例如,t2)大於第一導線211L和221L中的每個的厚度(例如,t1)。而且,在一些實施例中,晶粒間連接層423(諸如由第三金屬層(M3)形成的晶粒之間的連接層)具有第三厚度t3,該第三厚度t3大於第一導線211L和221L中的任一個的厚度(例如,t1)。另外,在一些實施例中,晶粒間連接層423的第三厚度t3大於晶粒間連接層421和422中的每一個的第二厚度t2。The first wires (eg, 211L and 221L) and the third wires (eg, 213L and 223L) may be made of different materials. The second wires (eg, 212L and 222L) and the third wires (eg, 213L and 223L) may be made of different materials. For example, the first wires (eg, 211L and 221L) and the second wires (eg, 212L and 222L) are made of copper (Cu), and the third wires (eg, 213L and 223L) are made of aluminum (Al). Additionally, in some embodiments, each of the first wires 211L and 221L has a first thickness t1, each of the second wires 212L and 222L has a second thickness t2, and each of the third wires 213L and 223L has a third thickness t3 , wherein the second thickness t2 is greater than the first thickness t1, and the third thickness t3 is greater than the second thickness t2. In some embodiments, each of the inter-die connection layers 421 , 422 , and 433 has a thickness (eg, t2 ) that is greater than a thickness (eg, t1 ) of each of the first wires 211L and 221L. Also, in some embodiments, the inter-die connection layer 423 , such as the inter-die connection layer formed by the third metal layer ( M3 ), has a third thickness t3 that is greater than the first conductive line 211L and the thickness of either of 221L (eg, t1). Additionally, in some embodiments, the third thickness t3 of the inter-die connection layer 423 is greater than the second thickness t2 of each of the inter-die connection layers 421 and 422 .

此外,在一些實施例中,如圖4和圖5所示,每個半導體晶粒還包括圍繞有源區域並位於密封環區域和有源區域之間的虛設區域(dummy area)。例如,如上所述,虛設區域AD1 形成在密封環區域AR1 與半導體晶粒11-1的有源區域AA1之間,虛設區域AD2 形成在密封環區域AR2 與半導體晶粒11-2的有源區域AA2 之間。在一些實施例中,如圖5和圖6所示,晶粒間連接層LIC1 進一步穿過虛設區域AD1 中的凹部31R和虛設區域AD2中的凹部32R。如圖6所示,虛設區域AD1 中的凹部31R沿第二方向D2(例如,Y方向)佈置並且彼此分離。與虛設區域AD1 中的凹部31R相對的虛設區域AD2 中的凹部32R沿第二方向D2(例如,Y方向)佈置並且彼此分離。Additionally, in some embodiments, as shown in FIGS. 4 and 5 , each semiconductor die further includes a dummy area surrounding the active area and located between the seal ring area and the active area. For example, as described above, the dummy area A D1 is formed between the seal ring area A R1 and the active area AA1 of the semiconductor die 11-1, and the dummy area A D2 is formed between the seal ring area A R2 and the semiconductor die 11-2 between the active areas A and A2 . In some embodiments, as shown in FIGS. 5 and 6 , the inter-die connection layer L IC1 further passes through the concave portion 31R in the dummy area AD1 and the concave portion 32R in the dummy area AD2 . As shown in FIG. 6 , the concave portions 31R in the dummy area A D1 are arranged in the second direction D2 (eg, the Y direction) and separated from each other. The concave portions 32R in the dummy area A D2 opposite to the concave portions 31R in the dummy area A D1 are arranged in the second direction D2 (eg, the Y direction) and separated from each other.

應當注意,可以根據應用中待形成的半導體結構的設計要求來改變和確定晶粒間連接層LIC1 的數量。下面提供了實施例的一些變型用於說明。It should be noted that the number of the inter-die connection layers L IC1 may be varied and determined according to the design requirements of the semiconductor structure to be formed in the application. Some variations of the embodiments are provided below for illustration.

圖7A是根據本發明的一些實施例的半導體結構的截面圖。參照圖4和圖7A,兩個晶粒間連接層形成為相鄰半導體晶粒之間的導電橋。應當注意,在圖7A和圖4-5中,相似或相同的附圖標記用於表示相似或相同的特徵/部件,並且相似或相同的特徵/部件(例如其結構和材料)的細節在此不再重複。7A is a cross-sectional view of a semiconductor structure in accordance with some embodiments of the present invention. 4 and 7A, two inter-die connection layers are formed as conductive bridges between adjacent semiconductor die. It should be noted that in Figures 7A and 4-5, similar or identical reference numerals are used to denote similar or identical features/components, and details of similar or identical features/components (eg, their construction and materials) are herein no more repetitions.

圖7A的半導體結構與圖5的半導體結構之間的區別在於用於電連接半導體晶粒11-1和11-2的晶粒間連接層LIC1 的數量。The difference between the semiconductor structure of FIG. 7A and the semiconductor structure of FIG. 5 lies in the number of inter-die connection layers L IC1 for electrically connecting the semiconductor die 11 - 1 and 11 - 2 .

在圖7A中,兩個晶粒間連接層LIC1 (包括一個晶粒間連接層423和一個晶粒間連接層422)構造用於電連接兩個相鄰的半導體晶粒。如圖7A所示,在一些實施例中,晶粒間連接層422穿過密封環RS1 的第一凹部211R'和密封環RS2 的第一凹部221R'。另外,在該示例中,晶粒間連接層422進一步穿過虛設區域AD1 中的凹部31R和虛設區域AD2中的凹部32R,如圖7A所示。在一些實施例中,晶粒間連接層422、第二互連堆疊212的第二導線212L和第二互連堆疊222的第二導線222L由相同的材料(例如第二金屬層(例如M2))的材料)製成。而且,晶粒間連接層422與第二導線212L或222L之一齊平。例如,晶粒間連接層422與第二導線212L和222L的最上層齊平,如圖7A所示。In FIG. 7A , two inter-die connection layers L IC1 (including one inter-die connection layer 423 and one inter-die connection layer 422 ) are configured to electrically connect two adjacent semiconductor dies. As shown in FIG. 7A , in some embodiments, the inter-die connection layer 422 passes through the first recess 211R' of the seal ring RS1 and the first recess 221R ' of the seal ring RS2. In addition, in this example, the inter-die connection layer 422 further penetrates the concave portion 31R in the dummy area AD1 and the concave portion 32R in the dummy area AD2, as shown in FIG. 7A . In some embodiments, the inter-die connection layer 422 , the second wires 212L of the second interconnect stack 212 , and the second wires 222L of the second interconnect stack 222 are made of the same material (eg, the second metal layer (eg, M2 )) ) material). Also, the inter-die connection layer 422 is flush with one of the second conductive lines 212L or 222L. For example, the inter-die connection layer 422 is flush with the uppermost layers of the second wires 212L and 222L, as shown in FIG. 7A .

另外,如圖7A所示,晶粒間連接層423穿過密封環RS1 的第二凹部212R和密封環RS2 的第二凹部222R。另外,在該示例中,晶粒間連接層423進一步穿過虛設區域AD1中的凹部31R和虛設區域AD2中的凹部32R,如圖7A所示。在一些實施例中,晶粒間連接層423、第三互連堆疊件213的第三導線213L和第三互連堆疊件223的第三導線223L由相同的材料(例如第三金屬層(例如M3))的材料)製成。而且,晶粒間連接層423與第三導線213L和223L齊平,如圖4和圖7A所示。晶粒間連接層422可以連接半導體晶粒11-1和11-2的有源元件,而晶粒間連接層423可以連接半導體晶粒11-1和11-2的其他有源元件。In addition, as shown in FIG. 7A , the inter-die connection layer 423 passes through the second recessed portion 212R of the seal ring RS1 and the second recessed portion 222R of the seal ring RS2. In addition, in this example, the inter-die connection layer 423 further passes through the concave portion 31R in the dummy area AD1 and the concave portion 32R in the dummy area AD2, as shown in FIG. 7A . In some embodiments, the inter-die connection layer 423 , the third wires 213L of the third interconnect stack 213 , and the third wires 223L of the third interconnect stack 223 are made of the same material (eg, a third metal layer (eg, M3))) made of material). Also, the inter-die connection layer 423 is flush with the third wires 213L and 223L, as shown in FIGS. 4 and 7A . The inter-die connection layer 422 may connect active elements of the semiconductor dies 11-1 and 11-2, and the inter-die connection layer 423 may connect other active elements of the semiconductor dies 11-1 and 11-2.

另外,圖5中的密封環RS1 的凹部21R和密封環RS2 的凹部22R比圖7A中的密封環RS1 的凹部21R'和密封環RS2 的凹部22R'更深,深度更深可以容納更多層的晶粒間連接層。例如,圖5中的第一凹部211R和221R(兩個晶粒間連接層421和422R穿過)比圖7A中的第一凹部211R'和221R'(一個晶粒間連接層422穿過)更深。In addition, the concave portion 21R of the seal ring R S1 and the concave portion 22R of the seal ring R S2 in FIG. 5 are deeper than the concave portion 21R′ of the seal ring R S1 and the concave portion 22R′ of the seal ring R S2 in FIG. 7A , and the deeper depth can accommodate more Multi-layer inter-die connection layer. For example, the first recesses 211R and 221R in FIG. 5 (through which the two inter-die connection layers 421 and 422R pass) are larger than the first recesses 211R' and 221R' in FIG. 7A (through which the one inter-die connection layer 422 passes) Deeper.

圖7B是根據本發明的一些實施例的半導體結構的截面圖。參照圖4和圖7B,一個晶粒間連接層形成為相鄰半導體晶粒之間的導電橋,並且密封環由兩個互連堆疊件形成。應當注意,在圖7B和圖4-5中,相似或相同的附圖標記用於表示相似或相同的特徵/部件,並且相似或相同的特徵/部件(例如其結構和材料)的細節在此不再重複。7B is a cross-sectional view of a semiconductor structure in accordance with some embodiments of the present invention. 4 and 7B, an inter-die connection layer is formed as a conductive bridge between adjacent semiconductor die, and a seal ring is formed from two interconnect stacks. It should be noted that in Figures 7B and 4-5, similar or identical reference numerals are used to denote similar or identical features/components, and details of similar or identical features/components (eg, their construction and materials) are herein no more repetitions.

圖7B的半導體結構與圖5的半導體結構之間的差異包括:密封環的互連堆疊的數量以及用於電連接半導體晶粒11-1和11-2的晶粒間連接層LIC1 的數量。Differences between the semiconductor structure of FIG. 7B and the semiconductor structure of FIG. 5 include the number of interconnect stacks of seal rings and the number of inter-die connection layers L IC1 for electrically connecting semiconductor dies 11 - 1 and 11 - 2 .

在圖7B中,基底層10上的兩個互連堆疊形成半導體晶粒的密封環。例如,半導體晶粒11-1的密封環RS1 包括在基底層10上方的第一互連堆疊211和在第一互連堆疊211上的第二互連堆疊212,其中第一互連堆疊211和第二互連堆疊212包圍有源區域AA1。第一互連堆疊211包括複數個第一導線211L和連接第一導線211L的第一導電通孔211V。第二互連堆疊212包括複數個第二導線212L和第二導電通孔212V,其中第二導電通孔212V連接兩個相鄰的第二導線212L並且連接最下面的第二導線212L和最上面的第一導線211L。在一些實施例中,第一互連堆疊211的第一導線211L由第一金屬層(例如,M1)形成,並且第二互連堆疊212的第二導線212L由第二金屬層(例如,M2)形成。In Figure 7B, two interconnect stacks on base layer 10 form a seal ring for the semiconductor die. For example, the seal ring R S1 of the semiconductor die 11 - 1 includes a first interconnect stack 211 over the base layer 10 and a second interconnect stack 212 over the first interconnect stack 211 , wherein the first interconnect stack 211 and the second interconnect stack 212 surrounds the active area AA1. The first interconnect stack 211 includes a plurality of first wires 211L and first conductive vias 211V connecting the first wires 211L. The second interconnect stack 212 includes a plurality of second wires 212L and second conductive vias 212V, wherein the second conductive vias 212V connect two adjacent second wires 212L and connect the lowermost second wire 212L and the uppermost of the first wire 211L. In some embodiments, the first wires 211L of the first interconnect stack 211 are formed from a first metal layer (eg, M1 ), and the second wires 212L of the second interconnect stack 212 are formed from a second metal layer (eg, M2 ) )form.

此外,如圖7B所示,半導體晶粒11-1的有源區域AA1包括位於基底層10上方的第一導電堆疊311和位於第一導電堆疊311上的第二導電堆疊312,用於形成半導體晶粒11-1的積體電路。在一些實施例中,第一導電疊層311包括幾條導線311L和幾條導電通孔311V。第二導電疊層312包括兩條導線312L和幾個導電通孔312V。第二導電疊層312電連接到第一導電疊層311。第一導電疊層311可以電連接基底層10中的一個或複數個有源元件(例如,電晶體)。在一些實施例中,第一導電疊層的導線311L導電疊層311由第一金屬層(例如,M1)形成,並且第二導電疊層312的導線312L由第二金屬層(例如,M2)形成。In addition, as shown in FIG. 7B , the active area AA1 of the semiconductor die 11-1 includes a first conductive stack 311 located above the base layer 10 and a second conductive stack 312 located on the first conductive stack 311 for forming a semiconductor The integrated circuit of die 11-1. In some embodiments, the first conductive stack 311 includes several wires 311L and several conductive vias 311V. The second conductive stack 312 includes two conductive lines 312L and several conductive vias 312V. The second conductive stack 312 is electrically connected to the first conductive stack 311 . The first conductive stack 311 may electrically connect one or more active elements (eg, transistors) in the base layer 10 . In some embodiments, wires 311L of the first conductive stack 311 are formed from a first metal layer (eg, M1 ), and wires 312L of the second conductive stack 312 are formed from a second metal layer (eg, M2 ) form.

類似地,在一些實施例中,如圖7B所示,半導體晶粒11-2的密封環RS2包括位於基底層10上方的第一互連堆疊221和位於第一互連堆疊221上的第二互連堆疊222,其中,第一互連堆疊221和第二互連堆疊222圍繞有源區域AA2。第一互連堆疊221包括複數個第一導線221L和連接第一導線221L的第一導電通孔221V。第二互連堆疊222包括複數個第二導線222L和第二導電通孔222V,其中第二導電通孔222V連接兩個相鄰的第二導線222L並且連接最下面的第二導線222L和最上面的第一導線221L。在一些實施例中,第一互連堆疊221的第一導線221L由第一金屬層(例如,M1)形成,並且第二互連堆疊222的第二導線222L由第二金屬層(例如,M2)形成。Similarly, in some embodiments, as shown in FIG. 7B , the seal ring RS2 of the semiconductor die 11 - 2 includes a first interconnect stack 221 over the base layer 10 and a second interconnect stack 221 over the first interconnect stack 221 An interconnect stack 222, wherein the first interconnect stack 221 and the second interconnect stack 222 surround the active area AA2. The first interconnect stack 221 includes a plurality of first wires 221L and first conductive vias 221V connecting the first wires 221L. The second interconnect stack 222 includes a plurality of second wires 222L and second conductive vias 222V, wherein the second conductive vias 222V connect two adjacent second wires 222L and connect the lowermost second wires 222L and the uppermost of the first wire 221L. In some embodiments, the first wires 221L of the first interconnect stack 221 are formed from a first metal layer (eg, M1 ), and the second wires 222L of the second interconnect stack 222 are formed from a second metal layer (eg, M2 ) )form.

此外,在該示例中,如圖7B所示,半導體晶粒11-2的有源區域AA2包括位於基底層10上方的第一導電堆疊321和位於第一導電堆疊321上的第二導電堆疊322,用於形成半導體晶粒11-2的積體電路。在一些實施例中,第一導電疊層321包括幾條導線321L和幾條導電通孔321V。第二導電疊層322包括兩條導線322L和幾個導電通孔322V。第二導電疊層322電連接到第一導電疊層321。第一導電疊層321可以電連接基底層10中的一個或複數個有源元件(例如,電晶體,未示出)。在一些實施例中,導線321L第一導電疊層321中的第一導電疊層321中的第一導電疊層321由第一金屬層(例如,M1)形成,第二導電疊層322的導線322L由第二金屬層(例如,M2)形成。Furthermore, in this example, as shown in FIG. 7B , the active area AA2 of the semiconductor die 11 - 2 includes a first conductive stack 321 over the base layer 10 and a second conductive stack 322 over the first conductive stack 321 , for forming the integrated circuit of the semiconductor die 11-2. In some embodiments, the first conductive stack 321 includes several wires 321L and several conductive vias 321V. The second conductive stack 322 includes two conductive lines 322L and several conductive vias 322V. The second conductive stack 322 is electrically connected to the first conductive stack 321 . The first conductive stack 321 may electrically connect one or more active elements (eg, transistors, not shown) in the base layer 10 . In some embodiments, the wires 321L of the first conductive stacks 321 of the first conductive stacks 321 are formed from a first metal layer (eg, M1 ), the wires of the second conductive stacks 322 322L is formed of a second metal layer (eg, M2).

在圖7B中,晶粒間連接層422構造為用於電連接兩個相鄰的半導體晶粒。例如,半導體晶粒11-1的功率元件透過晶粒間連接層422電連接到半導體晶粒11-2的功率元件。如圖7B所示,在一些實施例中,晶粒間連接層422穿過密封環RS1的凹部21R”和密封環RS2的凹部22R”。另外,在該示例中,晶粒間連接層422進一步穿過虛設區域AD1中的凹部31R和虛設區域AD2中的凹部32R,如圖7B所示。在一些實施例中,晶粒間連接層422、第二互連堆疊212的第二導線212L和第二互連堆疊222的第二導線222L由相同的材料(例如第二金屬層(例如M2)的材料)製成。而且,晶粒間連接層422與第二導線212L或222L之一齊平(在同一層,或在同一水平)。例如,晶粒間連接層422與第二導線212L和222L的最上層齊平,如圖7B所示。In FIG. 7B, the inter-die connection layer 422 is configured to electrically connect two adjacent semiconductor die. For example, the power element of the semiconductor die 11-1 is electrically connected to the power element of the semiconductor die 11-2 through the inter-die connection layer 422. As shown in FIG. 7B, in some embodiments, the inter-die connection layer 422 passes through the recess 21R" of the seal ring RS1 and the recess 22R" of the seal ring RS2. In addition, in this example, the inter-die connection layer 422 further penetrates the concave portion 31R in the dummy area AD1 and the concave portion 32R in the dummy area AD2, as shown in FIG. 7B . In some embodiments, the inter-die connection layer 422 , the second wires 212L of the second interconnect stack 212 , and the second wires 222L of the second interconnect stack 222 are made of the same material (eg, the second metal layer (eg, M2 )) material) is made. Also, the inter-die connection layer 422 is flush (at the same layer, or at the same level) with one of the second conductive lines 212L or 222L. For example, the inter-die connection layer 422 is flush with the uppermost layers of the second wires 212L and 222L, as shown in FIG. 7B .

儘管在一些實施例中,上述晶粒間連接層形成在半導體晶粒的密封環的凹部中,但是本發明不限於此。在一些其他實施例中,可以在晶粒區域中的兩個相鄰的半導體晶粒的密封環上方形成晶粒間連接層,而不會使密封環的任何部分凹部。Although in some embodiments, the above-described inter-die connection layer is formed in the concave portion of the seal ring of the semiconductor die, the present invention is not limited thereto. In some other embodiments, an inter-die connection layer may be formed over the seal ring of two adjacent semiconductor dies in the die region without recessing any portion of the seal ring.

圖8是根據本發明的一些其他實施例的半導體結構的截面圖。應當注意,在圖8和圖4、圖5、圖7A和圖7B中,相似或相同的附圖標記用於表示相似或相同的特徵/部件,並且相似或相同的特徵/部件(例如其結構和材料)的細節在此不再重複。8 is a cross-sectional view of a semiconductor structure according to some other embodiments of the present invention. It should be noted that in Figures 8 and 4, 5, 7A and 7B, similar or identical reference numerals are used to denote similar or identical features/components, and similar or identical features/components (eg, their structure and materials) are not repeated here.

如圖8所示,每個密封環包括在基底層10上方的幾個互連堆疊。例如,半導體晶粒11-1的密封環RS1 包括在基底層10上方並且圍繞有源區域AA1 的第一互連堆疊211,在第一互連堆疊211上並圍繞有源區域AA1 的第二互連堆疊212,以及在第二互連堆疊212上並圍繞有源區域AA1 的第三互連堆疊213。半導體晶粒11-2的密封環RS2 包括在基底層10上方並且圍繞有源區域AA2 的第一互連堆疊221、在第一互連堆疊221上並且圍繞有源區域AA2 的第二互連堆疊222,以及位於第二互連堆疊222上並且圍繞有源區域AA2 的第三互連堆疊221。As shown in FIG. 8 , each seal ring includes several interconnect stacks above the base layer 10 . For example, the seal ring R S1 of the semiconductor die 11-1 includes a first interconnect stack 211 over the base layer 10 and surrounding the active area A A1 , on the first interconnect stack 211 and surrounding the active area A A1 A second interconnect stack 212, and a third interconnect stack 213 on the second interconnect stack 212 and surrounding the active area A A1 . The seal ring RS2 of the semiconductor die 11-2 includes a first interconnect stack 221 over the base layer 10 and surrounding the active area A A2 , a second interconnect stack 221 on the first interconnect stack 221 and surrounding the active area A A2 An interconnect stack 222, and a third interconnect stack 221 located on the second interconnect stack 222 and surrounding the active area A A2 .

在一些實施例中,如圖8所示,晶粒間連接層501形成在那些互連堆疊(例如211/212/213和221/222/223)上方。同樣,晶粒間連接層501在半導體晶粒11-1和11-2的密封環區域AR1 中的密封環RS1和密封環區域AR2 中的密封環RS2的相鄰部分上延伸。晶粒間連接層501可以透過導電通孔501V連接半導體晶粒11-1和11-2的有源元件,從而用作半導體晶粒11-1和11-2之間的橋接。In some embodiments, as shown in FIG. 8, an inter-die connection layer 501 is formed over those interconnect stacks (eg, 211/212/213 and 221/222/223). Likewise, the inter-die connection layer 501 extends on adjacent portions of the seal ring RS1 in the seal ring region A R1 and the seal ring RS2 in the seal ring region A R2 of the semiconductor die 11-1 and 11-2. The inter-die connection layer 501 may connect the active elements of the semiconductor die 11-1 and 11-2 through the conductive via 501V, thereby serving as a bridge between the semiconductor die 11-1 and 11-2.

另外,在一些實施例中,如圖8所示,晶粒間連接層501和互連堆疊(例如211-213和221-密封環RS1 和RS2 的223)由相同的材料製成。然而,本發明不限於此。晶粒間連接層501的材料可以與密封環RS1 和RS2 的最上面的導線(例如,第三導線213L和223L)的材料相同或不同。Additionally, in some embodiments, as shown in FIG. 8 , the inter-die connection layer 501 and the interconnect stack (eg, 211 - 213 and 221 - 223 of seal rings R S1 and R S2 ) are made of the same material. However, the present invention is not limited to this. The material of the inter-die connection layer 501 may be the same or different from that of the uppermost wires (eg, the third wires 213L and 223L) of the seal rings R S1 and R S2 .

圖9A是根據本發明的一些實施例的多晶粒部件120的俯視圖。在沿著晶圓上的晶粒區域之間的劃線進行晶圓鋸切製程之後(如圖2所示),可以獲得幾個多晶粒部件。每個多晶粒部件可以包括兩個或更多個半導體晶粒。在該示例中,如圖9A所示,為例示了包括四個半導體晶粒11-1、11-2、11-3和11-4的多晶粒部件120。應當注意,在圖9A、圖1B和圖3中,相似或相同的附圖標記用於表示相似或相同的特徵/部件。以上已經描述了的半導體晶粒11-1、11-2、11-3和11-4(例如結構和材料)以及相關元件(例如密封框架FS 、第一空間SP1、第二空間SP2以及晶粒間連接層LIC1 -LIC4 的細節,並且不再重複。9A is a top view of a multi-die component 120 in accordance with some embodiments of the invention. After a wafer sawing process along the scribe lines between the die regions on the wafer (as shown in Figure 2), several multi-die parts can be obtained. Each multi-die component may include two or more semiconductor dies. In this example, as shown in FIG. 9A, a multi-die component 120 including four semiconductor dies 11-1, 11-2, 11-3, and 11-4 is illustrated. It should be noted that in Figures 9A, IB and 3, similar or identical reference numerals are used to denote similar or identical features/components. The semiconductor dies 11-1, 11-2, 11-3, and 11-4 (eg, structures and materials) and related elements (eg, the sealing frame F S , the first space SP1 , the second space SP2 , and the crystals) have been described above Details of the intergranular junction layers L IC1 -L IC4 and will not be repeated.

圖9B是根據本發明的一些實施例的包括圖9A中的多晶粒部件120的封裝結構120P的截面圖。由於截面圖的原因,圖9B僅示出了封裝結構120P中的多晶粒部件120的半導體晶粒11-1和11-2。另外,應當注意,取決於應用的設計要求,可以在封裝結構的基板上佈置兩個或更多個多晶粒部件。為了簡化該圖,將一個多晶粒部件120設置在封裝結構120P的基板100上。9B is a cross-sectional view of a package structure 120P including the multi-die feature 120 of FIG. 9A in accordance with some embodiments of the present invention. FIG. 9B only shows semiconductor dies 11 - 1 and 11 - 2 of multi-die component 120 in package structure 120P due to the cross-sectional view. Additionally, it should be noted that, depending on the design requirements of the application, two or more multi-die components may be arranged on the substrate of the package structure. To simplify the figure, one multi-die component 120 is disposed on the substrate 100 of the package structure 120P.

在一些實施例中,封裝結構120P包括基板100和接合至基板100的多晶粒部件120。在該示例中,如圖9B所示,基板100具有第一表面100a和與第一表面100a相對的第二表面100b。基板100包括具有重分佈層(redistribution layer,RDL)和其他元件(例如,導電通孔和導電墊)的重分佈圖案100L。根據本申請的基板設計來確定重分佈圖案100L的佈置。在一些實施例中,多晶粒部件120佈置在基板100的第一表面100a上,並且多晶粒部件120電耦接到基板100中的重分佈圖案100L的重分佈層。In some embodiments, the package structure 120P includes a substrate 100 and a multi-die feature 120 bonded to the substrate 100 . In this example, as shown in FIG. 9B, the substrate 100 has a first surface 100a and a second surface 100b opposite to the first surface 100a. The substrate 100 includes a redistribution pattern 100L having a redistribution layer (RDL) and other elements (eg, conductive vias and conductive pads). The arrangement of the redistribution patterns 100L is determined according to the substrate design of the present application. In some embodiments, the multi-die feature 120 is disposed on the first surface 100a of the substrate 100 , and the multi-die feature 120 is electrically coupled to the redistribution layer of the redistribution pattern 100L in the substrate 100 .

在一些實施例中,基板100還包括金屬間電介質(inter-metal dielectric,IMD)層100M,並且重分佈圖案100L嵌入在金屬間電介質層100M中。在一些實施例中,金屬間介電層可以由包括聚合物基底材料的有機材料,包括氮化矽(SiNx)、氧化矽(SiOx)、石墨烯等的非有機材料形成。例如,金屬間介電層由聚合物基底材料製成。應當注意,圖9B中所示的金屬間介電層,導電墊,導電通孔和重分佈層的數量和配置僅是示例性的,並不限制本發明。In some embodiments, the substrate 100 further includes an inter-metal dielectric (IMD) layer 100M, and the redistribution pattern 100L is embedded in the inter-metal dielectric layer 100M. In some embodiments, the IMD layer may be formed of organic materials including polymer base materials, non-organic materials including silicon nitride (SiNx), silicon oxide (SiOx), graphene, and the like. For example, the intermetal dielectric layer is made of a polymer base material. It should be noted that the numbers and configurations of IMD layers, conductive pads, conductive vias and redistribution layers shown in FIG. 9B are exemplary only and do not limit the present invention.

在一些實施例中,多晶粒部件120在基底層10上並且包括複數個半導體晶粒,諸如圖9A的半導體晶粒11-1、11-2、11-3和11-4。而且,半導體晶粒11-1、11-2、11-3和11-4設置在基底層10的頂表面101上(從圖9B中看是在基底層10的下方),並且彼此間隔開。在一些實施例中,如圖9B所示,封裝結構120P還包括複數個導電結構113,其中多晶粒部件120透過導電結構113接合到基板100。如圖9B所示,多晶粒部件120的基底層10的頂表面101面對基板100的第一表面100a。導電結構113設置在基板100的第一表面100a上方並且在第一半導體晶粒11-1和11-2下方。In some embodiments, multi-die feature 120 is on base layer 10 and includes a plurality of semiconductor die, such as semiconductor die 11-1, 11-2, 11-3, and 11-4 of FIG. 9A. Also, the semiconductor dies 11-1, 11-2, 11-3, and 11-4 are disposed on the top surface 101 of the base layer 10 (under the base layer 10 as seen in FIG. 9B), and are spaced apart from each other. In some embodiments, as shown in FIG. 9B , the package structure 120P further includes a plurality of conductive structures 113 , wherein the multi-die component 120 is bonded to the substrate 100 through the conductive structures 113 . As shown in FIG. 9B , the top surface 101 of the base layer 10 of the multi-die part 120 faces the first surface 100 a of the substrate 100 . The conductive structure 113 is disposed over the first surface 100a of the substrate 100 and under the first semiconductor dies 11-1 and 11-2.

此外,形成晶粒間連接層LIC 以電連接兩個相鄰的半導體晶粒。例如,如圖9A和圖9B所示,晶粒間連接層LIC1 電連接作為半導體晶粒11-1和11-2之間的導電橋的半導體晶粒11-1和11-2。同樣,晶粒間連接層LIC 在兩個相鄰的半導體晶粒的密封環(諸如密封環RS 1和RS2 )上延伸,如以上描述中所述。同樣,晶粒間連接層LIC 穿過多晶粒部件120的兩個相鄰半導體晶粒之間的空間。例如,如圖9B所示,晶粒間連接層LIC1 穿過第一半導體晶粒11-1和第二半導體晶粒11-2之間的第二空間SP2。另外,在一些實施例中,如圖9B所示,當多晶粒部件120接合到基板100時,晶粒間連接層LIC 位於基底層10的頂表面101和第一表面之間。上面已經描述了諸如晶粒間連接層LIC的結構和材料之類的細節,在此不再贅述。In addition, an inter-die connection layer L IC is formed to electrically connect two adjacent semiconductor die. For example, as shown in FIGS. 9A and 9B , the inter-die connection layer L IC1 electrically connects the semiconductor die 11 - 1 and 11 - 2 as a conductive bridge between the semiconductor die 11 - 1 and 11 - 2 . Likewise, the inter-die connection layer L IC extends over the seal rings (such as seal rings R S1 and R S2 ) of two adjacent semiconductor dies, as described in the above description. Likewise, the inter-die connection layer L IC passes through the space between two adjacent semiconductor dies of the multi-die component 120 . For example, as shown in FIG. 9B, the inter-die connection layer L IC1 passes through the second space SP2 between the first semiconductor die 11-1 and the second semiconductor die 11-2. Additionally, in some embodiments, as shown in FIG. 9B , when the multi-die component 120 is bonded to the substrate 100 , the inter-die connection layer L IC is located between the top surface 101 and the first surface of the base layer 10 . Details such as the structure and material of the inter-die connection layer LIC have been described above, and will not be repeated here.

在一些實施例中,如圖9B所示,封裝結構120P還包括模製材料110,該模製材料110圍繞多晶粒部件120的半導體晶粒11-1、11-2、11-3和11-4並填充空間。模製材料110鄰接半導體晶粒11-1、11-2、11-3和11-4的側壁。即,半導體晶粒11-1、11-2、11-3和11-4透過模製材料110彼此分離。此外,模製材料110封裝在半導體之間延伸的晶粒間連接層LIC ,並且晶粒間連接層LIC 穿過模製材料110。例如,如圖9B所示,半導體晶粒11-1和11-2透過模製材料110彼此分離。半導體晶粒11-1和11-2之間的晶粒間連接層LIC1 穿過模製材料110。In some embodiments, as shown in FIG. 9B , the package structure 120P further includes a molding material 110 surrounding the semiconductor dies 11 - 1 , 11 - 2 , 11 - 3 , and 11 of the multi-die component 120 -4 and fill the space. The molding material 110 adjoins the sidewalls of the semiconductor dies 11-1, 11-2, 11-3, and 11-4. That is, the semiconductor dies 11 - 1 , 11 - 2 , 11 - 3 and 11 - 4 are separated from each other through the molding material 110 . Furthermore, the molding material 110 encapsulates the inter-die connection layer L IC extending between the semiconductors, and the inter-die connection layer L IC passes through the molding material 110 . For example, as shown in FIG. 9B , the semiconductor dies 11 - 1 and 11 - 2 are separated from each other through the molding material 110 . The inter-die connection layer L IC1 between the semiconductor die 11 - 1 and 11 - 2 passes through the molding material 110 .

在一些實施例中,模製材料110包括非導電材料,諸如環氧樹脂、樹脂、可模製聚合物或另一種合適的模製材料。在一些實施例中,模製材料110在基本為液體時施加,然後透過化學反應固化。在一些其他實施例中,模製材料110是作為凝膠或可延展的固體施加的紫外線(UV)或熱固化的聚合物,然後透過紫外線或熱固化製程來固化。模製材料110可以用模具(未示出)固化。In some embodiments, molding material 110 includes a non-conductive material, such as epoxy, resin, moldable polymer, or another suitable molding material. In some embodiments, the molding material 110 is applied while being substantially liquid and then cured through a chemical reaction. In some other embodiments, the molding material 110 is an ultraviolet (UV) or thermally cured polymer applied as a gel or malleable solid and then cured by a UV or thermal curing process. The molding material 110 may be cured with a mold (not shown).

在一些實施例中,半導體晶粒11-1和11-2的背向基板100的第一表面100a的表面由模製材料110暴露,使得散熱裝置(未示出)可以直接附接到半導體晶粒11-1和11-2的表面上。因此,可以提高半導體封裝結構120P的散熱效率,特別是對於大的半導體封裝結構,例如50mm×50mm,這對於高功率應用是優選的。In some embodiments, the surfaces of the semiconductor die 11-1 and 11-2 facing away from the first surface 100a of the substrate 100 are exposed by the molding material 110 so that a heat sink (not shown) can be attached directly to the semiconductor die on the surface of grains 11-1 and 11-2. Therefore, the heat dissipation efficiency of the semiconductor package structure 120P can be improved, especially for a large semiconductor package structure, eg, 50 mm×50 mm, which is preferable for high power applications.

在一些實施例中,如圖9B所示,封裝結構120P還包括設置在模製材料110、半導體晶粒11-1和11-2下方以及導電結構113之間的聚合物材料115。結構120P可以進一步包括置於基板100的第一表面100a與聚合物材料115之間的底部填充層(未示出)。在一些實施例中,半導體晶粒11-1和11-2以及模製材料110由底部填充層包圍。設置聚合物材料115和/或底部填充層以補償基板100、導電結構113以及半導體晶粒11-1和11-2之間的不同的熱膨脹係數(coefficients of thermal expansion,CTE)。In some embodiments, as shown in FIG. 9B , the package structure 120P further includes a polymer material 115 disposed under the molding material 110 , the semiconductor dies 11 - 1 and 11 - 2 , and between the conductive structures 113 . Structure 120P may further include an underfill layer (not shown) disposed between first surface 100a of substrate 100 and polymer material 115 . In some embodiments, semiconductor dies 11-1 and 11-2 and molding material 110 are surrounded by an underfill layer. The polymer material 115 and/or the underfill layer are provided to compensate for the different coefficients of thermal expansion (CTE) between the substrate 100, the conductive structure 113, and the semiconductor die 11-1 and 11-2.

圖9B的封裝結構120P可以安裝在板上(未示出)。在一些實施例中,封裝結構120P可以是系統級封裝(system-in-package,SIP)結構。而且,該板可以包括印刷電路板(printed circuit board,PCB)並且可以由聚丙烯(polypropylene,PP)形成。封裝結構120P可以透過接合製程安裝在板上。例如,封裝結構120P還包括在基板100的第二表面100b上的凸塊結構(未示出)。凸塊結構可以是導電球結構(例如,球柵陣列(ball grid array,BGA))、導電柱結構或導電膏結構,其透過接合製程安裝在板(例如PCB)上並與板電耦接。The package structure 120P of FIG. 9B may be mounted on a board (not shown). In some embodiments, the package structure 120P may be a system-in-package (SIP) structure. Also, the board may include a printed circuit board (PCB) and may be formed of polypropylene (PP). The package structure 120P can be mounted on the board through a bonding process. For example, the package structure 120P further includes bump structures (not shown) on the second surface 100b of the substrate 100 . The bump structures may be conductive ball structures (eg, ball grid array (BGA)), conductive post structures, or conductive paste structures, which are mounted on and electrically coupled to a board (eg, a PCB) through a bonding process.

根據上述一些實施例,該半導體結構和具有從該半導體結構獲得的多晶粒部件的封裝結構實現了複數個優點。在執行晶圓鋸切製程之後,可以獲得複數個多晶粒部件。在一些實施例中,每個多晶粒部件包括幾個半導體晶粒。多晶粒部件的半導體晶粒可以具有相同的功能。另外,在一些實施例中,多晶粒部件的兩個相鄰的半導體晶粒透過一個或複數個晶粒間連接層LIC 彼此電連接(如圖1B、圖3、圖5、圖6、圖7A、圖7B和圖8所示)。根據本發明的一些實施例,可以透過將一個或複數個多晶粒部件接合到基板上來形成封裝結構。透過晶粒間連接層LIC ,相鄰半導體晶粒之間的電連通可以透過最短路徑來實現。因此,多晶粒部件的半導體晶粒可以提供透過晶粒間連接層的快速且可靠的訊號傳輸。晶粒間連接層LIC1 可以在多晶粒部件的相鄰半導體晶粒的密封環區域上延伸。在一些實施例中,相鄰半導體晶粒的密封環具有凹部,並且一個或複數個晶粒間連接層穿過密封環的凹部。在一些其他實施例中,在密封環的互連堆疊上方形成在密封環區域上延伸的一個或複數個晶粒間連接層。在常規的封裝結構(或半導體封裝)中,晶粒單獨地接合在基板上,並且可以透過基板中的重分佈層彼此電連接。而且,從常規晶圓獲得的每個晶粒可以包括單個半導體晶粒。根據一些實施例,從晶圓獲得的每個多晶粒部件可以包括兩個或更多個半導體晶粒,並且封裝結構使用晶粒上佈線(例如,晶粒間連接層LIC )以整合多晶粒的半導體晶粒。模具部件。與包括來自常規晶圓的複數個晶粒的封裝結構(每個晶粒是單個半導體晶粒)相比,包括具有複數個實施例的複數個半導體晶粒的多晶粒部件的封裝結構由於訊號損耗和/或功率而具有更好的電性能。可以顯著減少多晶粒部件中的半導體晶粒之間的損耗(多晶粒部件透過晶粒間連接層LIC 相互電連接)。According to some of the above-described embodiments, the semiconductor structure and the package structure having the multi-die components obtained from the semiconductor structure achieve a number of advantages. After performing the wafer sawing process, a plurality of multi-die components can be obtained. In some embodiments, each multi-die component includes several semiconductor dies. The semiconductor die of a multi-die component may have the same function. In addition, in some embodiments, two adjacent semiconductor dies of a multi-die component are electrically connected to each other through one or more inter-die connection layers L IC (as shown in FIG. 1B , FIG. 3 , FIG. 5 , FIG. 6 , 7A, 7B and 8). According to some embodiments of the present invention, a package structure may be formed by bonding one or more multi-die components to a substrate. Through the inter-die connection layer L IC , electrical communication between adjacent semiconductor die can be achieved through the shortest path. Thus, the semiconductor die of the multi-die component can provide fast and reliable signal transmission through the inter-die connection layer. The inter-die connection layer L IC1 may extend over seal ring regions of adjacent semiconductor dies of the multi-die component. In some embodiments, the seal rings of adjacent semiconductor dies have recesses, and the one or more inter-die connection layers pass through the recesses of the seal rings. In some other embodiments, one or more inter-die connection layers extending over the seal ring region are formed over the interconnect stack of the seal ring. In a conventional package structure (or semiconductor package), dies are individually bonded on a substrate and can be electrically connected to each other through redistribution layers in the substrate. Also, each die obtained from a conventional wafer may comprise a single semiconductor die. According to some embodiments, each multi-die component obtained from a wafer may include two or more semiconductor dies, and the package structure uses on-die wiring (eg, inter-die connection layer L IC ) to integrate multiple Grains of semiconductor grains. mold parts. Compared to package structures that include a plurality of dies from a conventional wafer (each die is a single semiconductor die), a package structure that includes a multi-die component with a plurality of loss and/or power for better electrical performance. Losses between semiconductor dies in multi-die components (multi-die components are electrically connected to each other through the inter-die connection layer L IC ) can be significantly reduced.

應當注意,提供實施例的結構的細節是為了示例,並且實施例的描述的細節並不旨在限制本發明。應該注意的是,並未示出本發明的所有實施例。可以在不脫離本發明的精神的前提下進行修改和變型以滿足實際應用的要求。因此,可能存在未具體示出的本發明的其他實施例。此外,附圖被簡化以清楚地示出實施例。圖中的尺寸和比例可能與實際產品不成正比。因此,說明書和附圖應被認為是說明性的而不是限制性的。It should be noted that the details of the structure of the embodiments are provided for the purpose of illustration, and the details of the description of the embodiments are not intended to limit the invention. It should be noted that not all embodiments of the invention are shown. Modifications and variations can be made to meet practical application requirements without departing from the spirit of the present invention. Accordingly, other embodiments of the invention not specifically shown may exist. Furthermore, the drawings are simplified to clearly illustrate the embodiments. Dimensions and proportions in the drawings may not be proportional to the actual product. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

儘管已經對本發明實施例及其優點進行了詳細說明,但應當理解的是,在不脫離本發明的精神以及申請專利範圍所定義的範圍內,可以對本發明進行各種改變、替換和變更。所描述的實施例在所有方面僅用於說明的目的而並非用於限制本發明。本發明的保護範圍當視所附的申請專利範圍所界定者為准。本領域技術人員皆在不脫離本發明之精神以及範圍內做些許更動與潤飾。Although the embodiments of the present invention and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made in the present invention without departing from the spirit of the invention and the scope defined by the scope of the claims. The described embodiments are in all respects for illustrative purposes only and are not intended to limit the invention. The protection scope of the present invention shall be determined by the scope of the appended patent application. Those skilled in the art can make some changes and modifications without departing from the spirit and scope of the present invention.

10:基底層 11,11-1,11-2,11-3,11-4:半導體晶粒 12,13,12-1,12-2,12-3,12-4,13-1,13-2:晶粒區域 LIC ,LIC1 ,LIC2 ,LIC3 ,LIC4 :晶粒間連接層 SP:間隔圖案 SP1:第一空間 SP2:第二空間 FS ,FS1 ,FS2 ,FS3 ,FS4 ,FS5 ,FS6 :密封框架 AA1 ,AA2 ,AA3 ,AA4 :有源區域 RS1 ,RS2 ,RS3 ,RS4 :密封環 4-4,5-5:截面線 LS1:第一劃線 LS2:第二劃線 AD1 ,AD2 :虛設區域 AR1 ,AR2 :密封環區域 211,221,311,321:第一互連堆疊 212,222,312,322:第二互連堆疊 213,223,313,323:第三互連堆疊 211V,221V:第一導電通孔 211L,221L:第一導線 212V,222V:第二導電通孔 212L,222L:第二導線 213V,223V:第三導電通孔 213L,223L:第三導線 t1:第一厚度 t2:第二厚度 t3:第三厚度 311V,312V,313V,321V,322V,323V,501V:導電通孔 311L,312L,313L,321L,322L,323L,311D,312D,313D,321D,322D,323D:導線 211R,211R’,221R:第一凹部 212R,212R’,222R:第二凹部 21R,21R’;21R’’,22R,22R’,22R’’,31R,32R:凹部 421,422,423,501:晶粒間連接層 120:多晶粒部件 120P:封裝結構 102:底表面 101:頂表面 115:聚合物材料 100:基板 100a:第一表面 100b:第二表面 100M:金屬間電介質層 100L:重分佈圖案10: base layer 11, 11-1, 11-2, 11-3, 11-4: semiconductor die 12, 13, 12-1, 12-2, 12-3, 12-4, 13-1, 13 -2: Die regions L IC , L IC1 , L IC2 , L IC3 , L IC4 : Inter-die connection layer SP: Spacer pattern SP1: First space SP2: Second space F S , F S1 , F S2 , F S3 ,F S4 ,F S5 ,F S6 : Sealing frame A A1 ,A A2 ,A A3 ,A A4 : Active area R S1 ,R S2 ,R S3 ,R S4 : Sealing ring 4-4,5-5: Section line LS1: first scribe line LS2: second scribe line A D1 , A D2 : dummy area A R1 , A R2 : seal ring area 211, 221, 311, 321: first interconnect stack 212, 222, 312, 322: second interconnect stack 213, 223, 313, 323: third interconnect Connected stacks 211V, 221V: first conductive vias 211L, 221L: first conductive vias 212V, 222V: second conductive vias 212L, 222L: second conductive vias 213V, 223V: third conductive vias 213L, 223L: third conductive line t1: first thickness t2: second thickness t3: third thickness 311V, 312V, 313V, 321V, 322V, 323V, 501V: conductive vias 321D, 322D, 323D: wires 211R, 211R', 221R: first recesses 212R, 212R', 222R: second recesses 21R, 21R';21R'', 22R, 22R', 22R'', 31R, 32R: recesses 421, 422, 423, 501: Inter-die connection layer 120: Multi-die component 120P: Package structure 102: Bottom surface 101: Top surface 115: Polymer material 100: Substrate 100a: First surface 100b: Second surface 100M: Intermetal dielectric layer 100L : Redistribution pattern

透過閱讀後續的詳細描述和實施例可以更全面地理解本發明,本實施例參照附圖給出,其中: 圖1A和圖1B是根據本發明的一些實施例的用於形成半導體結構的方法的中間階段的俯視圖。 圖2是根據本發明的一些實施例的半導體結構的俯視圖。 圖3是根據本發明的一些實施例的半導體結構的晶粒區域的俯視圖。 圖4是沿著圖3中的半導體結構的截面線4-4截取的截面圖。 圖5是沿著圖3中的半導體結構的截面線5-5截取的截面圖。 圖6是根據本發明的一些實施例的在圖3中的晶粒區域內的兩個相鄰的半導體晶粒的俯視圖。 圖7A是根據本發明的一些實施例的半導體結構的截面圖。 圖7B是根據本發明的一些實施例的半導體結構的截面圖。 圖8是根據本發明的一些其他實施例的半導體結構的截面圖。 圖9A是根據本發明的一些實施例的多晶粒部件的俯視圖。 圖9B是根據本發明的一些實施例的包括圖9A中的多晶粒部件的封裝結構的截面圖。The present invention can be more fully understood by reading the ensuing detailed description and examples, which are given with reference to the accompanying drawings, wherein: 1A and 1B are top views of intermediate stages of a method for forming a semiconductor structure according to some embodiments of the present invention. 2 is a top view of a semiconductor structure according to some embodiments of the present invention. 3 is a top view of a die region of a semiconductor structure according to some embodiments of the present invention. FIG. 4 is a cross-sectional view taken along cross-sectional line 4 - 4 of the semiconductor structure in FIG. 3 . FIG. 5 is a cross-sectional view taken along cross-sectional line 5 - 5 of the semiconductor structure in FIG. 3 . 6 is a top view of two adjacent semiconductor dies within the die region of FIG. 3 in accordance with some embodiments of the present invention. 7A is a cross-sectional view of a semiconductor structure in accordance with some embodiments of the present invention. 7B is a cross-sectional view of a semiconductor structure in accordance with some embodiments of the present invention. 8 is a cross-sectional view of a semiconductor structure according to some other embodiments of the present invention. 9A is a top view of a multi-die component according to some embodiments of the present invention. 9B is a cross-sectional view of a package structure including the multi-die component of FIG. 9A in accordance with some embodiments of the present invention.

10:基底層10: Basal layer

11-1,11-2,11-3,11-4:半導體晶粒11-1, 11-2, 11-3, 11-4: Semiconductor Die

12:晶粒區域12: Die area

LIC ,LIC1 ,LIC2 ,LIC3 ,LIC4 :晶粒間連接層L IC , L IC1 , L IC2 , L IC3 , L IC4 : inter-die connection layer

SP:間隔圖案SP: Spacer Pattern

SP1:第一空間SP1: First Space

SP2:第二空間SP2: Second space

FS :密封框架F S : Sealed frame

AA1 ,AA2 ,AA3 ,AA4 :有源區域A A1 ,A A2 ,A A3 ,A A4 : Active area

RS1 ,RS2 ,RS3 ,RS4 :密封環R S1 , R S2 , R S3 , R S4 : seal ring

4-4,5-5:截面線4-4,5-5: Section line

Claims (25)

一種半導體結構,包括:基底層;半導體晶粒,在該基底層上,每個該半導體晶粒包括有源區域和密封環區域,該密封環區域包括圍繞該有源區域的密封環;以及晶粒間連接層,電連接兩個相鄰的半導體晶粒,並且該晶粒間連接層在兩個相鄰的半導體晶粒的該密封環區域該中在該密封環的相鄰部分上延伸;其中,每個該半導體晶粒的該密封環包括:第一互連堆疊,在該基底層上方並圍繞該有源區域,其中該第一互連堆疊包括第一導線;以及第二互連堆疊,在該第一互連堆疊上方並圍繞該有源區域,其中該第二互連堆疊包括第二導線;其中,該第二導線和該晶粒間連接層由相同的材料一起形成。 A semiconductor structure comprising: a base layer; semiconductor die on the base layer, each of the semiconductor die including an active region and a seal ring region including a seal ring surrounding the active region; and a die an inter-die connection layer electrically connecting two adjacent semiconductor die, and the inter-die connection layer extends on adjacent portions of the seal ring in the seal ring region of the two adjacent semiconductor die; wherein the seal ring of each of the semiconductor die includes: a first interconnect stack over the base layer and surrounding the active region, wherein the first interconnect stack includes a first wire; and a second interconnect stack , above the first interconnect stack and surrounding the active region, wherein the second interconnect stack includes a second wire; wherein the second wire and the inter-die connection layer are formed together from the same material. 如請求項1之半導體結構,其中,該兩個相鄰的半導體晶粒的該密封環的相鄰部分具有凹部,並且該晶粒間連接層穿過該凹部。 The semiconductor structure of claim 1, wherein adjacent portions of the seal ring of the two adjacent semiconductor dies have recesses, and the inter-die connection layer passes through the recesses. 如請求項1之半導體結構,其中,該晶粒間連接層與該密封環的一個導電層處於同一水平。 The semiconductor structure of claim 1, wherein the inter-die connection layer and a conductive layer of the seal ring are at the same level. 如請求項1之半導體結構,其中該第二互連堆疊具有第一凹部,並且該晶粒間連接層穿過該第一凹部。 The semiconductor structure of claim 1, wherein the second interconnect stack has a first recess, and the inter-die connection layer passes through the first recess. 如請求項4之半導體結構,其中,該晶粒間連接層與該第二導線之一齊平。 The semiconductor structure of claim 4, wherein the inter-die connection layer is flush with one of the second wires. 如請求項4之半導體結構,其中,該第二導線和該晶粒間連接層由相同的材料製成。 The semiconductor structure of claim 4, wherein the second wire and the inter-die connection layer are made of the same material. 如請求項3之半導體結構,其中,該晶粒間連接層的厚度大 於該第一導線之一的厚度。 The semiconductor structure of claim 3, wherein the thickness of the inter-die connection layer is large on the thickness of one of the first wires. 如請求項3之半導體結構,其中,每個該半導體晶粒的該密封環還包括:第三互連堆疊,在該第二互連堆疊上並圍繞該有源區域,其中該第三互連堆疊包括第三導線,其中該第二互連堆疊具有第一凹部,該第三互連堆疊具有第二凹部,並且該第二凹部與該第一凹部連通。 The semiconductor structure of claim 3, wherein the seal ring of each of the semiconductor die further comprises: a third interconnect stack on the second interconnect stack and surrounding the active region, wherein the third interconnect The stack includes a third wire, wherein the second interconnect stack has a first recess, the third interconnect stack has a second recess, and the second recess communicates with the first recess. 如請求項8之半導體結構,其中,該晶粒間連接層穿過該第一凹部和/或該第二凹部。 The semiconductor structure of claim 8, wherein the inter-die connection layer passes through the first recess and/or the second recess. 如請求項8之半導體結構,其中,該晶粒間連接層穿過該第一凹部,並且該半導體結構還包括另一晶粒間連接層,該另一晶粒間連接層穿過該第二凹部。 The semiconductor structure of claim 8, wherein the inter-die connection layer passes through the first recess, and the semiconductor structure further includes another inter-die connection layer that passes through the second inter-die connection layer recess. 如請求項10之半導體結構,其中,該晶粒間連接層與該第二導線之一齊平,並且該另一晶粒間連接層與該第三導線之一齊平。 The semiconductor structure of claim 10, wherein the inter-die connection layer is flush with one of the second wires, and the other inter-die connection layer is flush with one of the third wires. 如請求項10之半導體結構,其中,該第三導線和該另一晶粒間連接層由相同的材料製成。 The semiconductor structure of claim 10, wherein the third wire and the other inter-die connection layer are made of the same material. 如請求項10之半導體結構,其中,該晶粒間連接層和該另一晶粒間連接層由不同的材料製成。 The semiconductor structure of claim 10, wherein the inter-die connection layer and the other inter-die connection layer are made of different materials. 如請求項10之半導體結構,其中,該晶粒間連接層的厚度大於該第一導線之一的厚度,該另一晶粒間連接層的厚度大於該第二導線之一的厚度。 The semiconductor structure of claim 10, wherein the thickness of the inter-die connection layer is greater than that of one of the first wires, and the thickness of the other inter-die connection layer is greater than that of one of the second wires. 如請求項10之半導體結構,其中,該另一個晶粒間連接層的厚度大於該晶粒間連接層的厚度。 The semiconductor structure of claim 10, wherein the thickness of the other inter-die connection layer is greater than the thickness of the inter-die connection layer. 如請求項1之半導體結構,還包括: 密封框架,在該基底層上,其中該密封框架圍繞半導體晶粒的並限定晶粒區域。 Such as the semiconductor structure of claim 1, also include: A sealing frame on the base layer, wherein the sealing frame surrounds the semiconductor die and defines a die area. 如請求項16之半導體結構,其中,在該晶粒區域中的半導體晶粒彼此間隔開。 The semiconductor structure of claim 16, wherein the semiconductor dies in the die region are spaced apart from each other. 如請求項16之半導體結構,其中,該晶粒區域的半導體晶粒具有相同的功能。 The semiconductor structure of claim 16, wherein the semiconductor die in the die region have the same function. 如請求項1之半導體結構,其中該基底層上的半導體晶粒透過間隔圖案彼此分離,該間隔圖案包括:第一空間,在第一方向上延伸;以及第二空間,在第二方向上延伸,其中該第一方向不同於該第二方向。 The semiconductor structure of claim 1, wherein the semiconductor die on the base layer are separated from each other by a spacer pattern, the spacer pattern comprising: a first space extending in a first direction; and a second space extending in a second direction , wherein the first direction is different from the second direction. 如請求項19之半導體結構,還包括:多條劃線,穿過該第一空間和該第二空間的一部分。 The semiconductor structure of claim 19, further comprising: a plurality of scribe lines passing through the first space and a portion of the second space. 如請求項1之半導體結構,其中,該密封環包括在該基底層上方的複數個互連堆疊,並且該晶粒間連接層形成在該複數個互連堆疊上方。 The semiconductor structure of claim 1, wherein the seal ring includes a plurality of interconnect stacks over the base layer, and the inter-die connection layer is formed over the plurality of interconnect stacks. 如請求項21之半導體結構,其中,該複數個互連堆疊中的最上面的導電層和該晶粒間連接層由相同的材料製成。 The semiconductor structure of claim 21, wherein the uppermost conductive layer and the inter-die connection layer in the plurality of interconnect stacks are made of the same material. 如請求項1之半導體結構,其中,該晶粒間連接層與該密封環電絕緣。 The semiconductor structure of claim 1, wherein the inter-die connection layer is electrically insulated from the seal ring. 如請求項1之半導體結構,其中,該基底層包括電連接到各個半導體晶粒的有源器件。 The semiconductor structure of claim 1, wherein the base layer includes active devices electrically connected to each semiconductor die. 一種封裝結構,包括:基板,具有第一表面和與該第一表面相對的第二表面,其中該基板包括重分佈層;多晶粒部件,設置在該基板的該第一表面上方並且電耦接至該基板的重分 佈層,其中,該多晶粒部件包括:基底層;複數個半導體晶粒,在該基底層上並且彼此間隔開;以及晶粒間連接層,電連接兩個相鄰的半導體晶粒;其中,該晶粒間連接層在兩個相鄰的半導體晶粒的密封環區域中的密封環上延伸;其中,每個該半導體晶粒的該密封環包括:第一互連堆疊,在該基底層上方並圍繞該有源區域,其中該第一互連堆疊包括第一導線;以及第二互連堆疊,在該第一互連堆疊上方並圍繞該有源區域,其中該第二互連堆疊包括第二導線;其中,該第二導線和該晶粒間連接層由相同的材料一起形成。 A package structure comprising: a substrate having a first surface and a second surface opposite the first surface, wherein the substrate includes a redistribution layer; a multi-die component disposed over the first surface of the substrate and electrically coupled Redistribution connected to the substrate a cloth layer, wherein the multi-die component comprises: a base layer; a plurality of semiconductor die on the base layer and spaced apart from each other; and an inter-die connection layer electrically connecting two adjacent semiconductor die; wherein , the inter-die connection layer extends on the seal ring in the seal ring region of two adjacent semiconductor die; wherein, the seal ring of each semiconductor die includes: a first interconnect stack, on the substrate a layer over and around the active region, wherein the first interconnect stack includes a first wire; and a second interconnect stack over and around the active region, wherein the second interconnect stack A second wire is included; wherein the second wire and the inter-die connection layer are formed together with the same material.
TW110112548A 2020-04-10 2021-04-07 Semiconductor structure and package structure TWI764662B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063007980P 2020-04-10 2020-04-10
US63/007,980 2020-04-10
US17/218,328 US20210320040A1 (en) 2020-04-10 2021-03-31 Semiconductor structure and package structure having multi-dies thereof
US17/218,328 2021-03-31

Publications (2)

Publication Number Publication Date
TW202139398A TW202139398A (en) 2021-10-16
TWI764662B true TWI764662B (en) 2022-05-11

Family

ID=77851719

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110112548A TWI764662B (en) 2020-04-10 2021-04-07 Semiconductor structure and package structure

Country Status (2)

Country Link
DE (1) DE102021108736A1 (en)
TW (1) TWI764662B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120211748A1 (en) * 2011-02-17 2012-08-23 Infineon Technologies Ag Method of Dicing a Wafer
US20150349004A1 (en) * 2012-11-05 2015-12-03 Omnivision Technologies, Inc. Die seal ring for integrated circuit system with stacked device wafers
TW201824462A (en) * 2016-11-02 2018-07-01 以色列商馬維爾以色列股份有限公司 On-die seal rings
US20200051890A1 (en) * 2018-08-10 2020-02-13 Cerebras Systems Inc. Apparatuses and methods for implementing a sliding thermal interface between substrates with varying coefficients of thermal expansion
US20200075497A1 (en) * 2017-04-11 2020-03-05 Apple Inc. Systems and methods for interconnecting dies

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120211748A1 (en) * 2011-02-17 2012-08-23 Infineon Technologies Ag Method of Dicing a Wafer
US20150349004A1 (en) * 2012-11-05 2015-12-03 Omnivision Technologies, Inc. Die seal ring for integrated circuit system with stacked device wafers
TW201824462A (en) * 2016-11-02 2018-07-01 以色列商馬維爾以色列股份有限公司 On-die seal rings
US20200075497A1 (en) * 2017-04-11 2020-03-05 Apple Inc. Systems and methods for interconnecting dies
US20200051890A1 (en) * 2018-08-10 2020-02-13 Cerebras Systems Inc. Apparatuses and methods for implementing a sliding thermal interface between substrates with varying coefficients of thermal expansion

Also Published As

Publication number Publication date
TW202139398A (en) 2021-10-16
DE102021108736A1 (en) 2021-10-14

Similar Documents

Publication Publication Date Title
TWI757526B (en) Semiconductor devices having laterally offset stacked semiconductor dies, and methods of manufacturing the same
TW202111907A (en) Chip package using silicon interposer as interconnection bridge
TWI719202B (en) Semiconductor package structure and method of manufacturing the same
US9768144B2 (en) Package assembly including a semiconductor substrate in which a first portion of a surface of the semiconductor substrate is recessed relative to a second portion of the surface of the semiconductor substrate to form a recessed region in the semiconductor substrate
TWI747127B (en) Chip package structure and manufacturing method thereof
KR100871382B1 (en) Through silicon via stack package and method for manufacturing of the same
KR100895813B1 (en) Method for fabricating of semiconductor package
JP6198322B2 (en) Embedded structure and manufacturing method thereof
KR100826979B1 (en) Stack package and method for fabricating the same
TWI710079B (en) Hybrid additive structure stackable memory die using wire bond
US20060231950A1 (en) Semiconductor package accomplishing fan-out structure through wire bonding
US9847284B2 (en) Stacked wafer DDR package
TWI581387B (en) Package structure and method of manufacture
TW202017125A (en) Semiconductor package
TW202025409A (en) Stacked package structure and fabricating method thereof
TW202103276A (en) Stacked semiconductor package having heat dissipation structure
TW202203338A (en) Semiconductor package
TWI764662B (en) Semiconductor structure and package structure
TW202234536A (en) Semiconductor package, package component, and method of manufacturing
TW201740532A (en) Chip package structure
TWI770854B (en) Dual-die semiconductor package and manufacturing method thereof
CN117546284A (en) Semiconductor device and method for forming semiconductor device
TW200839984A (en) Multi-chip semiconductor package structure
CN113540067A (en) Semiconductor structure and packaging structure
KR20210000812A (en) Semiconductor device and a method for manufacturing the same