TWI756907B - Package structure and method of fabricating the same - Google Patents

Package structure and method of fabricating the same Download PDF

Info

Publication number
TWI756907B
TWI756907B TW109138654A TW109138654A TWI756907B TW I756907 B TWI756907 B TW I756907B TW 109138654 A TW109138654 A TW 109138654A TW 109138654 A TW109138654 A TW 109138654A TW I756907 B TWI756907 B TW I756907B
Authority
TW
Taiwan
Prior art keywords
circuit substrate
spacer structures
spacer
cover
package
Prior art date
Application number
TW109138654A
Other languages
Chinese (zh)
Other versions
TW202125655A (en
Inventor
蔡宗甫
高金福
王卜
盧思維
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/925,326 external-priority patent/US11450654B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202125655A publication Critical patent/TW202125655A/en
Application granted granted Critical
Publication of TWI756907B publication Critical patent/TWI756907B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3675Cooling facilitated by shape of device characterised by the shape of the housing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/42Fillings or auxiliary members in containers or encapsulations selected or arranged to facilitate heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

A package structure includes a circuits substrate, a semiconductor package, a lid structure and a plurality of first spacer structures. The semiconductor package is disposed on and electrically connected to the circuit substrate. The lid structure is disposed on the circuit substrate covering the semiconductor package, wherein the lid structure is attached to the circuit substrate through an adhesive material. The plurality of first spacer structures is surrounding the semiconductor package, wherein the first spacer structures are sandwiched between the lid structure and the circuit substrate, and includes a top portion in contact with the lid structure and a bottom portion in contact with the circuit substrate.

Description

封裝結構及其製作方法Package structure and method of making the same

本公開實施例是有關一種封裝結構及製作所述封裝結構的方法。 Embodiments of the present disclosure relate to a package structure and a method for fabricating the package structure.

在各種電子應用(例如手機及其他移動電子設備)中使用的半導體裝置及積體電路通常是在單個半導體晶圓上製造。可以在晶圓級下,對晶圓的晶粒進行處理並與其他半導體裝置或晶粒封裝在一起,且已開發出用於晶圓級封裝(wafer level packaging)的各種技術。 Semiconductor devices and integrated circuits used in various electronic applications, such as cell phones and other mobile electronic devices, are typically fabricated on a single semiconductor wafer. The dies of a wafer can be processed and packaged with other semiconductor devices or dies at the wafer level, and various techniques have been developed for wafer level packaging.

本公開實施例提供一種封裝結構包括線路基底、半導體封裝、蓋結構以及多個第一間隙物結構。所述半導體封裝設置在所述線路基底上且電性連接到所述線路基底。所述蓋結構設置在所述線路基底上,覆蓋所述半導體封裝,其中所述蓋結構透過黏合材料貼合到所述線路基底。所述多個第一間隙物結構環繞所述半導體封裝,其中所述第一間隙物結構夾置在所述蓋結構與所述線路基底之間,且包括與所述蓋結構接觸的頂部部分及與所述線 路基底接觸的底部部分。 Embodiments of the present disclosure provide a package structure including a circuit substrate, a semiconductor package, a cover structure, and a plurality of first spacer structures. The semiconductor package is disposed on the circuit substrate and is electrically connected to the circuit substrate. The cover structure is disposed on the circuit substrate and covers the semiconductor package, wherein the cover structure is attached to the circuit substrate through an adhesive material. The plurality of first spacer structures surround the semiconductor package, wherein the first spacer structures are sandwiched between the cover structure and the wiring substrate, and include a top portion in contact with the cover structure and with the line The bottom portion of the road substrate contact.

本公開實施例提供一種封裝結構包括線路基底、中介層結構、多個半導體晶粒、蓋結構、熱介面材料、以及多個第一間隙物結構。所述中介層結構設置在所述線路基底上且電性連接到所述線路基底。所述多個半導體晶粒設置在所述中介層結構上且電性連接到所述中介層結構。所述蓋結構設置在所述線路基底上,其中所述蓋結構包括蓋體部分及側壁部分,所述蓋體部分位於所述多個半導體晶粒之上,所述側壁部分與所述蓋體部分進行接合且環繞所述多個半導體晶粒及所述中介層結構,且所述側壁部分透過黏合材料貼合到所述線路基底。所述熱介面材料設置在所述多個半導體晶粒與所述蓋結構的所述蓋體部分之間。所述多個第一間隙物結構與所述黏合材料相鄰地設置在所述線路基底與所述蓋結構的所述側壁部分之間。 Embodiments of the present disclosure provide a package structure including a circuit substrate, an interposer structure, a plurality of semiconductor dies, a lid structure, a thermal interface material, and a plurality of first spacer structures. The interposer structure is disposed on the circuit substrate and is electrically connected to the circuit substrate. The plurality of semiconductor dies are disposed on the interposer structure and are electrically connected to the interposer structure. The cover structure is disposed on the circuit substrate, wherein the cover structure includes a cover body part and a side wall part, the cover body part is located on the plurality of semiconductor die, the side wall part and the cover body A portion is bonded and surrounds the plurality of semiconductor dies and the interposer structure, and the sidewall portion is attached to the circuit substrate through an adhesive material. The thermal interface material is disposed between the plurality of semiconductor dies and the cover portion of the cover structure. The plurality of first spacer structures are disposed between the circuit substrate and the sidewall portion of the cover structure adjacent to the adhesive material.

本公開實施例闡述一種製作封裝結構的方法。所述方法包括以下步驟。提供線路基底。將多個第一間隙物結構放置在所述線路基底上,其中所述多個第一間隙物結構包括頂部部分及底部部分,且所述底部部分與所述線路基底接觸。將半導體封裝設置到所述線路基底上的被所述第一間隙物結構環繞的區域內。將蓋結構透過黏合材料貼合到所述線路基底上,其中所述蓋結構環繞所述半導體封裝,所述多個第一間隙物結構夾置在所述蓋結構與所述線路基底之間,且所述蓋結構與所述多個第一間隙物結構 的所述頂部部分接觸。 The embodiments of the present disclosure describe a method for fabricating a package structure. The method includes the following steps. Provide a circuit base. A plurality of first spacer structures are placed on the wiring substrate, wherein the plurality of first spacer structures include a top portion and a bottom portion, and the bottom portion is in contact with the wiring substrate. A semiconductor package is disposed on the wiring substrate in an area surrounded by the first spacer structure. attaching a cover structure to the circuit substrate through an adhesive material, wherein the cover structure surrounds the semiconductor package, and the plurality of first spacer structures are sandwiched between the cover structure and the circuit substrate, and the cover structure and the plurality of first spacer structures the top portion of the contact.

40:第一間隙物結構/間隙物結構 40: First spacer structure/spacer structure

40-BS、42-BS:底部部分 40-BS, 42-BS: Bottom part

40C:導電核 40C: Conductive core

40CL:柱結構或柱狀結構 40CL: Column structure or column structure

40PC:聚合物核 40PC: polymer core

40PS:聚合物殼 40PS: polymer shell

40S:導電殼 40S: Conductive shell

40-TS、42-TS:頂部部分 40-TS, 42-TS: Top section

42:第二間隙物結構/間隙物結構 42: Second spacer structure/spacer structure

100:中介層結構/中介層 100: Interposer Structure / Interposer

100’:中介層結構 100’: Interposer Structure

102:核心部分 102: Core Parts

102a:第一表面 102a: First surface

102b:第二表面 102b: Second surface

104:穿孔 104: Perforation

106、210、220、602B、610:導電焊盤 106, 210, 220, 602B, 610: Conductive pads

110:電性連接器 110: Electrical connector

112、UX:底部填充結構 112. UX: Bottom Fill Structure

114:絕緣密封體 114: Insulation seal

114a、116s:頂表面 114a, 116s: Top surface

114b、D1-X、D2-X:背側表面 114b, D1-X, D2-X: backside surface

116:重佈線結構 116: Rewiring structure

116a、604、608B:介電層 116a, 604, 608B: Dielectric layers

116b:金屬化圖案 116b: Metallization Pattern

118:導電端子 118: Conductive terminal

200:線路基底 200: circuit substrate

200A:第一側 200A: first side

200B:第二側 200B: Second side

230、608A:金屬化層 230, 608A: metallization layer

250、612:導電球 250, 612: Conductive ball

310:焊料膏 310: Solder Paste

320:黏合劑 320: Adhesive

510:熱介面材料 510: Thermal Interface Materials

520:蓋結構 520: Cover Structure

520A:蓋體部分 520A: Cover part

520B:側壁部分 520B: Sidewall Section

602:半導體晶粒 602: Semiconductor Die

602A:半導體基底 602A: Semiconductor Substrate

602C:鈍化層 602C: Passivation layer

602D:後鈍化層 602D: Post passivation layer

602E:導電柱或導通孔 602E: Conductive Post or Via

602F:保護層 602F: Protective layer

606:絕緣密封體 606: Insulation seal

608:重佈線層 608: Redistribution layer

ADM、ADM1、ADM2:黏合材料 ADM, ADM1, ADM2: Adhesive Materials

CM:連接材料 CM: connecting material

CR、CX:載體 CR, CX: carrier

D1:半導體晶粒 D1: Semiconductor Die

D2:半導體晶粒/晶粒 D2: Semiconductor die/die

D1A、D2A:本體 D1A, D2A: body

D1B、D2B:連接焊盤 D1B, D2B: Connection pads

D1-S、D2-S:主動表面 D1-S, D2-S: Active Surfaces

DL:切割道 DL: cutting line

Dx:距離 Dx: distance

FR:框架 FR: frame

MX:混合物 MX: Mixture

OP1:第一開口 OP1: The first opening

OP2:第二開口 OP2: Second Opening

OP3:第三開口 OP3: The third opening

OX:接觸開口 OX: Contact opening

OY:開口 OY: opening

PDX:被動元件 PDX: Passive Components

PKR:封裝區 PKR: encapsulation area

PK0、PK1、PK1’、PK2、PK3、PK4、PK5:封裝結構 PK0, PK1, PK1', PK2, PK3, PK4, PK5: Package structure

SM:半導體封裝/半導體封裝結構 SM: Semiconductor Package/Semiconductor Package Structure

SM2:半導體封裝 SM2: Semiconductor Package

TP:條帶 TP: strip

Tx、Ty:厚度 Tx, Ty: Thickness

W1:寬度 W1: width

結合附圖閱讀以下詳細說明,會最好地理解本公開的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的臨界尺寸(critical dimension)。 Various aspects of the present disclosure are best understood when the following detailed description is read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale. In fact, the critical dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

圖1A到圖1H是根據本公開的一些示例性實施例的製作半導體封裝的方法中的各個階段的示意性剖面圖。 1A-1H are schematic cross-sectional views of various stages in a method of fabricating a semiconductor package in accordance with some example embodiments of the present disclosure.

圖2A到圖2F是根據本公開的一些示例性實施例的製作封裝結構的方法中的各個階段的示意性剖面圖及上視圖。 2A-2F are schematic cross-sectional and top views of various stages in a method of fabricating a package structure according to some exemplary embodiments of the present disclosure.

圖3A到圖3C是根據本公開的一些示例性實施例的間隙物結構的各種設計。 3A-3C are various designs of spacer structures according to some example embodiments of the present disclosure.

圖4A及圖4B是根據本公開的一些示例性實施例的將間隙物結構放置在線路基底上的各種方法的示意性剖面圖。 4A and 4B are schematic cross-sectional views of various methods of placing a spacer structure on a wiring substrate in accordance with some exemplary embodiments of the present disclosure.

圖5A及圖5B是根據本公開的一些其他示例性實施例的間隙物結構的各種設計。 5A and 5B are various designs of spacer structures according to some other exemplary embodiments of the present disclosure.

圖6A及圖6B是根據本公開的一些示例性實施例的將間隙物結構放置在線路基底上的各種方法的示意性剖面圖。 6A and 6B are schematic cross-sectional views of various methods of placing a spacer structure on a wiring substrate in accordance with some exemplary embodiments of the present disclosure.

圖7A到圖7D是根據本公開的一些示例性實施例的製作封裝結構的方法中的各個階段的示意性剖面圖及上視圖。 7A-7D are schematic cross-sectional and top views of various stages in a method of fabricating a package structure according to some exemplary embodiments of the present disclosure.

圖8A到圖8C是根據本公開的一些示例性實施例的各種封裝 結構的放大剖面圖。 8A-8C are various packages according to some example embodiments of the present disclosure Enlarged cross-sectional view of the structure.

圖9是根據本公開的一些其他示例性實施例的封裝結構。 9 is a package structure according to some other exemplary embodiments of the present disclosure.

圖10A及圖10B是根據本公開的一些其他示例性實施例的製作封裝結構的方法中的各個階段的示意性剖面圖。 10A and 10B are schematic cross-sectional views of various stages in a method of fabricating a package structure according to some other exemplary embodiments of the present disclosure.

圖11是根據本公開的一些其他示例性實施例的封裝結構。 11 is a package structure according to some other exemplary embodiments of the present disclosure.

圖12是根據本公開的一些比較實施例的封裝結構。 12 is a package structure according to some comparative embodiments of the present disclosure.

圖13是根據本公開的一些其他示例性實施例的封裝結構。 13 is a package structure according to some other exemplary embodiments of the present disclosure.

以下公開提供用於實施所提供主題的不同特徵的許多不同實施例或實例。以下闡述元件及排列的具體實例以簡化本公開。當然,這些僅為實例且不旨在進行限制。舉例來說,以下說明中將第二特徵形成在第一特徵之上或第一特徵上可包括其中第二特徵與第一特徵被形成為直接接觸的實施例,且也可包括其中第二特徵與第一特徵之間可形成有附加特徵從而使得所述第二特徵與所述第一特徵可不直接接觸的實施例。另外,本公開可能在各種實例中重複使用元件符號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身指示所論述的各種實施例及/或配置之間的關係。 The following disclosure provides many different embodiments or examples for implementing various features of the presented subject matter. Specific examples of elements and arrangements are set forth below to simplify the present disclosure. Of course, these are only examples and are not intended to be limiting. For example, in the following description, forming a second feature on or on a first feature may include embodiments in which the second feature is formed in direct contact with the first feature, and may also include embodiments in which the second feature is formed Embodiments in which additional features may be formed with the first feature such that the second feature may not be in direct contact with the first feature. Additionally, the present disclosure may reuse reference symbols and/or letters in various instances. This re-use is for the sake of brevity and clarity and is not itself indicative of the relationship between the various embodiments and/or configurations discussed.

此外,為易於說明,本文中可能使用例如“在...之下(beneath)”、“在...下方(below)”、“下部的(lower)”、“在...上(on)”、“在...之上(over)”、“上覆在...之上(overlying)”、 “在...上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝置在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。 Furthermore, for ease of description, for example, "beneath", "below", "lower", "on" may be used herein. )", "over", "overlying", Spatially relative terms such as "above", "upper" and the like are used to describe the relationship of one element or feature to another (other) element or feature shown in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may have other orientations (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

還可包括其他特徵及製程。舉例來說,可包括測試結構以說明對三維(three-dimensional,3D)封裝或三維積體電路(three-dimensional integrated circuit,3DIC)裝置進行驗證測試。所述測試結構可包括例如在重佈線層中或基底上形成的測試焊盤(test pad),以便能夠對3D封裝或3DIC進行測試、使用探針及/或探針卡(probe card)等。可對中間結構以及最終結構執行驗證測試。另外,本文中所公開的結構及方法可與包含對已知良好晶粒(known good die)進行中間驗證的測試方法結合使用以提高良率並降低成本。 Other features and processes may also be included. For example, test structures may be included to illustrate verification testing of three-dimensional (3D) packages or three-dimensional integrated circuit (3DIC) devices. The test structure may include, for example, test pads formed in the redistribution layer or on the substrate to enable testing of the 3D package or 3DIC, use of probes and/or probe cards, and the like. Verification tests can be performed on intermediate structures as well as final structures. Additionally, the structures and methods disclosed herein can be used in conjunction with test methods that include intermediate verification of known good dies to increase yield and reduce cost.

圖1A到圖1H是根據本公開的一些示例性實施例的製作半導體封裝的方法中的各個階段的示意性剖面圖。參照圖1A,提供中介層結構100。在一些實施例中,中介層結構100包括核心部分102、以及形成在核心部分102中的多個穿孔104及導電焊盤106。在一些實施例中,核心部分102可為基底,例如塊狀半導體基底、絕緣體上矽(silicon on insulator,SOI)基底或多層式半導 體材料基底。基底(核心部分102)的半導體材料可為矽、鍺、矽鍺、碳化矽、鎵砷、磷化鎵、磷化銦、砷化銦、銻化銦、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP、或其組合。在一些實施例中,核心部分102可為摻雜的或未摻雜的。 1A-1H are schematic cross-sectional views of various stages in a method of fabricating a semiconductor package according to some example embodiments of the present disclosure. 1A, an interposer structure 100 is provided. In some embodiments, the interposer structure 100 includes a core portion 102 , and a plurality of vias 104 and conductive pads 106 formed in the core portion 102 . In some embodiments, the core portion 102 may be a substrate, such as a bulk semiconductor substrate, a silicon on insulator (SOI) substrate, or a multilayer semiconductor substrate body material base. The semiconductor material of the substrate (core portion 102) can be silicon, germanium, silicon germanium, silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, indium antimonide, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP, or a combination thereof. In some embodiments, the core portion 102 may be doped or undoped.

在一些實施例中,導電焊盤106形成在核心部分102的第一表面102a上。在一些實施例中,穿孔104形成在核心部分102中且與導電焊盤106連接。在一些實施例中,穿孔104以特定深度延伸到核心部分102中。在一些實施例中,穿孔104是基底穿孔。在一些實施例中,當核心部分102是矽基底時,穿孔104是矽穿孔。在一些實施例中,可透過在核心部分102中形成孔或凹槽且然後使用導電材料填充所述凹槽來形成穿孔104。在一些實施例中,可透過例如蝕刻、銑削、雷射鑽孔等來形成凹槽。在一些實施例中,可透過電化學鍍覆製程、化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、或物理氣相沉積(physical vapor deposition,PVD)來形成導電材料,且導電材料可包括銅、鎢、鋁、銀、金或其組合。在一些實施例中,可將與穿孔104連接的導電焊盤106形成為形成在中介層結構100上的重佈線層的導電部分。在一些實施例中,導電焊盤106包括凸塊下金屬(under bump metallurgy,UBM)。在某些實施例中,中介層結構100可還包括形成在核心部分102中的主動元件或被動元件,例如電晶體、電容器、電阻器、或二極體被 動元件。 In some embodiments, the conductive pads 106 are formed on the first surface 102a of the core portion 102 . In some embodiments, vias 104 are formed in core portion 102 and are connected to conductive pads 106 . In some embodiments, the perforations 104 extend into the core portion 102 to a certain depth. In some embodiments, the perforations 104 are substrate perforations. In some embodiments, when the core portion 102 is a silicon substrate, the vias 104 are vias of silicon. In some embodiments, the perforations 104 may be formed by forming holes or grooves in the core portion 102 and then filling the grooves with a conductive material. In some embodiments, the grooves may be formed by, for example, etching, milling, laser drilling, and the like. In some embodiments, electrochemical plating process, chemical vapor deposition (CVD), atomic layer deposition (ALD), or physical vapor deposition (PVD) can be used to A conductive material is formed, and the conductive material may include copper, tungsten, aluminum, silver, gold, or a combination thereof. In some embodiments, conductive pads 106 connected to vias 104 may be formed as conductive portions of a redistribution layer formed on interposer structure 100 . In some embodiments, the conductive pads 106 include under bump metallurgy (UBM). In certain embodiments, the interposer structure 100 may further include active or passive elements formed in the core portion 102, such as transistors, capacitors, resistors, or diodes moving element.

如圖1A中所示,核心部分102具有多個封裝區PKR及分隔所述多個封裝區PKR中的每一者的切割道DL。穿孔104及導電焊盤106形成在封裝區PKR內的核心部分102中。在一些實施例中,半導體晶粒D1及半導體晶粒D2設置在中介層結構100上,或者設置在封裝區PKR內的核心部分102上。半導體晶粒D1及半導體晶粒D2是從晶圓單體化的單個晶粒。在一些實施例中,半導體晶粒D1包含相同的電路系統,例如裝置及金屬化圖案,或者半導體晶粒D1是相同類型的晶粒。在一些實施例中,半導體晶粒D2包含相同的電路系統,或者半導體晶粒D2是相同類型的晶粒。在某些實施例中,半導體晶粒D1與半導體晶粒D2具有不同的電路系統或者是不同類型的晶粒。在替代實施例中,半導體晶粒D1與半導體晶粒D2可具有相同的電路系統。 As shown in FIG. 1A , the core portion 102 has a plurality of packaging regions PKR and a scribe line DL separating each of the plurality of packaging regions PKR. Through holes 104 and conductive pads 106 are formed in the core portion 102 within the package region PKR. In some embodiments, the semiconductor die D1 and the semiconductor die D2 are disposed on the interposer structure 100 or on the core portion 102 within the package region PKR. The semiconductor die D1 and the semiconductor die D2 are single die singulated from the wafer. In some embodiments, semiconductor die D1 includes the same circuitry, such as devices and metallization patterns, or semiconductor die D1 is the same type of die. In some embodiments, semiconductor die D2 contains the same circuitry, or semiconductor die D2 is the same type of die. In some embodiments, semiconductor die D1 and semiconductor die D2 have different circuitry or are different types of dies. In alternative embodiments, semiconductor die D1 and semiconductor die D2 may have the same circuitry.

在一些實施例中,半導體晶粒D1可為主晶粒,而半導體晶粒D2是輔助晶粒。在一些實施例中,主晶粒排列在每一封裝區PKR的中心位置中的核心部分102上,而輔助晶粒則並排排列且與主晶粒間隔開。在一些實施例中,輔助晶粒排列在主晶粒旁邊,且圍繞或環繞主晶粒。在一個實施例中,在每一封裝區PKR的一個主晶粒周圍排列有四個或六個輔助晶粒。本公開並不僅限於此。 In some embodiments, semiconductor die D1 may be a primary die, and semiconductor die D2 may be an auxiliary die. In some embodiments, the main dies are arranged on the core portion 102 in the center position of each package region PKR, and the auxiliary dies are arranged side by side and spaced apart from the main dies. In some embodiments, the auxiliary die is arranged next to the main die and surrounds or surrounds the main die. In one embodiment, four or six auxiliary dies are arranged around one main die of each package region PKR. The present disclosure is not limited thereto.

在某些實施例中,半導體晶粒D1的表面積大於半導體晶粒D2的表面積。另外,在一些實施例中,半導體晶粒D1與半導 體晶粒D2可具有不同的大小,包括不同的表面積及/或不同的厚度。在一些實施例中,半導體晶粒D1可為邏輯晶粒,包括中央處理器(central processing unit,CPU)晶粒、圖形處理單元(graphic processing unit,GPU)晶粒、系統晶片(system-on-a-chip,SoC)晶粒、微控制器等。在一些實施例中,半導體晶粒D1是功率管理晶粒,例如功率管理積體電路(power management integrated circuit,PMIC)晶粒。在一些實施例中,半導體晶粒D2可為記憶體晶粒,包括動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒、或高頻寬記憶體(high bandwidth memory,HBM)晶粒。本公開並不僅限於此,且可基於產品要求適當調整設置在核心部分102上的半導體晶粒的數目、大小及類型。 In certain embodiments, the surface area of semiconductor die D1 is greater than the surface area of semiconductor die D2. Additionally, in some embodiments, the semiconductor die D1 and the semiconductor The bulk grains D2 may have different sizes, including different surface areas and/or different thicknesses. In some embodiments, the semiconductor die D1 may be a logic die, including a central processing unit (CPU) die, a graphics processing unit (GPU) die, and a system-on-chip (system-on-chip) die. a-chip, SoC) die, microcontroller, etc. In some embodiments, the semiconductor die D1 is a power management die, such as a power management integrated circuit (PMIC) die. In some embodiments, the semiconductor die D2 may be a memory die, including a dynamic random access memory (DRAM) die, a static random access memory (SRAM) die die, or high bandwidth memory (HBM) die. The present disclosure is not limited thereto, and the number, size, and type of semiconductor die provided on the core portion 102 may be appropriately adjusted based on product requirements.

在所示實施例中,半導體晶粒D1包括本體D1A及形成在本體D1A的主動表面D1-S上的連接焊盤D1B。在某些實施例中,連接焊盤D1B可還包括用於將半導體晶粒D1結合到其他結構的柱結構。在一些實施例中,半導體晶粒D2包括本體D2A及形成在本體D2A的主動表面D2-S上的連接焊盤D2B。在其他實施例中,連接焊盤D2B可還包括用於將晶粒D2結合到其他結構的柱結構。 In the illustrated embodiment, semiconductor die D1 includes body D1A and connection pads D1B formed on active surface D1-S of body D1A. In some embodiments, the connection pads D1B may further include pillar structures for bonding the semiconductor die D1 to other structures. In some embodiments, semiconductor die D2 includes body D2A and connection pads D2B formed on active surface D2-S of body D2A. In other embodiments, connection pads D2B may further include pillar structures for bonding die D2 to other structures.

在一些實施例中,將半導體晶粒D1及半導體晶粒D2例如透過電性連接器110透過覆晶接合(flip-chip bonding)而貼合 到核心部分102的第一表面102a。透過回焊製程,將電性連接器110形成在連接焊盤D1B、D2B與導電焊盤106之間,且將半導體晶粒D2、D1電性連接及實體連接到中介層結構100的核心部分102。在一些實施例中,電性連接器110位於半導體晶粒D1、D2與中介層結構100之間。在某些實施例中,半導體晶粒D1、D2透過電性連接器110電性連接到穿孔104及導電焊盤106。在一個實施例中,電性連接器110是微凸塊,例如具有銅金屬柱的微凸塊。在另一實施例中,電性連接器110是焊料凸塊、無鉛焊料凸塊、或微凸塊,例如受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊或包含銅柱的微凸塊。在一些實施例中,半導體晶粒D1、D2與核心部分102之間的結合可為焊料結合。在一些實施例中,半導體晶粒D1、D2與核心部分102之間的結合可為直接金屬對金屬結合(metal-to-metal bonding),例如銅對銅結合。 In some embodiments, the semiconductor die D1 and the semiconductor die D2 are bonded together, for example, through the electrical connector 110 through flip-chip bonding. to the first surface 102a of the core portion 102 . Through a reflow process, the electrical connector 110 is formed between the connection pads D1B, D2B and the conductive pad 106 , and the semiconductor dies D2 and D1 are electrically and physically connected to the core portion 102 of the interposer structure 100 . In some embodiments, the electrical connector 110 is located between the semiconductor dies D1 , D2 and the interposer structure 100 . In some embodiments, the semiconductor dies D1 and D2 are electrically connected to the through hole 104 and the conductive pad 106 through the electrical connector 110 . In one embodiment, the electrical connector 110 is a microbump, such as a microbump with copper metal pillars. In another embodiment, the electrical connectors 110 are solder bumps, lead-free solder bumps, or micro-bumps, such as controlled collapse chip connection (C4) bumps or micro-bumps including copper pillars Piece. In some embodiments, the bond between the semiconductor die D1 , D2 and the core portion 102 may be a solder bond. In some embodiments, the bonding between the semiconductor dies D1 , D2 and the core portion 102 may be direct metal-to-metal bonding, such as copper-to-copper bonding.

參照圖1B,在下一步驟中,可形成底部填充結構112以覆蓋所述多個電性連接器110,且填充滿半導體晶粒D1、D2與中介層結構100之間的空間。在一些實施例中,底部填充結構112進一步覆蓋半導體晶粒D1、D2的側壁,且位於封裝區PKR內。此後,可在中介層結構100之上(或核心部分102之上)形成絕緣密封體114,以覆蓋底部填充結構112,且環繞半導體晶粒D1及D2。 Referring to FIG. 1B , in the next step, an underfill structure 112 may be formed to cover the plurality of electrical connectors 110 and fill the space between the semiconductor dies D1 , D2 and the interposer structure 100 . In some embodiments, the underfill structure 112 further covers the sidewalls of the semiconductor dies D1 and D2 and is located in the package region PKR. Thereafter, an insulating encapsulant 114 may be formed over the interposer structure 100 (or over the core portion 102) to cover the underfill structure 112 and surround the semiconductor dies D1 and D2.

在一些實施例中,絕緣密封體114形成在封裝區PKR中的核心部分102的第一表面102a上及切割道DL之上。在一些實施例中,透過例如壓力模製製程(compression molding process)或移轉模壓製程(transfer molding)來形成絕緣密封體114。在一個實施例中,執行固化製程以使絕緣密封體114固化。在一些實施例中,絕緣密封體114包封半導體晶粒D1、D2及電性連接器110。在一些實施例中,可執行平坦化製程(包括研磨或拋光),以局部地移除絕緣密封體114,從而暴露出半導體晶粒D1、D2的背側表面D1-X、D2-X。因此,半導體晶粒D1、D2的背側表面D1-X、D2-X與絕緣密封體114的頂表面114a齊平。頂表面114a與絕緣密封體114的背側表面114b相對,其中背側表面114b與核心部分102接觸。 In some embodiments, the insulating seal 114 is formed on the first surface 102a of the core portion 102 in the package region PKR and on the dicing line DL. In some embodiments, the insulating sealing body 114 is formed by, for example, a compression molding process or a transfer molding process. In one embodiment, a curing process is performed to cure the insulating encapsulant 114 . In some embodiments, the insulating sealing body 114 encapsulates the semiconductor dies D1 , D2 and the electrical connector 110 . In some embodiments, a planarization process (including grinding or polishing) may be performed to partially remove the insulating seal 114 to expose the backside surfaces D1-X, D2-X of the semiconductor dies D1, D2. Therefore, the backside surfaces D1 -X, D2 -X of the semiconductor dies D1 , D2 are flush with the top surface 114 a of the insulating sealing body 114 . The top surface 114a is opposite the backside surface 114b of the insulating seal 114 , where the backside surface 114b is in contact with the core portion 102 .

在一些實施例中,絕緣密封體114的材料包括聚合物(例如環氧樹脂、酚醛樹脂(phenolic resin)、含矽樹脂、或其他合適的樹脂)、具有低介電常數(permittivity,Dk)及低損耗正切(loss tangent,Df)性質的介電材料、或其他合適的材料。在替代實施例中,絕緣密封體114可包含可接受的絕緣密封體材料。在一些實施例中,絕緣密封體114可還包含可被添加到絕緣密封體114中來優化絕緣密封體114的熱膨脹係數(coefficient of thermal expansion,CTE)的無機填料或無機化合物(例如,二氧化矽、黏土等)。本公開並不僅限於此。 In some embodiments, the material of the insulating sealing body 114 includes a polymer (eg, epoxy resin, phenolic resin, silicon-containing resin, or other suitable resins), has a low dielectric constant (Dk), and Dielectric materials with low loss tangent (Df) properties, or other suitable materials. In alternative embodiments, the insulating seal 114 may comprise an acceptable insulating seal material. In some embodiments, insulating encapsulant 114 may further include inorganic fillers or inorganic compounds (eg, carbon dioxide) that may be added to insulating encapsulant 114 to optimize the coefficient of thermal expansion (CTE) of insulating encapsulant 114 . silicon, clay, etc.). The present disclosure is not limited thereto.

參照圖1C,將圖1B的結構顛倒或翻轉且放置在載體CR上,使得載體CR直接接觸半導體晶粒D1、D2的背側表面D1-X、D2-X及絕緣密封體114的頂表面114a。如圖1C中所示,在此處理階段處,中介層結構100尚未薄化且具有厚度Tx。換句話說,未顯露出穿孔104,且穿孔104嵌置在中介層結構100的核心部分102中。 Referring to FIG. 1C , the structure of FIG. 1B is reversed or flipped and placed on the carrier CR so that the carrier CR directly contacts the backside surfaces D1 -X, D2 -X of the semiconductor dies D1 , D2 and the top surface 114 a of the insulating encapsulant 114 . As shown in FIG. 1C, at this processing stage, the interposer structure 100 has not yet been thinned and has a thickness Tx. In other words, the through holes 104 are not exposed, and the through holes 104 are embedded in the core portion 102 of the interposer structure 100 .

參照圖1D,對中介層100執行薄化製程,以局部地移除或薄化中介層結構100的核心部分102,直到暴露出穿孔104且形成核心部分102的第二表面102b。在一些實施例中,薄化製程可包括背側研磨製程、拋光製程或蝕刻製程。在一些實施例中,在薄化製程之後,將中介層結構100薄化到厚度Ty。在一些實施例中,厚度Ty對厚度Tx的比率介於從約0.1到約0.5的範圍內。 1D , a thinning process is performed on the interposer 100 to partially remove or thin the core portion 102 of the interposer structure 100 until the through holes 104 are exposed and the second surface 102b of the core portion 102 is formed. In some embodiments, the thinning process may include a backside grinding process, a polishing process, or an etching process. In some embodiments, after the thinning process, the interposer structure 100 is thinned to a thickness Ty. In some embodiments, the ratio of thickness Ty to thickness Tx ranges from about 0.1 to about 0.5.

參照圖1E,在封裝區PKR中的核心部分102的第二表面102b上以及在切割道DL之上形成重佈線結構116。核心部分102的第二表面102b與核心部分102的第一表面102a相對。在一些實施例中,重佈線結構116、核心部分102、穿孔104及導電焊盤106構成中介層結構100’。在一些實施例中,重佈線結構116電性連接穿孔104及/或電性連接穿孔104與外部裝置。在某些實施例中,重佈線結構116包括至少一個介電層116a及位於介電層116a中的金屬化圖案116b。在一些實施例中,金屬化圖案116b可包括焊盤、通孔及/或跡線,以對穿孔104進行內連,且進一步將穿孔 104連接到一個或多個外部裝置。儘管在圖1E中示出一層介電層116a及一層金屬化圖案116b,但應注意的是,介電層116a及金屬化圖案116b的層的數目並不僅限於此,且這可基於要求進行調整。 Referring to FIG. 1E, a redistribution structure 116 is formed on the second surface 102b of the core portion 102 in the package region PKR and over the scribe lines DL. The second surface 102b of the core portion 102 is opposite the first surface 102a of the core portion 102 . In some embodiments, the redistribution structure 116, the core portion 102, the vias 104, and the conductive pads 106 constitute the interposer structure 100'. In some embodiments, the redistribution structure 116 electrically connects the vias 104 and/or electrically connects the vias 104 with external devices. In some embodiments, the redistribution structure 116 includes at least one dielectric layer 116a and a metallization pattern 116b in the dielectric layer 116a. In some embodiments, the metallization pattern 116b may include pads, vias, and/or traces to interconnect the vias 104 and further connect the vias 104 is connected to one or more external devices. Although one dielectric layer 116a and one metallization pattern 116b are shown in FIG. 1E, it should be noted that the number of layers of the dielectric layer 116a and the metallization pattern 116b is not limited thereto, and this can be adjusted based on requirements .

在一些實施例中,介電層116a的材料包括氧化矽、氮化矽、碳化矽、氮氧化矽、或低介電常數介電材料(例如磷矽酸鹽玻璃材料、氟矽酸鹽玻璃材料、硼磷矽酸鹽玻璃材料、SiOC、旋塗玻璃材料、旋塗聚合物或矽碳材料)。在一些實施例中,可透過旋轉塗布或沉積(包括化學氣相沉積(CVD)、等離子體增強型化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)、高密度等離子體CVD(high density plasma-CVD,HDP-CVD)等)來形成介電層116a。在一些實施例中,金屬化圖案116b包括凸塊下金屬(under-bump metallurgy,UBM)。在一些實施例中,形成金屬化圖案116b可包括使用微影技術及一個或多個蝕刻製程來將介電層圖案化且將金屬材料填充到圖案化介電層的開口中。可例如透過使用化學機械拋光製程來移除介電層上的任何過多的導電材料。在一些實施例中,金屬化圖案116b的材料包括銅、鋁、鎢、銀、及其組合。 In some embodiments, the material of the dielectric layer 116a includes silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, or a low-k dielectric material (eg, phosphosilicate glass material, fluorosilicate glass material, etc.) , borophosphosilicate glass material, SiOC, spin-on glass material, spin-on polymer or silicon carbon material). In some embodiments, spin coating or deposition (including chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), high density plasma CVD (high density plasma CVD) -CVD, HDP-CVD), etc.) to form the dielectric layer 116a. In some embodiments, the metallization pattern 116b includes under-bump metallurgy (UBM). In some embodiments, forming the metallization pattern 116b may include patterning the dielectric layer using lithography techniques and one or more etching processes and filling metal material into the openings of the patterned dielectric layer. Any excess conductive material on the dielectric layer can be removed, for example, by using a chemical mechanical polishing process. In some embodiments, the material of the metallization pattern 116b includes copper, aluminum, tungsten, silver, and combinations thereof.

如圖1E中所示,在金屬化圖案116b上設置多個導電端子118,且所述多個導電端子118電耦合到穿孔104。在一些實施例中,導電端子118放置在重佈線結構116的頂表面116s上,且透過封裝區PKR內的金屬化圖案116b電性連接到穿孔104。在某 些實施例中,導電端子118位在金屬化圖案116b上且實體地貼合到金屬化圖案116b。在一些實施例中,導電端子118包括無鉛焊料球、焊料球、球柵陣列(ball grid array,BGA)球、凸塊、C4凸塊或微凸塊。在一些實施例中,導電端子118可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、或其組合。在一些實施例中,透過例如蒸鍍、電鍍、印刷或焊料轉移在重佈線結構116上形成焊料膏來形成導電端子118,且然後將導電端子118回焊成期望的凸塊形狀。在一些實施例中,透過植球等方式將導電端子118放置在重佈線結構116上。在其他實施例中,透過以下方式形成導電端子118:透過濺鍍、印刷、無電鍍覆或電鍍或CVD形成無焊料金屬柱(例如銅柱),且然後透過對金屬柱進行鍍覆來形成無鉛帽層(lead-free cap layer)。導電端子118可用於結合到外部裝置或附加的電氣元件。在一些實施例中,導電端子118用於結合到線路基底、半導體基底或封裝基底。 As shown in FIG. 1E , a plurality of conductive terminals 118 are disposed on the metallization pattern 116b and are electrically coupled to the vias 104 . In some embodiments, the conductive terminal 118 is placed on the top surface 116s of the redistribution structure 116 and is electrically connected to the via 104 through the metallization pattern 116b in the package region PKR. in a In some embodiments, the conductive terminals 118 are located on the metallization pattern 116b and are physically attached to the metallization pattern 116b. In some embodiments, the conductive terminals 118 include lead-free solder balls, solder balls, ball grid array (BGA) balls, bumps, C4 bumps, or micro bumps. In some embodiments, the conductive terminals 118 may comprise conductive materials such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or combinations thereof. In some embodiments, the conductive terminals 118 are formed by forming a solder paste on the redistribution structures 116 by, for example, evaporation, electroplating, printing, or solder transfer, and then the conductive terminals 118 are reflowed into the desired bump shape. In some embodiments, the conductive terminals 118 are placed on the redistribution structure 116 by ball bumping or the like. In other embodiments, the conductive terminals 118 are formed by forming solderless metal posts (eg, copper posts) by sputtering, printing, electroless plating or electroplating or CVD, and then forming lead-free by plating the metal posts A lead-free cap layer. The conductive terminals 118 may be used for bonding to external devices or additional electrical components. In some embodiments, the conductive terminals 118 are used for bonding to a wiring substrate, a semiconductor substrate, or a packaging substrate.

參照圖1F,在後續步驟中,將載體CR剝離。舉例來說,剝離製程包括將例如雷射或UV光等光照射在貼合到載體CR(未示出)的剝離層(例如,光-熱轉換釋放層)上,使得可容易地將載體CR與剝離層一起移除。在一些實施例中,在剝離製程之後顯露出半導體晶粒D1、D2的背側表面D1-X、D2-X。 Referring to Figure 1F, in a subsequent step, the carrier CR is peeled off. For example, the lift-off process includes irradiating light such as laser or UV light on a lift-off layer (eg, a light-to-heat conversion release layer) attached to the carrier CR (not shown), so that the carrier CR can be easily removed Remove with release layer. In some embodiments, the backside surfaces D1-X, D2-X of the semiconductor die D1, D2 are exposed after the lift-off process.

參照圖1G,在將載體CR剝離之後,將圖1F中所示的結構貼合到由框架FR支撐的條帶TP(例如,切割帶)上。隨後, 沿切割道DL將圖1F中所示的結構切割或單體化,以形成多個半導體封裝SM。舉例來說,執行切割製程以切穿重佈線結構116、核心部分102及絕緣密封體114,從而沿切割道DL移除重佈線結構116的一些部分、核心部分102的一些部分及絕緣密封體114的一些部分。在一些實施例中,切割製程或單體化製程通常涉及利用旋轉刀片或雷射光束進行切割。換句話說,切割製程或單體化製程是例如雷射切分製程、機械鋸切製程、或其他合適的製程。在將載體CR剝離之後,可獲得圖1H中所示的單體化的半導體封裝SM。 Referring to FIG. 1G , after peeling off the carrier CR, the structure shown in FIG. 1F is attached to the strip TP (eg, dicing tape) supported by the frame FR. Subsequently, The structure shown in FIG. 1F is cut or singulated along scribe lines DL to form a plurality of semiconductor packages SM. For example, a dicing process is performed to cut through the redistribution structure 116 , the core portion 102 , and the insulating seal 114 to remove portions of the redistribution structure 116 , portions of the core portion 102 , and the insulating seal 114 along the scribe line DL some parts. In some embodiments, the dicing process or singulation process typically involves dicing with a rotating blade or a laser beam. In other words, the dicing process or the singulation process is, for example, a laser slicing process, a mechanical sawing process, or other suitable processes. After peeling off the carrier CR, the singulated semiconductor package SM shown in FIG. 1H can be obtained.

圖2A到圖2F是根據本公開的一些示例性實施例的製作封裝結構的方法中的各個階段的示意性剖面圖及上視圖。參照圖2A,提供線路基底200。在一些實施例中,線路基底200由介電層組成。在某些實施例中,線路基底200是有機柔性基底或印刷電路板。在一些實施例中,線路基底200包括導電焊盤210、導電焊盤220、金屬化層230、及嵌置在線路基底200中的通孔(未示出)。在一些實施例中,導電焊盤210及導電焊盤220分別分佈在線路基底200的兩個相對的側上,且被暴露出以與稍後形成的元件/特徵進行電性連接。舉例來說,在一些實施例中,將線路基底200圖案化以形成顯露出位於線路基底200的第一側200A上的導電焊盤210的第一開口OP1、第二開口OP2及接觸開口OX。此外,在某些實施例中,將線路基底200圖案化以形成顯露出位於 線路基底200的第二側200B上的導電焊盤220的開口OY。 2A-2F are schematic cross-sectional and top views of various stages in a method of fabricating a package structure according to some exemplary embodiments of the present disclosure. Referring to FIG. 2A, a wiring substrate 200 is provided. In some embodiments, the wiring substrate 200 consists of a dielectric layer. In some embodiments, the wiring substrate 200 is an organic flexible substrate or a printed circuit board. In some embodiments, the wiring substrate 200 includes a conductive pad 210 , a conductive pad 220 , a metallization layer 230 , and a via (not shown) embedded in the wiring substrate 200 . In some embodiments, conductive pads 210 and 220 are distributed on two opposite sides of the wiring substrate 200, respectively, and are exposed for electrical connection with later-formed elements/features. For example, in some embodiments, the wiring substrate 200 is patterned to form first openings OP1 , second openings OP2 , and contact openings OX that expose the conductive pads 210 on the first side 200A of the wiring substrate 200 . Additionally, in some embodiments, the wiring substrate 200 is patterned to form exposed The opening OY of the conductive pad 220 on the second side 200B of the circuit substrate 200 .

在一些實施例中,金屬化層230及通孔嵌置在線路基底200中且一起為線路基底200提供佈線功能,其中金屬化層230及通孔電性連接到導電焊盤210及導電焊盤220。換句話說,導電焊盤210中的至少一些導電焊盤210透過金屬化層230及通孔電性連接到導電焊盤220中的一些導電焊盤220。在一些實施例中,導電焊盤210及導電焊盤220可包括金屬焊盤或金屬合金焊盤。在一些實施例中,金屬化層230的材料及通孔的材料可與導電焊盤210的材料及導電焊盤220的材料實質上相同或類似。 In some embodiments, the metallization layer 230 and the vias are embedded in the wiring substrate 200 and together provide a routing function for the wiring substrate 200, wherein the metallization layer 230 and the vias are electrically connected to the conductive pads 210 and the conductive pads 220. In other words, at least some of the conductive pads 210 are electrically connected to some of the conductive pads 220 through the metallization layer 230 and the vias. In some embodiments, conductive pads 210 and 220 may comprise metal pads or metal alloy pads. In some embodiments, the material of the metallization layer 230 and the material of the via may be substantially the same or similar to the material of the conductive pad 210 and the material of the conductive pad 220 .

參照圖2B,在將線路基底200圖案化之後,將焊料膏310設置在線路基底200的第一開口OP1、第二開口OP2及接觸開口OX內。舉例來說,透過印刷將焊料膏310設置在第一開口OP1、第二開口OP2及接觸開口OX內。在下一步驟中,將多個第一間隙物結構40放置在線路基底200的第二開口OP2內、焊料膏310之上。在示例性實施例中,第一間隙物結構40的材料並未受到特別限制,且可為導電材料、聚合物材料等。這將在稍後的實施例中進行詳細闡述。 Referring to FIG. 2B , after the circuit substrate 200 is patterned, the solder paste 310 is disposed in the first opening OP1 , the second opening OP2 and the contact opening OX of the circuit substrate 200 . For example, the solder paste 310 is disposed in the first opening OP1 , the second opening OP2 and the contact opening OX by printing. In the next step, a plurality of first spacer structures 40 are placed in the second opening OP2 of the circuit substrate 200 and on the solder paste 310 . In an exemplary embodiment, the material of the first spacer structure 40 is not particularly limited, and may be a conductive material, a polymer material, or the like. This will be explained in detail in the examples later.

參照圖2C,在後續步驟中,將在圖1H中獲得的半導體封裝SM透過導電端子118安裝到線路基底200上。舉例來說,透過在線路基底200的第一開口OP1內、焊料膏310之上設置導電端子118來將半導體封裝SM安裝在線路基底200上。參照圖 2D,圖2D是圖2C中所示結構的上視圖,半導體封裝SM設置在線路基底200上的被第一間隙物結構40環繞的區域內。在某些實施例中,第一間隙物結構40環繞半導體封裝SM的四個側。此外,大約10到15個第一間隙物結構40可位於每一側上。然而,本公開並不僅限於此,且可基於產品要求來調整環繞半導體封裝SM的第一間隙物結構40的數目。 Referring to FIG. 2C , in a subsequent step, the semiconductor package SM obtained in FIG. 1H is mounted on the wiring substrate 200 through the conductive terminals 118 . For example, the semiconductor package SM is mounted on the circuit substrate 200 by disposing the conductive terminals 118 on the solder paste 310 in the first opening OP1 of the circuit substrate 200 . Refer to the figure 2D, FIG. 2D is a top view of the structure shown in FIG. 2C , the semiconductor package SM is disposed on the wiring substrate 200 in the area surrounded by the first spacer structure 40 . In some embodiments, the first spacer structure 40 surrounds four sides of the semiconductor package SM. Additionally, about 10 to 15 first spacer structures 40 may be located on each side. However, the present disclosure is not limited thereto, and the number of the first spacer structures 40 surrounding the semiconductor package SM may be adjusted based on product requirements.

如圖2C及圖2D中所示,在一些實施例中,可在線路基底200上在半導體封裝SM旁邊安裝被動元件PDX(集成被動元件或表面安裝裝置)。舉例來說,在線路基底200上在線路基底200的接觸開口OX內、焊料膏310之上安裝被動元件PDX。在將第一間隙物結構40、導電端子118及被動元件PDX放置/安裝在它們各自的開口中之後,執行回焊製程以將第一間隙物結構40與線路基底200的導電焊盤210進行接合。類似地,執行回焊製程以將導電端子118及被動元件PDX與線路基底200的導電焊盤210進行接合。換句話說,將第一間隙物結構40、導電端子118及被動元件PDX透過回焊製程安裝在線路基底200的導電焊盤210上。在一些實施例中,在執行回焊製程之後,可將半導體封裝SM及被動元件PDX電性連接到線路基底200的導電焊盤210。另外,半導體封裝SM及被動元件PDX可進一步電性連接到導電焊盤220、金屬化層230及通孔。在某些實施例中,第一間隙物結構40可電性連接到或可不電性連接到導電焊盤220,這將取決於所使用 的第一間隙物結構40的材料。在一些實施例中,第一間隙物結構40具有與線路基底200的導電焊盤210實體接觸的底部部分40-BS。 As shown in FIGS. 2C and 2D , in some embodiments, a passive component PDX (integrated passive component or surface mount device) may be mounted on the wiring substrate 200 alongside the semiconductor package SM. For example, the passive element PDX is mounted on the circuit substrate 200 in the contact opening OX of the circuit substrate 200 and above the solder paste 310 . After placing/mounting the first spacer structure 40 , the conductive terminals 118 and the passive components PDX in their respective openings, a reflow process is performed to bond the first spacer structure 40 with the conductive pads 210 of the circuit substrate 200 . Similarly, a reflow process is performed to bond the conductive terminals 118 and the passive element PDX to the conductive pads 210 of the circuit substrate 200 . In other words, the first spacer structure 40 , the conductive terminals 118 and the passive element PDX are mounted on the conductive pads 210 of the circuit substrate 200 through a reflow process. In some embodiments, after the reflow process is performed, the semiconductor package SM and the passive element PDX may be electrically connected to the conductive pads 210 of the circuit substrate 200 . In addition, the semiconductor package SM and the passive element PDX may be further electrically connected to the conductive pads 220 , the metallization layer 230 and the through holes. In certain embodiments, the first spacer structure 40 may or may not be electrically connected to the conductive pad 220, depending on the use the material of the first spacer structure 40 . In some embodiments, the first spacer structure 40 has a bottom portion 40 -BS that is in physical contact with the conductive pad 210 of the wiring substrate 200 .

如圖2E中所示,在一些實施例中,是形成底部填充結構UX以填充滿線路基底200與半導體封裝SM之間的空間。在某些實施例中,底部填充結構UX填充滿相鄰的導電端子118之間的空間且覆蓋導電端子118。舉例來說,底部填充結構UX環繞所述多個導電端子118。在一些實施例中,底部填充結構UX暴露出被動元件PDX,且被動元件PDX與底部填充結構UX保持隔開一定距離。換句話說,底部填充結構UX並未覆蓋被動元件PDX。在某些實施例中,底部填充結構UX可進一步覆蓋半導體封裝SM的側壁。 As shown in FIG. 2E , in some embodiments, the underfill structure UX is formed to fill the space between the wiring substrate 200 and the semiconductor package SM. In some embodiments, the underfill structure UX fills the space between adjacent conductive terminals 118 and covers the conductive terminals 118 . For example, an underfill structure UX surrounds the plurality of conductive terminals 118 . In some embodiments, the underfill structure UX exposes the passive element PDX, and the passive element PDX is kept at a distance from the underfill structure UX. In other words, the underfill structure UX does not cover the passive element PDX. In some embodiments, the underfill structure UX may further cover the sidewalls of the semiconductor package SM.

參照圖2F,在形成底部填充結構UX之後,將熱介面材料510設置在半導體封裝SM的背側上。此後,將蓋結構520透過黏合材料ADM貼合到線路基底200上。在一些實施例中,將蓋結構520按壓到熱介面材料510上,使得熱介面材料510夾置在半導體封裝結構SM與蓋結構520之間。在一些實施例中,蓋結構520環繞半導體封裝SM及被動元件PDX。舉例來說,蓋結構520包括位於半導體晶粒D1及D2之上的蓋體部分520A,且包括與蓋體部分520A進行接合的側壁部分520B。側壁部分520B可環繞半導體晶粒D1、D2及中介層結構100’,且透過黏合材料ADM 貼合到線路基底200。 2F, after the formation of the underfill structure UX, a thermal interface material 510 is disposed on the backside of the semiconductor package SM. After that, the cover structure 520 is attached to the circuit substrate 200 through the adhesive material ADM. In some embodiments, the lid structure 520 is pressed onto the thermal interface material 510 such that the thermal interface material 510 is sandwiched between the semiconductor package structure SM and the lid structure 520 . In some embodiments, the lid structure 520 surrounds the semiconductor package SM and the passive device PDX. For example, the cap structure 520 includes a cap portion 520A overlying the semiconductor dies D1 and D2, and includes a sidewall portion 520B that engages with the cap portion 520A. The sidewall portion 520B may surround the semiconductor dies D1, D2 and the interposer structure 100' and pass through the adhesive material ADM Attached to the circuit substrate 200 .

如圖2F中進一步所示,第一間隙物結構40夾置在蓋結構520與線路基底200之間。舉例來說,第一間隙物結構40包括與蓋結構520接觸的頂部部分40-TS及與線路基底200接觸的底部部分40-BS。在一些實施例中,第一間隙物結構40設置在線路基底200與蓋結構520的側壁部分520B之間,且與黏合材料ADM相鄰。在某些實施例中,黏合材料ADM也位於蓋結構520與線路基底200之間,並且環繞且接觸第一間隙物結構40。此外,在一些實施例中,側壁部分520B的寬度W1對線路基底200與側壁部分520B之間的距離Dx的比率(W1:Dx)處於10:1到30:1的範圍內。舉例來說,在一個示例性實施例中,當將寬度W1控制在2mm到3mm的範圍內時,可將距離Dx控制在100μm到200μm的範圍內。可適當地控制距離Dx及寬度W1,從而可防止熱介面材料510及黏合材料ADM的分層及不均勻排列,以及這些材料的擠出及滲出的問題。 As further shown in FIG. 2F , the first spacer structure 40 is sandwiched between the cover structure 520 and the wiring substrate 200 . For example, the first spacer structure 40 includes a top portion 40 -TS in contact with the cap structure 520 and a bottom portion 40 -BS in contact with the wiring substrate 200 . In some embodiments, the first spacer structure 40 is disposed between the circuit substrate 200 and the sidewall portion 520B of the cap structure 520 and adjacent to the adhesive material ADM. In some embodiments, the adhesive material ADM is also located between the cover structure 520 and the circuit substrate 200 and surrounds and contacts the first spacer structure 40 . Furthermore, in some embodiments, the ratio (W1:Dx) of the width W1 of the sidewall portion 520B to the distance Dx between the wiring substrate 200 and the sidewall portion 520B is in the range of 10:1 to 30:1. For example, in one exemplary embodiment, when the width W1 is controlled in the range of 2 mm to 3 mm, the distance Dx may be controlled in the range of 100 μm to 200 μm. The distance Dx and the width W1 can be properly controlled, thereby preventing the delamination and uneven arrangement of the thermal interface material 510 and the adhesive material ADM, as well as the problems of extrusion and exudation of these materials.

在示例性實施例中,透過將第一間隙物結構40排列在蓋結構520與線路基底200之間,可適當地維持線路基底200與側壁部分520B之間的距離Dx。換句話說,透過保持蓋結構520與線路基底200之間的距離Dx來控制在將蓋結構520貼合到線路基底200上的期間施加的力道。舉例來說,第一間隙物結構40用於防止距離Dx過小(過度施加的力道),且防止距離Dx過大(低 施加的力道)。此外,側壁部分520B的寬度W1與所使用的黏合材料ADM的量直接相關。因此,也將寬度W1控制在一定的範圍內,使得蓋結構520的側壁部分520B足夠寬以覆蓋第一間隙物結構40,同時防止施加過量的黏合材料ADM。 In an exemplary embodiment, by arranging the first spacer structure 40 between the cap structure 520 and the wiring substrate 200, the distance Dx between the wiring substrate 200 and the sidewall portion 520B may be properly maintained. In other words, the force applied during the bonding of the cover structure 520 to the circuit substrate 200 is controlled by maintaining the distance Dx between the cover structure 520 and the circuit substrate 200 . For example, the first spacer structure 40 is used to prevent the distance Dx from being too small (excessive applied force) and preventing the distance Dx from being too large (low applied force). Furthermore, the width W1 of the sidewall portion 520B is directly related to the amount of the adhesive material ADM used. Therefore, the width W1 is also controlled within a certain range, so that the sidewall portion 520B of the cover structure 520 is wide enough to cover the first spacer structure 40 while preventing excessive application of the adhesive material ADM.

在某些實施例中,當寬度W1對距離Dx的比率(W1:Dx)保持在上述範圍內時,可防止在對蓋結構520進行貼合期間由低施加的力道引起的問題(引起高熱阻的厚的熱介面材料510)或由過度施加的力道引起的問題(黏合材料ADM的擠出及滲出),以及例如熱介面材料510及黏合劑的分層及不均勻排列等其他相關問題。另一方面,當寬度W1對距離Dx的比率(W1:Dx)在上述範圍之外時,存在熱介面材料510及黏合材料ADM具有不均勻排列從而引起滲出及擠出等的風險。 In certain embodiments, when the ratio of the width W1 to the distance Dx (W1:Dx) is maintained within the above-mentioned range, problems caused by low applied forces (causing high thermal resistances) during bonding of the cover structure 520 may be prevented thick thermal interface material 510) or problems caused by excessively applied forces (extrusion and bleed of the adhesive material ADM), and other related problems such as delamination and uneven alignment of the thermal interface material 510 and the adhesive. On the other hand, when the ratio of the width W1 to the distance Dx (W1:Dx) is outside the above range, there is a risk that the thermal interface material 510 and the adhesive material ADM are unevenly arranged to cause bleeding and extrusion.

在一些實施例中,在將蓋結構520貼合在線路基底200上之後,是將多個導電球250放置在線路基底200的開口OY中,且將所述多個導電球250電性連接到導電焊盤220。在一些實施例中,導電球250是例如焊料球或BGA球。至此,完成了根據本公開的一些示例性實施例的封裝結構PK1。 In some embodiments, after the cover structure 520 is attached to the circuit substrate 200, a plurality of conductive balls 250 are placed in the openings OY of the circuit substrate 200, and the plurality of conductive balls 250 are electrically connected to Conductive pads 220 . In some embodiments, the conductive balls 250 are solder balls or BGA balls, for example. So far, the package structure PK1 according to some exemplary embodiments of the present disclosure is completed.

圖3A到圖3C是根據本公開的一些示例性實施例的間隙物結構的各種設計。在上述實施例中,第一間隙物結構40被示出為具有球結構或球形結構。然而,本公開並不僅限於此,且可適當地調整第一間隙物結構40的設計。如圖3A中所示,第一間隙 物結構40被示出為包括具有導電核40C的球結構。在一些實施例中,導電核40C可由任何導電材料或金屬材料(例如銅、鎢、鋁、銀、金等)製成,且本公開並不僅限於此。如圖3B中所示,除了具有導電核40C之外,第一間隙物結構40可還包括塗覆在導電核40C周圍的導電殼40S。換句話說,第一間隙物結構40可包括核-殼結構。在一些實施例中,導電殼40S的材料可為任何導電材料、金屬材料或金屬合金。在一個示例性實施例中,導電殼40S可為焊料殼。如圖3C中進一步所示,在一些實施例中,第一間隙物結構40包括柱結構或柱狀結構40CL。舉例來說,柱結構或柱狀結構40CL可由任何導電材料或金屬材料(例如銅、鎢、鋁、銀、金等)製成,且本公開並不僅限於此。 3A-3C are various designs of spacer structures according to some example embodiments of the present disclosure. In the above-described embodiments, the first spacer structure 40 is shown as having a spherical or spherical structure. However, the present disclosure is not limited thereto, and the design of the first spacer structure 40 may be appropriately adjusted. As shown in Figure 3A, the first gap The material structure 40 is shown to include a ball structure with a conductive core 40C. In some embodiments, the conductive core 40C may be made of any conductive or metallic material (eg, copper, tungsten, aluminum, silver, gold, etc.), and the present disclosure is not limited thereto. As shown in FIG. 3B , in addition to having the conductive core 40C, the first spacer structure 40 may further include a conductive shell 40S coated around the conductive core 40C. In other words, the first spacer structure 40 may include a core-shell structure. In some embodiments, the material of the conductive shell 40S can be any conductive material, metal material or metal alloy. In one exemplary embodiment, the conductive shell 40S may be a solder shell. As further shown in FIG. 3C , in some embodiments, the first spacer structure 40 includes a pillar structure or pillar-like structure 40CL. For example, the column structure or column structure 40CL may be made of any conductive material or metal material (eg, copper, tungsten, aluminum, silver, gold, etc.), and the present disclosure is not limited thereto.

圖4A及圖4B是根據本公開的一些示例性實施例的將間隙物結構放置在線路基底上的各種方法的示意性剖面圖。參照圖4A,在一些實施例中,當第一間隙物結構40包括例如導電核40C等導電材料時,則可將第一間隙物結構40透過連接材料CM放置在線路基底200的導電焊盤210上或貼合到導電焊盤210。舉例來說,連接材料CM可為在先前實施例中使用的焊料膏310。在一些實施例中,導電核40C的底部部分40-BS與導電焊盤210接觸,而連接材料CM環繞導電核40C且接觸導電焊盤210。 4A and 4B are schematic cross-sectional views of various methods of placing a spacer structure on a wiring substrate in accordance with some exemplary embodiments of the present disclosure. 4A , in some embodiments, when the first spacer structure 40 includes a conductive material such as a conductive core 40C, the first spacer structure 40 can be placed on the conductive pad 210 of the circuit substrate 200 through the connecting material CM on or attached to the conductive pads 210 . For example, the connection material CM may be the solder paste 310 used in the previous embodiments. In some embodiments, bottom portion 40 -BS of conductive core 40C is in contact with conductive pad 210 , while connecting material CM surrounds conductive core 40C and contacts conductive pad 210 .

在一個示例性實施例中,當例如導電核40C或導電殼40S等導電材料位於第一間隙物結構40的外表面上時,則第一間隙物 結構40可透過回焊製程與線路基底200進行接合。舉例來說,在此種實施例中,在線路基底200上形成第一開口OP1及第二開口OP2,且將焊料膏310設置在線路基底200的第一開口OP1及第二開口OP2內。可將第一間隙物結構40放置在線路基底200的第二開口OP2內,而將半導體封裝SM的導電端子118設置在線路基底200的第一開口OP1內。此後,可執行回焊製程以將第一間隙物結構40及導電端子118二者與線路基底200的導電焊盤210進行接合。 In an exemplary embodiment, when a conductive material such as the conductive core 40C or the conductive shell 40S is located on the outer surface of the first spacer structure 40, the first spacer The structure 40 can be bonded to the circuit substrate 200 through a reflow process. For example, in this embodiment, the first opening OP1 and the second opening OP2 are formed on the circuit substrate 200 , and the solder paste 310 is disposed in the first opening OP1 and the second opening OP2 of the circuit substrate 200 . The first spacer structure 40 may be placed in the second opening OP2 of the wiring substrate 200 , and the conductive terminals 118 of the semiconductor package SM may be arranged in the first opening OP1 of the wiring substrate 200 . Thereafter, a reflow process may be performed to bond both the first spacer structure 40 and the conductive terminals 118 to the conductive pads 210 of the circuit substrate 200 .

參照圖4B,在一些其他實施例中,當第一間隙物結構40包括例如導電核40C等導電材料時,則可將第一間隙物結構40透過另一連接材料CM放置在線路基底200的介電層上或貼合到線路基底200的介電層。舉例來說,連接材料CM可為任何黏合劑320、膠水等。在一些實施例中,導電核40C的底部部分40-BS與線路基底200的介電層接觸,而連接材料CM環繞導電核40C且接觸線路基底200。 Referring to FIG. 4B , in some other embodiments, when the first spacer structure 40 includes a conductive material such as a conductive core 40C, the first spacer structure 40 can be placed on the middle of the circuit substrate 200 through another connecting material CM. The dielectric layer on the electrical layer or attached to the circuit substrate 200 . For example, the connecting material CM can be any adhesive 320, glue, or the like. In some embodiments, the bottom portion 40 -BS of the conductive core 40C is in contact with the dielectric layer of the wiring substrate 200 , and the connecting material CM surrounds the conductive core 40C and contacts the wiring substrate 200 .

圖5A及圖5B是根據本公開的一些其他示例性實施例的間隙物結構的各種設計。在先前的實施例中,第一間隙物結構40透過導電材料貼合到線路基底200,但本公開並不僅限於此,且可應用其他材料。參照圖5A,在一些實施例中,第一間隙物結構40被示出為包括具有導電核40C的球結構,而導電核40C塗覆有聚合物殼40PS。換句話說,是將具有位於導電核40C的外表面上的 非導電性的殼之核-殼結構應用於第一間隙物結構40。參照圖5B,在一些其他實施例中,第一間隙物結構40被示出為包括具有聚合物核40PC的球結構,而聚合物核40PC塗覆有聚合物殼40PS。換句話說,將具有位於聚合物核40PC的外表面上的非導電性的殼之核-殼結構應用於第一間隙物結構40。在一些替代實施例中,第一間隙物結構40可包括上面未塗覆有任何殼結構的聚合物核40PC。 5A and 5B are various designs of spacer structures according to some other exemplary embodiments of the present disclosure. In the previous embodiments, the first spacer structure 40 is attached to the circuit substrate 200 through the conductive material, but the present disclosure is not limited thereto, and other materials may be applied. Referring to FIG. 5A , in some embodiments, the first spacer structure 40 is shown to include a ball structure with a conductive core 40C coated with a polymer shell 40PS. In other words, there will be a A non-conductive shell core-shell structure is applied to the first spacer structure 40 . Referring to Figure 5B, in some other embodiments, the first spacer structure 40 is shown as comprising a sphere structure having a polymer core 40PC coated with a polymer shell 40PS. In other words, a core-shell structure having a non-conductive shell on the outer surface of the polymer core 40PC is applied to the first spacer structure 40 . In some alternative embodiments, the first spacer structure 40 may include a polymer core 40PC that is not coated with any shell structure thereon.

圖6A及圖6B是根據本公開的一些示例性實施例的將間隙物結構放置在線路基底上的各種方法的示意性剖面圖。參照圖6A,在一些實施例中,當第一間隙物結構40包含非導電材料(例如覆蓋導電核40C的聚合物殼40PS)時,則可將第一間隙物結構40透過連接材料CM放置在線路基底200的導電焊盤210上或貼合到導電焊盤210。舉例來說,連接材料CM可為任何黏合劑320、膠水等。以類似的方式,聚合物殼40PS的底部部分40-BS與導電焊盤210接觸,而連接材料CM環繞聚合物殼40PS且接觸導電焊盤210。 6A and 6B are schematic cross-sectional views of various methods of placing a spacer structure on a wiring substrate in accordance with some exemplary embodiments of the present disclosure. 6A , in some embodiments, when the first spacer structure 40 includes a non-conductive material (eg, a polymer shell 40PS covering the conductive core 40C), the first spacer structure 40 may be placed through the connecting material CM on the The conductive pads 210 of the circuit substrate 200 are attached to or attached to the conductive pads 210 . For example, the connecting material CM can be any adhesive 320, glue, or the like. In a similar manner, the bottom portion 40 -BS of the polymer shell 40PS is in contact with the conductive pads 210 , while the connecting material CM surrounds the polymer shell 40PS and contacts the conductive pads 210 .

在一個示例性實施例中,當例如聚合物核40PC或聚合物殼40PS等聚合物材料位於第一間隙物結構40的外表面上時,則可將第一間隙物結構40透過黏合劑320與線路基底200進行接合。舉例來說,在此種實施例中,在線路基底200上形成第一開口OP1及第二開口OP2,並且將第一間隙物結構40放置在第二開口OP2中,且透過黏合劑320將第一間隙物結構40與線路基底 200的導電焊盤210進行接合。在一些實施例中,將焊料膏310設置在第一開口OP1內,且將半導體封裝SM的導電端子118設置在線路基底200的第一開口OP1內。此後,可執行回焊製程以將導電端子118與線路基底200的導電焊盤210進行接合。 In an exemplary embodiment, when a polymer material such as the polymer core 40PC or the polymer shell 40PS is located on the outer surface of the first spacer structure 40 , the first spacer structure 40 can be penetrated through the adhesive 320 to connect with the first spacer structure 40 . The wiring substrate 200 is bonded. For example, in this embodiment, the first opening OP1 and the second opening OP2 are formed on the circuit substrate 200 , the first spacer structure 40 is placed in the second opening OP2 , and the first opening OP2 is formed through the adhesive 320 . A spacer structure 40 and circuit substrate The conductive pads 210 of 200 are bonded. In some embodiments, the solder paste 310 is disposed in the first opening OP1 , and the conductive terminals 118 of the semiconductor package SM are disposed in the first opening OP1 of the wiring substrate 200 . Thereafter, a reflow process may be performed to bond the conductive terminals 118 to the conductive pads 210 of the circuit substrate 200 .

參照圖6B,在一些其他實施例中,當第一間隙物結構40包括非導電材料(例如覆蓋聚合物核40PC的聚合物殼40PS)時,則可將第一間隙物結構40透過連接材料CM放置在線路基底200的介電層上或貼合到線路基底200的介電層。舉例來說,連接材料CM可為任何黏合劑320、膠水等。在一些實施例中,聚合核40PC的底部部分40-BS與線路基底200的介電層接觸,而連接材料CM環繞聚合核40PC且接觸線路基底200。 6B , in some other embodiments, when the first spacer structure 40 includes a non-conductive material (eg, a polymer shell 40PS covering the polymer core 40PC), the first spacer structure 40 can pass through the connecting material CM It is placed on the dielectric layer of the circuit substrate 200 or attached to the dielectric layer of the circuit substrate 200 . For example, the connecting material CM can be any adhesive 320, glue, or the like. In some embodiments, the bottom portion 40 -BS of the polymeric core 40PC is in contact with the dielectric layer of the wiring substrate 200 , while the connecting material CM surrounds the polymeric core 40PC and contacts the wiring substrate 200 .

圖7A到圖7D是根據本公開的一些示例性實施例的製作封裝結構的方法中的各個階段的示意性剖面圖及上視圖。圖7A到圖7D中所示的方法類似於圖2A到圖2F中所示的方法,因此相同的元件符號將用於表示相同或類似的元件,且在本文中將省略其詳細說明。所述實施例之間的不同之處在於進一步提供了第二間隙物結構42。 7A-7D are schematic cross-sectional and top views of various stages in a method of fabricating a package structure according to some exemplary embodiments of the present disclosure. The methods shown in FIGS. 7A to 7D are similar to those shown in FIGS. 2A to 2F , and thus the same reference numerals will be used to denote the same or similar elements, and a detailed description thereof will be omitted herein. The difference between the described embodiments is that a second spacer structure 42 is further provided.

參照圖7A,可執行圖2A到圖2C中闡述的相同方法,以將半導體封裝SM的導電端子118設置在第一開口OP1中,將第一間隙物結構40設置在第二開口OP2中,且將被動元件PDX設置在接觸開口OX中。如圖7A中所示,在一些實施例中,在線路 基底200中進一步形成多個第三開口OP3,且將多個第二間隙物結構42設置在第三開口OP3內。在一些實施例中,透過使用如前文所述的連接材料CM將第一間隙物結構40及第二間隙物結構42貼合到線路基底200的導電焊盤210。在示例性實施例中,第二間隙物結構42的材料及設計可與第一間隙物結構40相同。在一些替代實施例中,第二間隙物結構42的材料及設計可不同於第一間隙物結構40。舉例來說,可將圖3A到圖3C、圖5A及圖5B中所示的間隙物結構的各種設計應用於第二間隙物結構42。 7A, the same method as set forth in FIGS. 2A-2C may be performed to dispose the conductive terminals 118 of the semiconductor package SM in the first openings OP1, the first spacer structures 40 in the second openings OP2, and The passive element PDX is arranged in the contact opening OX. As shown in Figure 7A, in some embodiments, the line A plurality of third openings OP3 are further formed in the substrate 200, and a plurality of second spacer structures 42 are disposed in the third openings OP3. In some embodiments, the first spacer structure 40 and the second spacer structure 42 are attached to the conductive pads 210 of the circuit substrate 200 by using the connection material CM as described above. In an exemplary embodiment, the material and design of the second spacer structure 42 may be the same as the first spacer structure 40 . In some alternative embodiments, the material and design of the second spacer structure 42 may be different from the first spacer structure 40 . For example, various designs of the spacer structures shown in FIGS. 3A-3C , 5A, and 5B may be applied to the second spacer structure 42 .

如圖7B中所示,圖7B是圖7A中所示結構的上視圖,在一些實施例中,可將第二間隙物結構42設置在線路基底200上以環繞第一間隙物結構40。舉例來說,第二間隙物結構42可環繞半導體封裝SM的四個側以平行的方式排列在第一間隙物結構40旁邊。然而,本公開並不僅限於此,且可基於設計要求來調整第二間隙物結構42的排列。舉例來說,在如圖7C中所示的一些替代實施例中,第一間隙物結構40與第二間隙物結構42在線路基底200上環繞半導體封裝SM以鋸齒形(zig-zag)方式排列或交錯排列。舉例來說,第一間隙物結構40及第二間隙物結構42可環繞中介層結構100’。 As shown in FIG. 7B , which is a top view of the structure shown in FIG. 7A , in some embodiments, the second spacer structure 42 may be disposed on the wiring substrate 200 to surround the first spacer structure 40 . For example, the second spacer structure 42 may be arranged beside the first spacer structure 40 in a parallel manner around the four sides of the semiconductor package SM. However, the present disclosure is not limited thereto, and the arrangement of the second spacer structures 42 may be adjusted based on design requirements. For example, in some alternative embodiments as shown in FIG. 7C , the first spacer structure 40 and the second spacer structure 42 are arranged in a zig-zag manner around the semiconductor package SM on the circuit substrate 200 or staggered. For example, the first spacer structure 40 and the second spacer structure 42 may surround the interposer structure 100'.

參照圖7D,在將第一間隙物結構40及第二間隙物結構42設置在線路基底200上之後,將蓋結構520透過黏合材料ADM貼合到線路基底200上。在示例性實施例中,第二間隙物結構42 夾置在蓋結構520與線路基底200之間,且包括與蓋結構520接觸的頂部部分42-TS及與線路基底200接觸的底部部分42-BS。舉例來說,第二間隙物結構42與黏合材料ADM相鄰地設置在線路基底200與蓋結構520的側壁部分520B之間。 Referring to FIG. 7D , after the first spacer structure 40 and the second spacer structure 42 are disposed on the circuit substrate 200 , the cover structure 520 is attached to the circuit substrate 200 through the adhesive material ADM. In the exemplary embodiment, the second spacer structure 42 It is sandwiched between the cover structure 520 and the circuit substrate 200 , and includes a top portion 42 -TS in contact with the cover structure 520 and a bottom portion 42 -BS in contact with the circuit substrate 200 . For example, the second spacer structure 42 is disposed between the circuit substrate 200 and the sidewall portion 520B of the cap structure 520 adjacent to the adhesive material ADM.

如圖7D中進一步所示,黏合材料ADM夾置在蓋結構520與線路基底200之間,且覆蓋及接觸第一間隙物結構40及第二間隙物結構42。此外,在一些實施例中,側壁部分520B的寬度W1對線路基底200與側壁部分520B之間的距離Dx的比率(W1:Dx)仍然保持在10:1到30:1的範圍內。這樣一來,可防止熱介面材料510及黏合材料ADM的分層及不均勻排列,以及這些材料的擠出及滲出的問題。 As further shown in FIG. 7D , the adhesive material ADM is sandwiched between the cover structure 520 and the circuit substrate 200 and covers and contacts the first spacer structure 40 and the second spacer structure 42 . Furthermore, in some embodiments, the ratio (W1:Dx) of the width W1 of the sidewall portion 520B to the distance Dx between the wiring substrate 200 and the sidewall portion 520B still remains in the range of 10:1 to 30:1. In this way, the delamination and uneven arrangement of the thermal interface material 510 and the adhesive material ADM, as well as the extrusion and exudation problems of these materials can be prevented.

舉例來說,在示例性實施例中,當寬度W1對距離Dx的比率(W1:Dx)保持在上述範圍內時,可防止在對蓋結構520進行貼合期間由低施加的力道引起的問題(引起高熱阻的厚的熱介面材料510)或由過度施加的力道引起的問題(黏合材料ADM的擠出及滲出),以及例如熱介面材料510及黏合劑的分層及不均勻排列等其他相關問題。另一方面,當寬度W1對距離Dx的比率(W1:Dx)在上述範圍之外時,存在熱介面材料510及黏合材料ADM具有不均勻排列從而引起滲出及擠出等的風險。 For example, in an exemplary embodiment, when the ratio of the width W1 to the distance Dx (W1:Dx) is maintained within the above-described range, problems caused by low applied force during the fitting of the cover structure 520 can be prevented (thick thermal interface material 510 causing high thermal resistance) or problems caused by excessive applied force (extrusion and bleeding of adhesive material ADM), and others such as delamination and uneven alignment of thermal interface material 510 and adhesive Related questions. On the other hand, when the ratio of the width W1 to the distance Dx (W1:Dx) is outside the above range, there is a risk that the thermal interface material 510 and the adhesive material ADM are unevenly arranged to cause bleeding and extrusion.

在將蓋結構520貼合在線路基底200上之後,將多個導電球250放置在線路基底200的開口OY中,且將所述多個導電 球250電性連接到導電焊盤220。至此,完成了根據本公開的一些示例性實施例的封裝結構PK2。 After the cover structure 520 is attached to the circuit substrate 200, a plurality of conductive balls 250 are placed in the openings OY of the circuit substrate 200, and the plurality of conductive balls 250 are placed in the opening OY of the circuit substrate 200. The balls 250 are electrically connected to the conductive pads 220 . So far, the package structure PK2 according to some exemplary embodiments of the present disclosure is completed.

圖8A到圖8C是根據本公開的一些示例性實施例的各種封裝結構的放大剖面圖。對於包括第一間隙物結構40及第二間隙物結構42的實施例,進一步闡述它們相對於黏合材料ADM的排列。參照圖8A,在一些實施例中,將蓋結構520的側壁部分520B透過黏合材料ADM貼合到線路基底200,由此黏合材料ADM覆蓋且接觸第一間隙物結構40及第二間隙物結構42二者。然而,本公開並不僅限於此。 8A-8C are enlarged cross-sectional views of various package structures according to some example embodiments of the present disclosure. For the embodiment including the first spacer structure 40 and the second spacer structure 42, their arrangement with respect to the adhesive material ADM is further explained. Referring to FIG. 8A , in some embodiments, the sidewall portion 520B of the cover structure 520 is attached to the circuit substrate 200 through the adhesive material ADM, whereby the adhesive material ADM covers and contacts the first spacer structure 40 and the second spacer structure 42 both. However, the present disclosure is not limited thereto.

參照圖8B,在一些實施例中,蓋結構520的側壁部分520B透過黏合材料ADM貼合到線路基底200,但黏合材料ADM與第一間隙物結構40及第二間隙物結構42間隔開。換句話說,黏合材料ADM不接觸第一間隙物結構40及第二間隙物結構42。在此種實施例中,第一間隙物結構40及第二間隙物結構42進一步遠離彼此(相對於圖8A中所示的排列)設置。在某些實施例中,黏合材料ADM位於第一間隙物結構40與第二間隙物結構42之間的空間中,且夾置在線路基底200與蓋結構520的側壁部分520B之間。 8B , in some embodiments, the sidewall portion 520B of the cover structure 520 is adhered to the circuit substrate 200 through the adhesive material ADM, but the adhesive material ADM is spaced apart from the first spacer structure 40 and the second spacer structure 42 . In other words, the adhesive material ADM does not contact the first spacer structure 40 and the second spacer structure 42 . In such an embodiment, the first spacer structure 40 and the second spacer structure 42 are disposed further away from each other (relative to the arrangement shown in Figure 8A). In some embodiments, the adhesive material ADM is located in the space between the first spacer structure 40 and the second spacer structure 42 and is sandwiched between the circuit substrate 200 and the sidewall portion 520B of the cover structure 520 .

參照圖8C,在一些實施例中,蓋結構520的側壁部分520B透過黏合材料ADM貼合到線路基底200,由此黏合材料ADM覆蓋且接觸第一間隙物結構40,並且與第二間隙物結構42間隔開。 然而,本公開並不僅限於此。在一些替代實施例中,黏合材料ADM覆蓋且接觸第二間隙物結構42,並且與第一間隙物結構40間隔開。換句話說,黏合材料ADM可覆蓋所述多個第一間隙物結構40及所述多個第二間隙物結構42中的至少一者,同時與所述多個第一間隙物結構40及所述多個第二間隙物結構42中的另一者間隔開。此種實施例可透過在將蓋結構520貼合到線路基底200期間選擇性地將黏合材料ADM設置在第一間隙物結構40或第二間隙物結構42之上來實現。 Referring to FIG. 8C , in some embodiments, the sidewall portion 520B of the cover structure 520 is adhered to the circuit substrate 200 through the adhesive material ADM, whereby the adhesive material ADM covers and contacts the first spacer structure 40 and is connected with the second spacer structure 42 spaced apart. However, the present disclosure is not limited thereto. In some alternative embodiments, the adhesive material ADM covers and contacts the second spacer structure 42 and is spaced apart from the first spacer structure 40 . In other words, the adhesive material ADM can cover at least one of the plurality of first spacer structures 40 and the plurality of second spacer structures 42 , and simultaneously with the plurality of first spacer structures 40 and all of the plurality of first spacer structures 42 . Another one of the plurality of second spacer structures 42 is spaced apart. Such an embodiment can be achieved by selectively disposing the adhesive material ADM over the first spacer structure 40 or the second spacer structure 42 during the bonding of the cover structure 520 to the circuit substrate 200 .

圖9是根據本公開的一些其他示例性實施例的封裝結構。圖9中所示的封裝結構PK3類似於圖7D中所示的封裝結構PK2,因此相同的元件符號將用於表示相同或類似的元件,且在本文中將省略其詳細說明。所述實施例之間的不同之處在於第二間隙物結構42的設計。在先前的實施例中,第一間隙物結構40及第二間隙物結構42二者在封裝結構中具有球結構設計。然而,本公開並不僅限於此。參照圖9,在一些實施例中,第一間隙物結構40具有球結構而第二間隙物結構42具有柱結構或柱狀結構。在其中第一間隙物結構40與第二間隙物結構42具有不同設計的情況下,它們仍然可具有實質上相同的高度。基於上述實施例,可注意到的是,可適當地調整間隙物結構(40/42)的設計及排列,而只要間隙物結構(40/42)有助於控制線路基底200與蓋結構520之間的高度或距離即可。 9 is a package structure according to some other exemplary embodiments of the present disclosure. The package structure PK3 shown in FIG. 9 is similar to the package structure PK2 shown in FIG. 7D , so the same reference numerals will be used to denote the same or similar elements, and detailed descriptions thereof will be omitted herein. The difference between the embodiments is the design of the second spacer structure 42 . In the previous embodiment, both the first spacer structure 40 and the second spacer structure 42 have a ball structure design in the package structure. However, the present disclosure is not limited thereto. Referring to FIG. 9, in some embodiments, the first spacer structure 40 has a ball structure and the second spacer structure 42 has a column structure or a columnar structure. In cases where the first spacer structure 40 and the second spacer structure 42 have different designs, they may still have substantially the same height. Based on the above embodiments, it can be noted that the design and arrangement of the spacer structures ( 40 / 42 ) can be appropriately adjusted, as long as the spacer structures ( 40 / 42 ) help to control the relationship between the circuit substrate 200 and the cover structure 520 height or distance between them.

圖10A及圖10B是根據本公開的一些其他示例性實施例的製作封裝結構的方法中的各個階段的示意性剖面圖。圖10A及圖10B中所示的方法類似於圖2A到圖2F中所示的方法,因此相同的元件符號將用於表示相同或類似的元件,且在本文中將省略其詳細說明。所述實施例之間的不同之處在於將第一間隙物結構40貼合到線路基底200的方法。 10A and 10B are schematic cross-sectional views of various stages in a method of fabricating a package structure according to some other exemplary embodiments of the present disclosure. The methods shown in FIGS. 10A and 10B are similar to those shown in FIGS. 2A to 2F , and thus the same reference numerals will be used to denote the same or similar elements, and detailed descriptions thereof will be omitted herein. The difference between the embodiments is the method of attaching the first spacer structure 40 to the circuit substrate 200 .

在先前的實施例中,可將第一間隙物結構40透過連接材料CM(例如焊料膏310或黏合劑320,以及利用或不利用回焊製程)貼合到線路基底200(或者在介電層上或者在導電焊盤210上)。然而,本公開並不僅限於此,且可省略連接材料CM。舉例來說,參照圖10A,在一些實施例中,首先透過將第一間隙物結構40與黏合材料ADM進行混合來形成混合物MX。在某些實施例中,將混合物MX分配到線路基底200上。舉例來說,可將混合物MX分配在線路基底200上,使得第一間隙物結構40位於線路基底200的第二開口OP2內,同時黏合材料ADM覆蓋第一間隙物結構40。在一些替代實施例中,可將混合物MX分配在線路基底200上,使得第一間隙物結構40設置在線路基底200的介電層上。 In the previous embodiment, the first spacer structure 40 can be attached to the circuit substrate 200 (or on the dielectric layer) through the connecting material CM (eg, the solder paste 310 or the adhesive 320 , and with or without a reflow process). or on the conductive pad 210). However, the present disclosure is not limited thereto, and the connection material CM may be omitted. For example, referring to FIG. 10A, in some embodiments, the mixture MX is first formed by mixing the first spacer structure 40 with the adhesive material ADM. In certain embodiments, the mixture MX is dispensed onto the wiring substrate 200 . For example, the mixture MX may be dispensed on the wiring substrate 200 such that the first spacer structure 40 is located in the second opening OP2 of the wiring substrate 200 while the adhesive material ADM covers the first spacer structure 40 . In some alternative embodiments, the mixture MX may be dispensed on the wiring substrate 200 such that the first spacer structure 40 is disposed on the dielectric layer of the wiring substrate 200 .

參照圖10B,在將混合物MX分配在線路基底200上之後,可將蓋結構520透過黏合材料ADM貼合到線路基底200,由此將第一間隙物結構40夾置在蓋結構520與線路基底200之間。 至此,可完成根據本公開的一些其他示例性實施例的封裝結構PK1’。應注意的是,在其中存在第二間隙物結構42的其他實施例中,第二間隙物結構42也可透過形成混合物而設置在線路基底200上,且可將所述混合物分配在線路基底200上用於對蓋結構520進行貼合。 Referring to FIG. 10B , after the mixture MX is dispensed on the circuit substrate 200 , the cover structure 520 may be attached to the circuit substrate 200 through the adhesive material ADM, thereby sandwiching the first spacer structure 40 between the cover structure 520 and the circuit substrate between 200. So far, the package structure PK1' according to some other exemplary embodiments of the present disclosure can be completed. It should be noted that in other embodiments in which the second spacer structure 42 is present, the second spacer structure 42 may also be disposed on the wiring substrate 200 by forming a mixture, and the mixture may be dispensed on the wiring substrate 200 The upper part is used to fit the cover structure 520 .

圖11是根據本公開的一些其他示例性實施例的封裝結構。圖11中所示的封裝結構PK4類似於圖2F中所示的封裝結構PK1,因此相同的元件符號將用於表示相同或類似的元件,且在本文中將省略其詳細說明。所述實施例之間的不同之處在於第一間隙物結構40及黏合材料ADM的設計及排列。 11 is a package structure according to some other exemplary embodiments of the present disclosure. The package structure PK4 shown in FIG. 11 is similar to the package structure PK1 shown in FIG. 2F , so the same reference numerals will be used to denote the same or similar elements, and a detailed description thereof will be omitted herein. The difference between the embodiments is the design and arrangement of the first spacer structure 40 and the adhesive material ADM.

如圖11中所示,在一些實施例中,黏合材料可被形成為第一間隙物結構40的部分。舉例來說,在示例性實施例中,第一間隙物結構40可包括載體CX、位於載體CX的一個表面上的黏合材料ADM1以及位於載體CX的另一表面上的另一黏合材料ADM2。舉例來說,第一間隙物結構40可為雙面膠間隙物。在某些實施例中,黏合材料ADM1貼合到蓋結構520,而黏合材料ADM2貼合到線路基底200。類似於上述實施例,蓋結構520可透過黏合材料(ADM1及ADM2)貼合到線路基底200,而第一間隙物結構40(包括ADM1、CX及ADM2)夾置在蓋結構520與線路基底200之間。類似地,在示例性實施例中,側壁部分520B的寬度W1對線路基底200與側壁部分520B之間的距離Dx的比率 (W1:Dx)仍然保持在10:1到30:1的範圍內。這樣一來,可防止熱介面材料510及黏合材料(ADM1/ADM2)的分層及不均勻排列,以及這些材料的擠出及滲出的問題。 As shown in FIG. 11 , in some embodiments, an adhesive material may be formed as part of the first spacer structure 40 . For example, in an exemplary embodiment, the first spacer structure 40 may include a carrier CX, an adhesive material ADM1 on one surface of the carrier CX, and another adhesive material ADM2 on the other surface of the carrier CX. For example, the first spacer structure 40 may be a double-sided tape spacer. In some embodiments, the adhesive material ADM1 is attached to the cover structure 520 , and the adhesive material ADM2 is attached to the circuit substrate 200 . Similar to the above-mentioned embodiment, the cover structure 520 can be attached to the circuit substrate 200 through the adhesive material (ADM1 and ADM2), and the first spacer structure 40 (including ADM1, CX and ADM2) is sandwiched between the cover structure 520 and the circuit substrate 200 between. Similarly, in the exemplary embodiment, the ratio of the width W1 of the sidewall portion 520B to the distance Dx between the wiring substrate 200 and the sidewall portion 520B (W1:Dx) still remains in the range of 10:1 to 30:1. In this way, the delamination and uneven arrangement of the thermal interface material 510 and the adhesive material (ADM1/ADM2), as well as the extrusion and exudation problems of these materials can be prevented.

舉例來說,在示例性實施例中,當寬度W1對距離Dx的比率(W1:Dx)保持在上述範圍內時,可防止在對蓋結構520進行貼合期間由低施加的力道引起的問題(引起高熱阻的厚的熱介面材料510)或由過度施加的力道引起的問題(熱介面材料510的擠出及滲出),以及例如熱介面材料510及黏合劑的分層及不均勻排列等其他相關問題。另一方面,當寬度W1對距離Dx的比率(W1:Dx)在上述範圍之外時,存在熱介面材料510及黏合材料具有不均勻排列從而引起滲出及擠出等的風險。 For example, in an exemplary embodiment, when the ratio of the width W1 to the distance Dx (W1:Dx) is maintained within the above-described range, problems caused by low applied force during the fitting of the cover structure 520 can be prevented (thick thermal interface material 510 causing high thermal resistance) or problems caused by excessively applied force (extrusion and bleed of thermal interface material 510), and for example delamination and uneven alignment of thermal interface material 510 and adhesive, etc. other related issues. On the other hand, when the ratio of the width W1 to the distance Dx (W1:Dx) is outside the above range, there is a risk that the thermal interface material 510 and the adhesive material are unevenly arranged to cause bleeding and extrusion.

至此,完成了根據本公開的一些示例性實施例的封裝結構PK4。 So far, the package structure PK4 according to some exemplary embodiments of the present disclosure is completed.

圖12是根據本公開的一些比較實施例的封裝結構。圖12中所示的比較封裝結構PK0類似於圖2F中所示的封裝結構PK1,因此相同的元件符號將用於表示相同或類似的元件,且在本文中將省略其詳細說明。所述實施例之間的不同之處在於從封裝結構PK0省略了第一間隙物結構40。 12 is a package structure according to some comparative embodiments of the present disclosure. The comparative package structure PK0 shown in FIG. 12 is similar to the package structure PK1 shown in FIG. 2F , so the same reference numerals will be used to denote the same or similar elements, and a detailed description thereof will be omitted herein. The difference between the embodiments is that the first spacer structure 40 is omitted from the package structure PK0.

參照圖12,在一些比較實施例中,由於在蓋結構520與線路基底200之間不存在間隙物結構,因此難以控制在將蓋結構520貼合到線路基底200上的期間施加的力道。這樣一來,封裝結 構PK0可能遭受許多問題,例如熱介面材料510及黏合材料ADM的分層及不均勻排列。舉例來說,在一些實施例中,當在對蓋結構520進行貼合期間施加過大的力道時,熱介面材料510可能被擠出且覆蓋半導體封裝SM的側壁,從而引起可靠性問題。類似地,黏合材料ADM可能傾向於朝相鄰的被動元件PDX滲出且潛在地損壞被動元件PDX。 Referring to FIG. 12 , in some comparative embodiments, since there is no spacer structure between the cover structure 520 and the circuit substrate 200 , it is difficult to control the force applied during attaching the cover structure 520 to the circuit substrate 200 . In this way, the package junction Structure PK0 may suffer from many problems, such as delamination and non-uniform alignment of thermal interface material 510 and adhesive material ADM. For example, in some embodiments, when excessive force is applied during bonding of the lid structure 520, the thermal interface material 510 may be extruded and cover the sidewalls of the semiconductor package SM, causing reliability issues. Similarly, the adhesive material ADM may tend to bleed toward the adjacent passive element PDX and potentially damage the passive element PDX.

圖13是根據本公開的一些其他示例性實施例的封裝結構。圖13中所示的封裝結構PK5類似於圖2F中所示的封裝結構PK1,因此相同的元件符號將用於表示相同或類似的元件,且在本文中將省略其詳細說明。所述實施例之間的不同之處在於半導體封裝的設計。如圖2F中所示,半導體封裝SM涉及晶圓上晶片(chip-on-wafer,CoW)封裝。然而,本公開並不僅限於此。舉例來說,參照圖13,提供半導體封裝SM2來代替圖2F中所示的半導體封裝SM。 13 is a package structure according to some other exemplary embodiments of the present disclosure. The package structure PK5 shown in FIG. 13 is similar to the package structure PK1 shown in FIG. 2F , and thus the same reference numerals will be used to denote the same or similar elements, and a detailed description thereof will be omitted herein. The difference between the embodiments is the design of the semiconductor package. As shown in FIG. 2F, the semiconductor package SM involves a chip-on-wafer (CoW) package. However, the present disclosure is not limited thereto. For example, referring to FIG. 13, a semiconductor package SM2 is provided in place of the semiconductor package SM shown in FIG. 2F.

在示例性實施例中,半導體封裝SM2包括半導體晶粒602、介電層604、絕緣密封體606、重佈線層608、導電焊盤610及導電球612。半導體晶粒602位於介電層604上。絕緣密封體606位於介電層604上且環繞半導體晶粒602。在一些實施例中,半導體晶粒602包括半導體基底602A、多個導電焊盤602B、鈍化層602C、後鈍化層602D、多個導電柱或導通孔602E以及保護層602F。如圖13中所示,所述多個導電焊盤602B設置在半導體基 底602A上。鈍化層602C形成在半導體基底602A之上且具有局部地暴露出半導體基底602A上的導電焊盤602B的開口。半導體基底602A可為塊狀矽基底或絕緣體上矽(SOI)基底,且還包括形成在其中的主動元件(例如,電晶體等)及可選的被動元件(例如,電阻器、電容器、電感器等)。導電焊盤602B可為鋁焊盤、銅焊盤、或其他合適的金屬焊盤。鈍化層602C可為氧化矽層、氮化矽層、氮氧化矽層、或由任何合適的介電材料形成的介電層。 In an exemplary embodiment, semiconductor package SM2 includes semiconductor die 602 , dielectric layer 604 , insulating encapsulant 606 , redistribution layer 608 , conductive pads 610 , and conductive balls 612 . The semiconductor die 602 is located on the dielectric layer 604 . An insulating encapsulant 606 is located on the dielectric layer 604 and surrounds the semiconductor die 602 . In some embodiments, the semiconductor die 602 includes a semiconductor substrate 602A, a plurality of conductive pads 602B, a passivation layer 602C, a back passivation layer 602D, a plurality of conductive pillars or vias 602E, and a protective layer 602F. As shown in FIG. 13, the plurality of conductive pads 602B are disposed on the semiconductor substrate on the bottom 602A. The passivation layer 602C is formed over the semiconductor substrate 602A and has openings that partially expose the conductive pads 602B on the semiconductor substrate 602A. The semiconductor substrate 602A may be a bulk silicon substrate or a silicon-on-insulator (SOI) substrate, and also includes active elements (eg, transistors, etc.) and optional passive elements (eg, resistors, capacitors, inductors) formed therein Wait). The conductive pads 602B may be aluminum pads, copper pads, or other suitable metal pads. The passivation layer 602C may be a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, or a dielectric layer formed of any suitable dielectric material.

此外,在一些實施例中,後鈍化層602D可選地形成在鈍化層602C之上。後鈍化層602D覆蓋鈍化層602C,且具有多個接觸開口。後鈍化層602D的接觸開口局部地暴露出導電焊盤602B。後鈍化層602D可為苯並環丁烯(benzocyclobutene,BCB)層、聚醯亞胺層、聚苯並噁唑(polybenzoxazole,PBO)層、或由其他合適的聚合物形成的介電層。在一些實施例中,透過鍍覆在導電焊盤602B上形成導電柱或導通孔602E。在一些實施例中,在覆蓋導電柱或導通孔602E的後鈍化層602D上形成保護層602F,以保護導電柱或導通孔602E。儘管本文中僅示出一個半導體晶粒602,然而,應注意的是,本公開並不僅限於此,且半導體封裝SM2中的半導體晶粒602的數目可多於一個。 Additionally, in some embodiments, a rear passivation layer 602D is optionally formed over the passivation layer 602C. The rear passivation layer 602D covers the passivation layer 602C and has a plurality of contact openings. The contact openings of the back passivation layer 602D partially expose the conductive pads 602B. The rear passivation layer 602D may be a benzocyclobutene (BCB) layer, a polyimide layer, a polybenzoxazole (PBO) layer, or a dielectric layer formed of other suitable polymers. In some embodiments, conductive posts or vias 602E are formed on conductive pads 602B by plating. In some embodiments, a protective layer 602F is formed on the back passivation layer 602D covering the conductive pillars or vias 602E to protect the conductive pillars or vias 602E. Although only one semiconductor die 602 is shown herein, it should be noted that the present disclosure is not so limited, and the number of semiconductor die 602 in semiconductor package SM2 may be more than one.

此外,如圖13中所示,重佈線層608形成在絕緣密封體606上且電性連接到半導體晶粒602。在一些實施例中,形成重佈線層608包括以交替方式依序地形成一個或多個介電層608B及一 個或多個金屬化層608A。在某些實施例中,金屬化層608A夾置在介電層608B之間。儘管在本文中僅示出三層金屬化層608A及四層介電層608B,然而,本公開的範圍不受本公開的實施例限制。在其他實施例中,可基於產品要求來調整金屬化層608A及介電層608B的數目。在一些實施例中,金屬化層608A電性連接到半導體晶粒602的導電柱602E。 Furthermore, as shown in FIG. 13 , a redistribution layer 608 is formed on the insulating encapsulant 606 and is electrically connected to the semiconductor die 602 . In some embodiments, forming the redistribution layer 608 includes sequentially forming one or more dielectric layers 608B and a one or more metallization layers 608A. In certain embodiments, metallization layers 608A are sandwiched between dielectric layers 608B. Although only three metallization layers 608A and four dielectric layers 608B are shown herein, the scope of the present disclosure is not limited by the embodiments of the present disclosure. In other embodiments, the number of metallization layers 608A and dielectric layers 608B may be adjusted based on product requirements. In some embodiments, the metallization layer 608A is electrically connected to the conductive pillars 602E of the semiconductor die 602 .

在一些實施例中,在金屬化層608A的最頂層的被暴露出的頂表面上設置有用於與導電球電性連接的多個導電焊盤610。在某些實施例中,導電焊盤610是例如用於球安裝的球下金屬(UBM)圖案。如圖13中所示,導電焊盤610形成在重佈線層608上且電性連接到重佈線層608。在一些實施例中,導電焊盤610的材料可包括銅、鎳、鈦、鎢、或其合金等,且可例如透過電鍍製程來形成導電焊盤610。導電焊盤610的數目在本公開中不受限制,且可基於設計佈局來選擇。在一些替代實施例中,可省略導電焊盤610。換句話說,可將在後續步驟中形成的導電球612直接設置在重佈線層608上。 In some embodiments, a plurality of conductive pads 610 for electrical connection with conductive balls are provided on the exposed top surface of the topmost layer of metallization layer 608A. In some embodiments, the conductive pads 610 are, for example, a metal under ball (UBM) pattern for ball mounting. As shown in FIG. 13 , conductive pads 610 are formed on the redistribution layer 608 and are electrically connected to the redistribution layer 608 . In some embodiments, the material of the conductive pad 610 may include copper, nickel, titanium, tungsten, or alloys thereof, etc., and the conductive pad 610 may be formed by, for example, an electroplating process. The number of conductive pads 610 is not limited in the present disclosure and may be selected based on the design layout. In some alternative embodiments, conductive pad 610 may be omitted. In other words, the conductive balls 612 formed in subsequent steps can be directly disposed on the redistribution layer 608 .

如圖13中所示,在導電焊盤610上及重佈線層608之上設置有多個導電球612。在一些實施例中,可透過植球製程或回焊製程在導電焊盤610上設置導電球612。在一些實施例中,導電球612是例如焊料球或球柵陣列(BGA)球。在一些實施例中,導電球612透過導電焊盤610連接到重佈線層608。在某些實施例中, 導電球612中的一些導電球612可透過重佈線層608電性連接到半導體晶粒602。導電球612的數目並不僅限於本公開,且可基於導電焊盤610的數目來指定及選擇。 As shown in FIG. 13 , a plurality of conductive balls 612 are disposed on the conductive pads 610 and above the redistribution layer 608 . In some embodiments, the conductive balls 612 may be disposed on the conductive pads 610 through a ball-mounting process or a reflow process. In some embodiments, the conductive balls 612 are solder balls or ball grid array (BGA) balls, for example. In some embodiments, conductive balls 612 are connected to redistribution layer 608 through conductive pads 610 . In certain embodiments, Some of the conductive balls 612 may be electrically connected to the semiconductor die 602 through the redistribution layer 608 . The number of conductive balls 612 is not limited to the present disclosure, and may be specified and selected based on the number of conductive pads 610 .

在示例性實施例中,半導體封裝SM2透過覆晶接合設置在線路基底200上。在一些實施例中,半導體封裝SM2透過導電球612電性連接到線路基底200的導電焊盤210。在某些實施例中,導電球612進一步受到底部填充結構UX的保護。類似於上述實施例,由於第一間隙物結構40位於蓋結構520與線路基底200之間,因此可防止黏合材料ADM的分層及不均勻排列,以及黏合材料ADM的擠出及滲出的問題。 In an exemplary embodiment, the semiconductor package SM2 is disposed on the wiring substrate 200 through flip-chip bonding. In some embodiments, the semiconductor package SM2 is electrically connected to the conductive pads 210 of the circuit substrate 200 through the conductive balls 612 . In some embodiments, the conductive balls 612 are further protected by an underfill structure UX. Similar to the above-mentioned embodiment, since the first spacer structure 40 is located between the cover structure 520 and the circuit substrate 200 , the problems of delamination and uneven arrangement of the adhesive material ADM, and extrusion and exudation of the adhesive material ADM can be prevented.

在上述實施例中,封裝結構包括夾置在蓋結構與線路基底之間的多個第一間隙物結構。這樣一來,當將蓋結構貼合到線路基底時,可適當地控制施加的力道。舉例來說,可防止在對蓋結構進行貼合期間由低施加的力道引起的問題(引起高熱阻的厚的熱介面材料)或過度施加的力道引起的問題(材料的擠出及滲出),以及例如熱介面材料及黏合劑的分層及不均勻排列等其他相關問題。總體而言,可獲得具有更好可靠性的封裝結構。 In the above embodiments, the package structure includes a plurality of first spacer structures sandwiched between the cover structure and the circuit substrate. In this way, the force applied when attaching the cover structure to the circuit substrate can be appropriately controlled. For example, problems caused by low applied force (thick thermal interface material causing high thermal resistance) or excessive applied force (extrusion and bleeding of material) during lamination of the lid structure can be prevented, And other related issues such as delamination and uneven arrangement of thermal interface materials and adhesives. Overall, a package structure with better reliability can be obtained.

根據本公開的一些實施例,一種封裝結構包括線路基底、半導體封裝、蓋結構以及多個第一間隙物結構。所述半導體封裝設置在所述線路基底上且電性連接到所述線路基底。所述蓋結構設置在所述線路基底上,覆蓋所述半導體封裝,其中所述蓋 結構透過黏合材料貼合到所述線路基底。所述多個第一間隙物結構環繞所述半導體封裝,其中所述第一間隙物結構夾置在所述蓋結構與所述線路基底之間,且包括與所述蓋結構接觸的頂部部分及與所述線路基底接觸的底部部分。 According to some embodiments of the present disclosure, a package structure includes a wiring substrate, a semiconductor package, a lid structure, and a plurality of first spacer structures. The semiconductor package is disposed on the circuit substrate and is electrically connected to the circuit substrate. The cover structure is disposed on the circuit substrate and covers the semiconductor package, wherein the cover The structure is attached to the circuit substrate through an adhesive material. The plurality of first spacer structures surround the semiconductor package, wherein the first spacer structures are sandwiched between the cover structure and the wiring substrate, and include a top portion in contact with the cover structure and the bottom portion in contact with the circuit substrate.

在一些實施例中,所述黏合材料覆蓋且接觸所述多個第一間隙物結構及所述多個第二間隙物結構。在一些實施例中,所述黏合材料覆蓋所述多個第一間隙物結構或所述多個第二間隙物結構中的一者,且與所述多個第一間隙物結構或所述多個第二間隙物結構中的另一者間隔開。在一些實施例中,所述多個第一間隙物結構中的每一者是球結構、核-殼結構或柱結構。 In some embodiments, the adhesive material covers and contacts the plurality of first spacer structures and the plurality of second spacer structures. In some embodiments, the adhesive material covers one of the plurality of first spacer structures or the plurality of second spacer structures, and is associated with the plurality of first spacer structures or the plurality of spacer structures The other of the second spacer structures is spaced apart. In some embodiments, each of the plurality of first spacer structures is a sphere structure, a core-shell structure, or a pillar structure.

根據本公開的一些其他實施例,一種封裝結構包括線路基底、中介層結構、多個半導體晶粒、蓋結構、熱介面材料、以及多個第一間隙物結構。所述中介層結構設置在所述線路基底上且電性連接到所述線路基底。所述多個半導體晶粒設置在所述中介層結構上且電性連接到所述中介層結構。所述蓋結構設置在所述線路基底上,其中所述蓋結構包括蓋體部分及側壁部分,所述蓋體部分位於所述多個半導體晶粒之上,所述側壁部分與所述蓋體部分進行接合且環繞所述多個半導體晶粒及所述中介層結構,且所述側壁部分透過黏合材料貼合到所述線路基底。所述熱介面材料設置在所述多個半導體晶粒與所述蓋結構的所述蓋體部分之間。所述多個第一間隙物結構與所述黏合材料相鄰地設置在所述 線路基底與所述蓋結構的所述側壁部分之間。 According to some other embodiments of the present disclosure, a package structure includes a wiring substrate, an interposer structure, a plurality of semiconductor dies, a lid structure, a thermal interface material, and a plurality of first spacer structures. The interposer structure is disposed on the circuit substrate and is electrically connected to the circuit substrate. The plurality of semiconductor dies are disposed on the interposer structure and are electrically connected to the interposer structure. The cover structure is disposed on the circuit substrate, wherein the cover structure includes a cover body part and a side wall part, the cover body part is located on the plurality of semiconductor die, the side wall part and the cover body A portion is bonded and surrounds the plurality of semiconductor dies and the interposer structure, and the sidewall portion is attached to the circuit substrate through an adhesive material. The thermal interface material is disposed between the plurality of semiconductor dies and the cover portion of the cover structure. The plurality of first spacer structures are disposed adjacent to the adhesive material on the between the circuit substrate and the sidewall portion of the cover structure.

在一些實施例中,所述線路基底包括多個開口,所述多個開口暴露出所述線路基底的導電焊盤,且所述多個第一間隙物結構設置在所述多個開口內且連接到所述導電焊盤。在一些實施例中,所述多個第一間隙物結構貼合在所述線路基底的介電層上。在一些實施例中,所述黏合材料位於所述多個第一間隙物結構與所述多個第二間隙物結構之間,且與所述多個第一間隙物結構及所述多個第二間隙物結構間隔開。在一些實施例中,所述黏合材料覆蓋所述多個第一間隙物結構及所述多個第二間隙物結構中的至少一者。 In some embodiments, the wiring substrate includes a plurality of openings exposing conductive pads of the wiring substrate, and the plurality of first spacer structures are disposed within the plurality of openings and connected to the conductive pads. In some embodiments, the plurality of first spacer structures are attached to the dielectric layer of the circuit substrate. In some embodiments, the adhesive material is located between the plurality of first spacer structures and the plurality of second spacer structures, and is connected to the plurality of first spacer structures and the plurality of second spacer structures The two spacer structures are spaced apart. In some embodiments, the adhesive material covers at least one of the plurality of first spacer structures and the plurality of second spacer structures.

根據本公開的再一實施例,闡述一種製作封裝結構的方法。所述方法包括以下步驟。提供線路基底。將多個第一間隙物結構放置在所述線路基底上,其中所述多個第一間隙物結構包括頂部部分及底部部分,且所述底部部分與所述線路基底接觸。將半導體封裝設置到所述線路基底上的被所述第一間隙物結構環繞的區域內。將蓋結構透過黏合材料貼合到所述線路基底上,其中所述蓋結構環繞所述半導體封裝,所述多個第一間隙物結構夾置在所述蓋結構與所述線路基底之間,且所述蓋結構與所述多個第一間隙物結構的所述頂部部分接觸。 According to yet another embodiment of the present disclosure, a method of fabricating a package structure is described. The method includes the following steps. Provide a circuit base. A plurality of first spacer structures are placed on the wiring substrate, wherein the plurality of first spacer structures include a top portion and a bottom portion, and the bottom portion is in contact with the wiring substrate. A semiconductor package is disposed on the wiring substrate in an area surrounded by the first spacer structure. attaching a cover structure to the circuit substrate through an adhesive material, wherein the cover structure surrounds the semiconductor package, and the plurality of first spacer structures are sandwiched between the cover structure and the circuit substrate, And the cover structure is in contact with the top portions of the plurality of first spacer structures.

在一些實施例中,所述的方法,還包括:所述線路基底上形成多個第一開口及多個第二開口;將所述多個第一間隙物結 構放置在所述線路基底的所述多個第二開口內,且使用黏合劑將所述多個第一間隙物結構與所述線路基底進行接合;將焊料膏設置在所述多個第一開口內;以及透過將所述半導體封裝的多個導電端子設置在所述線路基底的所述多個第一開口內以將所述半導體封裝設置到所述線路基底上,並執行回焊製程以將所述多個導電端子與所述線路基底進行接合。在一些實施例中,將所述多個第一間隙物結構放置在所述線路基底上包括:透過將所述多個第一間隙物結構與所述黏合材料進行混合來形成混合物並將所述混合物分配在所述線路基底上;透過將所述蓋結構按壓到所述混合物上以使所述蓋結構透過所述黏合材料貼合到所述線路基底以將所述蓋結構貼合到所述線路基底上,且其中所述多個第一間隙物結構夾置在所述蓋結構與所述線路基底之間。在一些實施例中,所述的方法,還包括:將多個第二間隙物結構放置在所述線路基底上使其環繞所述多個第一間隙物結構;以及將所述蓋結構透過所述黏合材料貼合到所述線路基底上,使得所述多個第二間隙物結構夾置在所述蓋結構與所述線路基底之間。 In some embodiments, the method further includes: forming a plurality of first openings and a plurality of second openings on the circuit substrate; connecting the plurality of first spacers disposing the plurality of first spacer structures in the plurality of second openings of the circuit substrate, and bonding the plurality of first spacer structures with the circuit substrate using an adhesive; disposing solder paste on the plurality of first spacer structures in the opening; and disposing the semiconductor package on the circuit substrate by disposing a plurality of conductive terminals of the semiconductor package in the first openings of the circuit substrate, and performing a reflow process to The plurality of conductive terminals are bonded to the circuit substrate. In some embodiments, placing the plurality of first spacer structures on the wiring substrate includes forming a mixture by mixing the plurality of first spacer structures with the adhesive material and forming the mixture and the The mixture is dispensed on the circuit substrate; the lid structure is attached to the circuit substrate by pressing the lid structure onto the mixture to attach the lid structure to the circuit substrate through the adhesive material on a circuit substrate, and wherein the plurality of first spacer structures are sandwiched between the cover structure and the circuit substrate. In some embodiments, the method further includes: placing a plurality of second spacer structures on the circuit substrate to surround the plurality of first spacer structures; and passing the cover structure through the all The adhesive material is attached to the circuit substrate, so that the plurality of second spacer structures are sandwiched between the cover structure and the circuit substrate.

以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本公開的各個方面。所屬領域中的技術人員應理解,他們可容易地使用本公開作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認 識到,這些等效構造並不背離本公開的精神及範圍,而且他們可在不背離本公開的精神及範圍的條件下在本文中作出各種改變、代替及變更。 The features of several embodiments have been summarized above so that those skilled in the art may better understand the various aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or implementing the embodiments described herein example of the same advantages. Those skilled in the art should also recognize It is realized that these equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they can make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.

40:第一間隙物結構/間隙物結構40: First spacer structure/spacer structure

40-BS:底部部分40-BS: Bottom Section

40-TS:頂部部分40-TS: Top Section

100’:中介層結構100’: Interposer Structure

102:核心部分102: Core Parts

104:穿孔104: Perforation

106、210、220:導電焊盤106, 210, 220: Conductive pads

110:電性連接器110: Electrical connector

114:絕緣密封體114: Insulation seal

116b:金屬化圖案116b: Metallization Pattern

200:線路基底200: circuit substrate

230:金屬化層230: metallization layer

310:焊料膏310: Solder Paste

510:熱介面材料510: Thermal Interface Materials

520:蓋結構520: Cover Structure

520A:蓋體部分520A: Cover part

520B:側壁部分520B: Sidewall Section

ADM:黏合材料ADM: Adhesive Material

D1:半導體晶粒D1: Semiconductor Die

D2:半導體晶粒/晶粒D2: Semiconductor die/die

Dx:距離Dx: distance

PDX:被動元件PDX: Passive Components

PK1:封裝結構PK1: Package structure

SM:半導體封裝/半導體封裝結構SM: Semiconductor Package/Semiconductor Package Structure

UX:底部填充結構UX: Underfill structure

W1:寬度W1: width

Claims (10)

一種封裝結構,包括:線路基底;半導體封裝,設置在所述線路基底上且電性連接到所述線路基底;蓋結構,設置在所述線路基底上,覆蓋所述半導體封裝,其中所述蓋結構透過黏合材料貼合到所述線路基底;以及多個第一間隙物結構,環繞所述半導體封裝,其中所述多個第一間隙物結構夾置在所述蓋結構與所述線路基底之間,且包括與所述蓋結構接觸的頂部部分及與所述線路基底接觸的底部部分。 A package structure, comprising: a circuit substrate; a semiconductor package, disposed on the circuit substrate and electrically connected to the circuit substrate; a cover structure, disposed on the circuit substrate and covering the semiconductor package, wherein the cover The structure is attached to the circuit substrate through an adhesive material; and a plurality of first spacer structures surround the semiconductor package, wherein the plurality of first spacer structures are sandwiched between the cover structure and the circuit substrate and includes a top portion in contact with the cover structure and a bottom portion in contact with the circuit substrate. 如請求項1所述的封裝結構,其中所述線路基底包括多個開口,所述多個開口暴露出所述線路基底的導電焊盤,且所述多個第一間隙物結構設置在所述多個開口內且連接到所述導電焊盤。 The package structure of claim 1, wherein the circuit substrate includes a plurality of openings, the plurality of openings expose conductive pads of the circuit substrate, and the plurality of first spacer structures are disposed on the circuit substrate A plurality of openings are within and connected to the conductive pads. 如請求項1所述的封裝結構,更包括環繞所述多個第一間隙物結構的多個第二間隙物結構,其中所述多個第二間隙物結構夾置在所述蓋結構與所述線路基底之間,且包括與所述蓋結構接觸的頂部部分及與所述線路基底接觸的底部部分。 The package structure of claim 1, further comprising a plurality of second spacer structures surrounding the plurality of first spacer structures, wherein the plurality of second spacer structures are sandwiched between the cover structure and the between the circuit substrates, and includes a top portion in contact with the cover structure and a bottom portion in contact with the circuit substrate. 如請求項3所述的封裝結構,其中所述黏合材料位於所述多個第一間隙物結構與所述多個第二間隙物結構之間,且與所述多個第一間隙物結構及所述多個第二間隙物結構間隔開。 The package structure of claim 3, wherein the adhesive material is located between the plurality of first spacer structures and the plurality of second spacer structures, and is connected to the plurality of first spacer structures and the plurality of second spacer structures. The plurality of second spacer structures are spaced apart. 一種封裝結構,包括: 線路基底;中介層結構,設置在所述線路基底上且電性連接到所述線路基底;多個半導體晶粒,設置在所述中介層結構上且電性連接到所述中介層結構;蓋結構,設置在所述線路基底上,其中所述蓋結構包括蓋體部分及側壁部分,所述蓋體部分位於所述多個半導體晶粒之上,所述側壁部分與所述蓋體部分進行接合且環繞所述多個半導體晶粒及所述中介層結構,且所述側壁部分透過黏合材料貼合到所述線路基底;熱介面材料,設置在所述多個半導體晶粒與所述蓋結構的所述蓋體部分之間;以及多個第一間隙物結構,與所述黏合材料相鄰地設置,且位於所述線路基底與所述蓋結構的所述側壁部分之間,其中所述多個第一間隙物結構與所述線路基底以及所述蓋結構的所述側壁部分直接接觸。 A package structure including: a circuit substrate; an interposer structure disposed on the circuit substrate and electrically connected to the circuit substrate; a plurality of semiconductor dies disposed on the interposer structure and electrically connected to the interposer structure; a cover The structure is arranged on the circuit substrate, wherein the cover structure includes a cover body part and a side wall part, the cover body part is located on the plurality of semiconductor die, and the side wall part is connected with the cover body part. bonding and surrounding the plurality of semiconductor dies and the interposer structure, and the sidewall portion is attached to the circuit substrate through an adhesive material; a thermal interface material is disposed on the plurality of semiconductor dies and the cover between the cover parts of the cover structure; and a plurality of first spacer structures disposed adjacent to the adhesive material and located between the circuit substrate and the sidewall parts of the cover structure, wherein the The plurality of first spacer structures are in direct contact with the circuit substrate and the sidewall portion of the cover structure. 如請求項5所述的封裝結構,其中所述側壁部分的寬度W1對所述線路基底與所述側壁部分之間的距離Dx的比率W1:Dx,處於10:1到30:1的範圍內。 The package structure of claim 5, wherein a ratio W1:Dx of the width W1 of the sidewall portion to the distance Dx between the circuit substrate and the sidewall portion is in the range of 10:1 to 30:1 . 如請求項5所述的封裝結構,還包括環繞所述多個第一間隙物結構的多個第二間隙物結構,其中所述多個第二間隙物 結構與所述黏合材料相鄰地設置,且位於所述線路基底與所述蓋結構的所述側壁部分之間。 The package structure of claim 5, further comprising a plurality of second spacer structures surrounding the plurality of first spacer structures, wherein the plurality of second spacer structures A structure is disposed adjacent to the adhesive material and between the circuit substrate and the sidewall portion of the cover structure. 如請求項7所述的封裝結構,其中所述多個第一間隙物結構與所述多個第二間隙物結構以鋸齒形方式排列在所述線路基底上,且環繞所述中介層結構。 The package structure of claim 7, wherein the plurality of first spacer structures and the plurality of second spacer structures are arranged on the circuit substrate in a zigzag manner and surround the interposer structure. 一種製作封裝結構的方法,包括:提供線路基底;將多個第一間隙物結構放置在所述線路基底上,其中所述多個第一間隙物結構包括頂部部分及底部部分,且所述底部部分與所述線路基底接觸;將半導體封裝設置到所述線路基底上的被所述多個第一間隙物結構環繞的區域內;以及將蓋結構透過黏合材料貼合到所述線路基底上,其中所述蓋結構環繞所述半導體封裝,所述多個第一間隙物結構夾置在所述蓋結構與所述線路基底之間,且所述蓋結構與所述多個第一間隙物結構的所述頂部部分接觸。 A method of fabricating a package structure, comprising: providing a circuit substrate; placing a plurality of first spacer structures on the circuit substrate, wherein the plurality of first spacer structures include a top portion and a bottom portion, and the bottom portion partially in contact with the circuit substrate; disposing a semiconductor package on the circuit substrate in an area surrounded by the plurality of first spacer structures; and attaching a cover structure to the circuit substrate through an adhesive material, The cover structure surrounds the semiconductor package, the plurality of first spacer structures are sandwiched between the cover structure and the circuit substrate, and the cover structure and the plurality of first spacer structures the top portion of the contact. 如請求項9所述的方法,還包括:在所述線路基底上形成多個第一開口及多個第二開口;將焊料膏設置在所述多個第一開口及所述多個第二開口內;將所述多個第一間隙物結構放置在所述線路基底的所述多個第二開口內; 透過將所述半導體封裝的多個導電端子設置在所述線路基底的所述多個第一開口內以將所述半導體封裝設置到所述線路基底上;以及執行回焊製程,以將所述多個第一間隙物結構與所述線路基底進行接合,且將所述多個導電端子與所述線路基底進行接合。 The method of claim 9, further comprising: forming a plurality of first openings and a plurality of second openings on the circuit substrate; disposing solder paste on the plurality of first openings and the plurality of second openings in the opening; placing the plurality of first spacer structures in the plurality of second openings of the circuit substrate; disposing the semiconductor package on the circuit substrate by disposing a plurality of conductive terminals of the semiconductor package in the first openings of the circuit substrate; and performing a reflow process to connect the circuit substrate A plurality of first spacer structures are bonded to the circuit substrate, and the plurality of conductive terminals are bonded to the circuit substrate.
TW109138654A 2019-12-25 2020-11-05 Package structure and method of fabricating the same TWI756907B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962953488P 2019-12-25 2019-12-25
US62/953,488 2019-12-25
US16/925,326 2020-07-09
US16/925,326 US11450654B2 (en) 2019-12-25 2020-07-09 Package structure and method of fabricating the same

Publications (2)

Publication Number Publication Date
TW202125655A TW202125655A (en) 2021-07-01
TWI756907B true TWI756907B (en) 2022-03-01

Family

ID=76458796

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109138654A TWI756907B (en) 2019-12-25 2020-11-05 Package structure and method of fabricating the same

Country Status (3)

Country Link
US (1) US11855060B2 (en)
CN (1) CN113035788A (en)
TW (1) TWI756907B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11239136B1 (en) * 2020-07-28 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesive and thermal interface material on a plurality of dies covered by a lid
US12033912B2 (en) 2021-08-12 2024-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2375446B1 (en) * 2010-04-06 2014-10-01 Kingpak Technology Inc. Wafer level image sensor packaging structure and manufacturing method of the same
US20180348434A1 (en) * 2017-05-31 2018-12-06 Intel Corporation Photonic die package with edge lens

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW471143B (en) * 2001-01-04 2002-01-01 Wen-Wen Chiou Integrated circuit chip package
US7851906B2 (en) * 2007-03-26 2010-12-14 Endicott Interconnect Technologies, Inc. Flexible circuit electronic package with standoffs
JP4555369B2 (en) * 2008-08-13 2010-09-29 富士通メディアデバイス株式会社 Electronic component module and manufacturing method thereof
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US8802504B1 (en) 2013-03-14 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
JP2017112241A (en) * 2015-12-17 2017-06-22 ルネサスエレクトロニクス株式会社 Semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2375446B1 (en) * 2010-04-06 2014-10-01 Kingpak Technology Inc. Wafer level image sensor packaging structure and manufacturing method of the same
US20180348434A1 (en) * 2017-05-31 2018-12-06 Intel Corporation Photonic die package with edge lens

Also Published As

Publication number Publication date
US11855060B2 (en) 2023-12-26
TW202125655A (en) 2021-07-01
US20220359487A1 (en) 2022-11-10
CN113035788A (en) 2021-06-25

Similar Documents

Publication Publication Date Title
US11824040B2 (en) Package component, electronic device and manufacturing method thereof
US11018113B2 (en) Memory module, semiconductor package including the same, and manufacturing method thereof
US20210159147A1 (en) Semiconductor packages
TWI730879B (en) Package structure and method of fabricating the same
TWI727879B (en) Package structure and method of fabricating the same
US11756855B2 (en) Method of fabricating package structure
US11450654B2 (en) Package structure and method of fabricating the same
US20220230969A1 (en) Package structure and method of fabricating the same
US11424219B2 (en) Package structure and method of fabricating the same
US11855060B2 (en) Package structure and method of fabricating the same
US20230378019A1 (en) Package structure and method of fabricating the same
CN114765110A (en) Package structure and method for manufacturing the same
US12033963B2 (en) Package structure comprising thermally conductive layer around the IC die
US12074101B2 (en) Package structure and method of fabricating the same
US11270921B2 (en) Semiconductor package including dies having high-modulus dielectric layer and manufacturing method thereof
TWI851922B (en) Package structure and method of fabricating the same
US20220359476A1 (en) Package structure and method of fabricating the same
TW202401695A (en) Semiconductor package and method