TWI755453B - Method and system for qualifying a photolithographic reticle - Google Patents

Method and system for qualifying a photolithographic reticle Download PDF

Info

Publication number
TWI755453B
TWI755453B TW106141158A TW106141158A TWI755453B TW I755453 B TWI755453 B TW I755453B TW 106141158 A TW106141158 A TW 106141158A TW 106141158 A TW106141158 A TW 106141158A TW I755453 B TWI755453 B TW I755453B
Authority
TW
Taiwan
Prior art keywords
reticle
wafer
images
different
test
Prior art date
Application number
TW106141158A
Other languages
Chinese (zh)
Other versions
TW201901291A (en
Inventor
阿布都拉罕 賽斯金納
穆罕默德 梅迪 丹尼斯帕納
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/803,628 external-priority patent/US10395361B2/en
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201901291A publication Critical patent/TW201901291A/en
Application granted granted Critical
Publication of TWI755453B publication Critical patent/TWI755453B/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10144Varying exposure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Quality & Reliability (AREA)
  • Signal Processing (AREA)
  • Library & Information Science (AREA)
  • Toxicology (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Disclosed are methods and apparatus for qualifying a photolithographic reticle. A reticle inspection tool is used to acquire a plurality of images at different imaging configurations from each of a plurality of pattern areas of a test reticle. A reticle near field is recovered for each of the pattern areas of the test reticle based on the acquired images from each pattern area of the test reticle. The recovered reticle near field is then used to determine whether the test reticle or another reticle will likely result in unstable wafer pattern or a defective wafer.

Description

鑑定一光微影光罩合格性之方法及系統 Method and system for qualifying a photolithography mask

本發明大體上係關於光罩檢測之領域。更特定言之,本發明係關於圖案合格性鑑定。 The present invention generally relates to the field of reticle inspection. More particularly, the present invention relates to pattern qualification.

一般而言,半導體製造產業涉及用於使用分層且圖案化至一基板上之半導體材料(諸如矽)製作積體電路之高度複雜技術。歸因於半導體裝置之大規模積體電路化及減小的大小,所製作之裝置已變得對缺陷愈來愈敏感。即,引起裝置中之故障之缺陷變得愈來愈小。裝置在運送至終端使用者或客戶之前係無故障的。 In general, the semiconductor manufacturing industry involves highly complex techniques for fabricating integrated circuits using semiconductor materials, such as silicon, layered and patterned onto a substrate. Due to the large scale integration and reduced size of semiconductor devices, the fabricated devices have become increasingly sensitive to defects. That is, defects that cause malfunctions in the device become smaller and smaller. The device is fault-free until shipped to the end user or customer.

通常由複數個光罩製作一積體電路。首先,電路設計者將描述一特定積體電路(IC)設計之電路圖案資料提供至一光罩生產系統或光罩寫入器。電路圖案資料通常呈所製作IC裝置之實體層之一代表性佈局之形式。代表性佈局包含IC裝置之各實體層之一代表性層(例如,閘極氧化物、多晶矽、金屬化等),其中各代表性層由界定特定IC裝置之一層的圖案化之複數個多邊形組成。光罩寫入器使用電路圖案資料來寫入(例如,通常使用一電子束寫入器或雷射掃描器來曝露一光罩圖案)稍後將用以製作特定IC設計之複數個光罩。 An integrated circuit is usually fabricated from a plurality of masks. First, the circuit designer provides circuit pattern data describing a particular integrated circuit (IC) design to a reticle production system or reticle writer. Circuit pattern data is typically in the form of a representative layout of the physical layers of the fabricated IC device. A representative layout includes a representative layer of each physical layer of an IC device (eg, gate oxide, polysilicon, metallization, etc.), where each representative layer consists of a patterned plurality of polygons that define a layer of a particular IC device . Mask writers use circuit pattern data to write (eg, typically an electron beam writer or laser scanner is used to expose a mask pattern) that will later be used to fabricate masks for a particular IC design.

一些光罩或光遮罩呈含有至少透明及不透明區、半透明及相移區或 吸收體及反射區(其等一起界定諸如一積體電路之一電子裝置中之共面特徵之圖案)之一光學元件之形式。在光微影期間使用光罩來界定一半導體晶圓之指定區以進行蝕刻、離子植入或其他製作過程。 Some photomasks or photomasks have at least transparent and opaque regions, translucent and phase-shift regions, or The absorber and reflective regions, which together define the pattern of coplanar features in an electronic device such as an integrated circuit, are in the form of an optical element. Reticles are used during photolithography to define designated areas of a semiconductor wafer for etching, ion implantation, or other fabrication processes.

在製作各光罩或光罩群組之後,各新光罩通常有資格於晶圓製作中使用。例如,光罩圖案需要無可印刷缺陷。另外,用光罩製作之任何晶圓需要無缺陷。因此,繼續需要改良的光罩與晶圓檢測及合格性鑑定技術。 After each reticle or group of reticle is fabricated, each new reticle is generally eligible for use in wafer fabrication. For example, the reticle pattern needs to be free of print defects. In addition, any wafers fabricated with the reticle need to be defect-free. Accordingly, there continues to be a need for improved reticle and wafer inspection and qualification techniques.

下文呈現本發明之一簡化概述以提供對本發明之特定實施例之一基本理解。此概述並非本發明之一廣泛綜述,且其不識別本發明之必要/關鍵元件或描寫本發明之範疇。其唯一目的係以一簡化形式呈現本文中揭示之一些概念作為稍後呈現之更詳細描述之一序言。 The following presents a simplified summary of the invention in order to provide a basic understanding of a specific embodiment of the invention. This summary is not an extensive overview of the invention, and it does not identify essential/critical elements of the invention or delineate the scope of the invention. Its sole purpose is to present some concepts disclosed herein in a simplified form as a prelude to the more detailed description that is presented later.

在一項實施例中,揭示一種鑑定一光微影光罩合格性之方法。使用一成像工具依不同照明組態及/或不同成像組態自一測試光罩之複數個圖案區域之各者獲取複數個影像。針對該測試光罩之該等圖案區域之各者基於自該測試光罩之各圖案區域獲取之該等影像恢復一光罩近場。接著,使用該經恢復光罩近場來判定該測試光罩或另一光罩是否將可能導致不穩定晶圓圖案或一缺陷晶圓。 In one embodiment, a method of qualifying a photolithography mask is disclosed. A plurality of images are acquired from each of the plurality of pattern regions of a test reticle using an imaging tool according to different illumination configurations and/or different imaging configurations. A reticle near field is recovered for each of the pattern regions of the test reticle based on the images acquired from the pattern regions of the test reticle. Next, the recovered reticle near field is used to determine whether the test reticle or another reticle would likely cause an unstable wafer pattern or a defective wafer.

在一個實施方案中,直接分析該光罩近場以判定該測試光罩或另一光罩是否將可能導致一不穩定晶圓圖案或一缺陷晶圓。在另一態樣中,使用該經恢復光罩近場來偵測該測試光罩中或自該經恢復光罩近場模擬之模擬晶圓影像中之缺陷,其中缺陷偵測包含:比較不同時間之一相同晶粒、相鄰晶粒、一晶粒與其對應黃金晶粒(golden die)或一晶粒與來自與該測試光罩具有相同設計的一光罩複本之一對應晶粒之強度及/或相位。 In one embodiment, the reticle near field is directly analyzed to determine whether the test reticle or another reticle will likely cause an unstable wafer pattern or a defective wafer. In another aspect, the recovered reticle near field is used to detect defects in the test reticle or in a simulated wafer image simulated from the recovered reticle near field, wherein defect detection comprises: comparing different Intensity of an identical die, an adjacent die, a die and its corresponding golden die, or a die and a corresponding die from a reticle replica of the same design as the test reticle and/or phase.

在一個態樣中,在一場平面或一光瞳平面處獲取該等影像。在一特定實施例中,在不使用用以製作該光罩之一設計資料庫之情況下恢復該光罩近場。在另一態樣中,該等經獲取影像包含在經選擇以導致一相同光罩近場之不同成像條件下獲取之至少三個反射/透射影像。在此態樣中,該等不同成像條件包含不同焦點設定及不同光瞳形狀,且不同照明條件包含不同光源強度分佈及/或偏光設定。 In one aspect, the images are acquired at a field plane or a pupil plane. In a particular embodiment, the reticle near field is recovered without using a design database used to make the reticle. In another aspect, the acquired images include at least three reflection/transmission images acquired under different imaging conditions selected to result in the near field of a same reticle. In this aspect, the different imaging conditions include different focus settings and different pupil shapes, and the different illumination conditions include different light source intensity distributions and/or polarization settings.

在一替代實施方案中,該方法包含:(i)將一微影模型應用於該測試光罩之該光罩近場以模擬複數個測試晶圓影像;及(ii)分析該等模擬測試晶圓影像以判定該測試光罩是否將可能導致一不穩定或缺陷晶圓。在此態樣中,該微影模型經組態以模擬一光微影製程。在另一態樣中,該微影模型模擬一照明源,該照明源具有與用於獲取該測試光罩或者另一光罩或晶圓之影像的一檢測工具之一照明形狀不同之一形狀。在另一態樣中,運用自用於一校準光罩之一設計資料庫生成之影像校準該微影模型。在另一實例中,運用自一校準光罩獲取之影像校準該微影模型。在又一態樣中,在複數個不同微影製程條件下將該微影模型應用於針對該測試光罩恢復之該光罩近場,且分析該等模擬測試晶圓影像包含:藉由比較與不同製程條件及一相同光罩區域相關聯之該等模擬測試影像之部分而判定該測試光罩在該等不同微影製程條件下是否將可能導致一不穩定晶圓。 In an alternative embodiment, the method includes: (i) applying a lithography model to the reticle near field of the test reticle to simulate a plurality of test wafer images; and (ii) analyzing the simulated test wafers Circle images to determine if the test reticle will likely result in an unstable or defective wafer. In this aspect, the lithography model is configured to simulate a photolithography process. In another aspect, the lithography model simulates an illumination source having a shape that differs from an illumination shape of an inspection tool used to acquire images of the test reticle or another reticle or wafer . In another aspect, the lithography model is calibrated using images generated from a design database for a calibration mask. In another example, the lithography model is calibrated using images acquired from a calibration mask. In yet another aspect, applying the lithography model to the near field of the reticle recovered for the test reticle under a plurality of different lithography process conditions, and analyzing the simulated test wafer images includes: by comparing Portions of the simulated test images associated with different process conditions and a same reticle area determine whether the test reticle will likely result in an unstable wafer under the different lithography process conditions.

在一替代實施例中,本發明係關於一種用於鑑定一光微影光罩合格性之檢測系統。該系統包含:一光源,其用於產生一入射光束;及一照明光學模組,其用於將該入射光束引導至一光罩上。該系統亦包含:一收集光學模組,其用於將來自該光罩之各圖案區域之一輸出光束引導至至少一個感測器,該至少一個感測器用於偵測該輸出光束且基於該輸出光束產生 一影像或信號。該系統進一步包括一控制器,該控制器經組態以執行類似於一或多個上述方法操作之操作。 In an alternative embodiment, the present invention relates to an inspection system for qualifying a photolithography mask. The system includes: a light source for generating an incident light beam; and an illumination optical module for guiding the incident light beam onto a mask. The system also includes: a collection optics module for directing an output beam from each pattern area of the reticle to at least one sensor for detecting the output beam and based on the output beam generation an image or signal. The system further includes a controller configured to perform operations similar to one or more of the method operations described above.

下文參考圖進一步描述本發明之此等及其他態樣。 These and other aspects of the invention are further described below with reference to the drawings.

100:遮罩近場恢復程序/遮罩恢復過程 100: Mask near-field recovery procedure/mask recovery procedure

102:操作 102: Operation

104:操作 104: Operation

106:操作 106: Operation

200:模型校準過程/程序 200: Model Calibration Process/Procedure

201:自校準光罩恢復之遮罩近場影像 201: Masked near-field image recovered from self-calibrating mask

202:自設計資料庫模擬之模擬校準光罩影像/自設計資料庫模擬影像/自設計資料庫生成之模擬校準影像 202: Simulated calibration mask image simulated from the design database/simulated image from the design database/simulated calibration image generated from the design database

208:操作 208: Operation

210:操作 210: Operation

212:操作 212: Operation

214:操作 214:Operation

216:操作/來自晶圓之實際結果 216: Operation/Actual Results from Wafer

300:光罩合格性鑑定過程 300: Reticle Qualification Process

302:操作 302: Operation

303:操作 303: Operation

322:操作 322:Operation

324:操作 324:Operation

326:操作 326:Operation

328:操作 328:Operation

330:操作 330: Operation

332:操作 332:Operation

334:操作 334:Operation

336:操作 336: Operation

400:過程 400: Process

402:操作 402: Operation

404:操作 404: Operation

406:操作 406: Operation

450:缺陷檢測程序 450: Defect Detection Program

452:操作 452:Operation

454:操作 454:Operation

456:操作 456:Operation

500:光罩合格性鑑定過程/程序 500: Reticle Qualification Process/Procedure

502:操作 502: Operation

522:操作 522:Operation

524:操作 524:Operation

526:操作 526:Operation

528:操作 528:Operation

530:操作 530: Operation

532:操作 532:Operation

534:操作 534:Operation

536:操作 536:Operation

600:檢測系統 600: Detection System

602:輸入/輸入資料/強度或影像資料 602: Input/input data/intensity or image data

604a:資料分配系統 604a: Data Distribution System

604b:資料分配系統 604b: Data Distribution System

606a:第一區塊處理器 606a: First block processor

606b:區塊處理器 606b: Block Processor

608:交換式網路 608: Switched Network

610:檢測控制/檢視站 610: Inspection Control/Inspection Station

612:區塊處理器及光罩合格性鑑定系統 612: Block Processor and Mask Qualification System

616:大容量存儲裝置 616: Mass Storage Device

700:微影系統 700: Lithography system

701:數值孔徑/元件 701: Numerical Aperture/Element

702:遮罩平面 702: Mask plane

703:照明源 703: Lighting source

705:透鏡 705: Lens

707:照明光學器件 707: Lighting Optics

713:成像光學器件 713: Imaging Optics

750:檢測系統 750: Detection System

751a:照明光學器件 751a: Illumination Optics

751b:數值孔徑 751b: Numerical Aperture

752:光罩平面 752: Mask plane

753a:偵測光學器件/收集光學器件/光學元件 753a: Detection optics/collection optics/optical elements

753b:偵測光學器件/收集光學器件 753b: Detection Optics/Collecting Optics

754a:感測器 754a: Sensors

754b:感測器 754b: Sensor

760:照明源 760: Lighting source

773:電腦系統 773: Computer Systems

776:光束分離器 776: Beam Splitter

778:偵測透鏡 778: Detection Lens

M:光遮罩/遮罩 M: Light Mask/Mask

W:晶圓 W: Wafer

圖1係根據本發明之一項實施例之繪示一遮罩近場恢復程序之一流程圖。 FIG. 1 is a flow chart illustrating a mask near-field recovery process according to an embodiment of the present invention.

圖2係根據本發明之一特定實施方案之繪示一模型校準過程之一流程圖。 2 is a flow chart illustrating a model calibration process according to a specific embodiment of the present invention.

圖3繪示根據本發明之一項實施例之表示一光罩合格性鑑定過程之一流程圖。 3 illustrates a flow chart representing a reticle qualification process according to an embodiment of the present invention.

圖4A係根據本發明之一例示性應用之繪示用於判定光罩圖案穩定性之一過程之一流程圖。 4A is a flowchart illustrating a process for determining the stability of a reticle pattern according to an exemplary application of the present invention.

圖4B係根據本發明之一另一實施例之繪示一缺陷檢測程序之一流程圖。 4B is a flowchart illustrating a defect detection process according to another embodiment of the present invention.

圖5係根據本發明之一替代實施例之繪示應用於一經恢復遮罩近場影像或結果之一光罩合格性鑑定過程之一流程圖。 5 is a flowchart illustrating a reticle qualification process applied to a recovered masked near-field image or result, according to an alternative embodiment of the present invention.

圖6係其中可實施本發明之技術之一例示性檢測系統之一圖形表示。 6 is a graphical representation of an exemplary detection system in which techniques of the present invention may be implemented.

圖7A係根據特定實施例之用於將一遮罩圖案自一光遮罩轉印至一晶圓上之一微影系統之一簡化示意性表示。 7A is a simplified schematic representation of a lithography system for transferring a mask pattern from a photomask onto a wafer, according to certain embodiments.

圖7B提供根據特定實施例之一光遮罩檢測設備之一示意性表示。 7B provides a schematic representation of a light mask detection apparatus according to certain embodiments.

相關申請案之交叉參考 Cross-references to related applications

本申請案主張由Rui-fang Shi等人在2017年7月3日申請之美國專利申 請案第15/641,150號之優先權利且係其之一部分接續申請案,該美國專利申請案第15/641,150號根據35 U.S.C.§ 120規定主張由Abdurrahman Sezginer等人在2016年8月5日申請之PCT申請案第PCT/US2016/045749號之優先權利,該PCT申請案第PCT/US2016/045749號主張由Abdurrahman Sezginer等人在2015年8月10日申請之先前申請案美國申請案第14/822,571號(現為於2017年1月17日發佈之美國申請案第9,547,892號)之優先權利。本申請案亦主張2017年5月18日申請之美國臨時申請案第62/508,369號之優先權利。此等申請案及專利之全文為全部目的以引用的方式併入本文中。 This application claims the US patent application filed by Rui-fang Shi et al. on July 3, 2017 Priority to and a continuation-in-part of Application No. 15/641,150, which claims under 35 USC § 120 by Abdurrahman Sezginer et al. filed on August 5, 2016 Priority right to PCT Application No. PCT/US2016/045749, which claims prior application US Application No. 14/822,571 filed by Abdurrahman Sezginer et al. on August 10, 2015 (now U.S. Application No. 9,547,892, filed January 17, 2017). This application also claims priority to US Provisional Application No. 62/508,369, filed on May 18, 2017. The entire contents of these applications and patents are incorporated herein by reference for all purposes.

在以下描述中,闡述諸多特定細節以提供對本發明之一透徹理解。可在無一些或全部此等特定細節之情況下實踐本發明。在其他例項中,未詳細描述熟知過程操作或設備組件以免不必要地使本發明模糊。雖然將結合特定實施例描述本發明,但將瞭解,並不意欲將本發明限於該等實施例。 In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well-known process operations or device components have not been described in detail so as not to unnecessarily obscure the present invention. While the invention will be described in conjunction with specific embodiments, it will be understood that the invention is not intended to be limited to these embodiments.

在將遮罩運送至一製作設施之前、在使用此遮罩製作晶圓之前偵測各遮罩之缺陷且以其他方式特性化遮罩之各種態樣(例如,圖案穩定性、CD、CD均勻性)對於製作及/或在此遮罩已在製作過程中使用達某時段之後週期性地再鑑定此遮罩合格性將為有利的。 Detect defects in each mask and otherwise characterize various aspects of the mask (eg, pattern stability, CD, CD uniformity) before shipping the mask to a fabrication facility, before using the mask to fabricate wafers It would be advantageous to manufacture and/or periodically requalify the mask after the mask has been used in the manufacturing process for a certain period of time.

本發明之一項實施例包含用於基於在複數個不同成像參數下自一檢測工具獲得之光罩影像恢復一光罩之近場影像之技術。接著,可在許多光罩合格性鑑定應用中使用此光罩近場影像。在一項實例中,可將光罩近場影像輸入至一微影模型以預測與所得圖案將如何印刷於晶圓上相關之一晶圓影像或各種晶圓圖案特性。接著,經預測晶圓影像及/或各種晶圓特性 可經分析用於缺陷偵測、光罩合格性鑑定或再鑑定,及/或任何其他適合度量或檢測應用。光罩近場影像本身亦可經分析用於如本文中進一步描述之各種目的。 One embodiment of the present invention includes techniques for recovering a near-field image of a reticle based on reticle images obtained from an inspection tool under a plurality of different imaging parameters. This reticle near-field image can then be used in many reticle qualification applications. In one example, the reticle near-field image can be input to a lithography model to predict a wafer image or various wafer pattern properties related to how the resulting pattern will be printed on the wafer. Next, a predicted wafer image and/or various wafer properties Can be analyzed for defect detection, reticle qualification or requalification, and/or any other suitable metrology or inspection application. The reticle near-field image itself may also be analyzed for various purposes as described further herein.

術語「光罩」、「遮罩」及「光遮罩」在本文中可互換地使用且各自大體上可包含其上形成有一不透明材料層之一透明基板,諸如玻璃、硼矽酸鹽玻璃、石英或熔融矽石。不透明(或實質上不透明)材料可包含完全或部分阻擋光微影光(例如,深UV或極UV)之任何適合材料。例示性材料包含鉻、矽化鉬(MoSi)、矽化鉭、矽化鎢、玻璃上不透明MoSi(OMOG)等。亦可在不透明層與透明基板之間添加一多晶矽膜以改良黏著。可在不透明材料上方形成一低反射膜,諸如氧化鉬(MoO2)、氧化鎢(WO2)、氮化鈦(TiO2)或氧化鉻(CrO2)。在一特定實例中,一EUV光罩可包含具有具不同折射率與低吸收特性(諸如鉬(Mo)及矽(Si))的交替層之多個層及一吸收體材料(諸如由一薄抗反射氧化物加蓋之氮化鉭硼膜)。 The terms "reticle,""mask," and "photomask" are used interchangeably herein and can each generally include a transparent substrate on which a layer of opaque material is formed, such as glass, borosilicate glass, Quartz or fused silica. The opaque (or substantially opaque) material may comprise any suitable material that completely or partially blocks photolithography light (eg, deep UV or extreme UV). Exemplary materials include chromium, molybdenum silicide (MoSi), tantalum silicide, tungsten silicide, opaque MoSi on glass (OMOG), and the like. A polysilicon film can also be added between the opaque layer and the transparent substrate to improve adhesion. A low reflection film can be formed over an opaque material, such as molybdenum oxide (MoO 2 ), tungsten oxide (WO 2 ), titanium nitride (TiO 2 ), or chromium oxide (CrO 2 ). In a specific example, an EUV reticle may include layers with alternating layers of different refractive indices and low absorption properties, such as molybdenum (Mo) and silicon (Si), and an absorber material, such as composed of a thin Tantalum boron nitride film capped with anti-reflection oxide).

術語光罩指代不同類型之光罩,包含但不限於一清晰場(clear-field)光罩、一暗場光罩、一二元光罩、一相移遮罩(PSM)、一交替PSM、一衰減或半色調PSM、一三元衰減PSM、一無鉻相位微影PSM及無鉻相位微影(CPL)。一清晰場光罩具有透明之場或背景區域,且一暗場光罩具有不透明之場或背景區域。一二元光罩係具有透明或不透明之圖案化區域之一光罩。例如,可使用由具有由鉻金屬吸附膜界定之一圖案的一透明熔融矽石坯料製成之一光遮罩。二元光罩不同於相移遮罩(PSM),相移遮罩(PSM)之一個類型可包含僅部分透射光之膜,且此等光罩通常可稱為半色調或嵌入式相移遮罩(EPSM),諸如ArF及KrF遮罩。若將一相移材料放置於一光罩之交替凈空間上,則光罩被稱為一交替PSM、一ALT PSM或一 Levenson PSM。應用於任意佈局圖案之一種類型的相移材料稱為一衰減或半色調PSM,其可藉由用一部分透射或「半色調」膜取代不透明材料而製作。一三元衰減PSM係亦包含完全不透明特徵之一衰減PSM。 The term reticle refers to different types of reticle including, but not limited to, a clear-field reticle, a dark-field reticle, a binary reticle, a phase shift mask (PSM), an alternating PSM , an attenuated or halftone PSM, a ternary attenuated PSM, a chromeless phase lithography PSM, and a chromeless phase lithography (CPL). A clear field mask has a transparent field or background area, and a dark field mask has an opaque field or background area. A binary reticle is a reticle with transparent or opaque patterned regions. For example, a photomask made from a transparent fused silica blank having a pattern defined by a chromium metal adsorption film can be used. Binary masks differ from phase shift masks (PSMs), one type of which can include films that only partially transmit light, and such masks can often be referred to as halftone or embedded phase shift masks Masks (EPSM) such as ArF and KrF masks. If a phase shifting material is placed on the alternating clear space of a reticle, the reticle is called an alternating PSM, an ALT PSM, or a Levenson PSM. One type of phase-shifting material applied to an arbitrary layout pattern is called an attenuated or halftone PSM, which can be fabricated by substituting a partially transmissive or "halftone" film for the opaque material. A ternary attenuated PSM system also includes a fully opaque feature, an attenuated PSM.

下一代微影已引進使用極紫外線輻射(EUV,波長13.5nm),其在常態大氣以及玻璃中被吸收。為此,微影EUV製程在真空下發生,且光學反射透鏡/鏡用於聚焦至將具有反射及吸收體圖案而非半透明及不透明圖案之EUV光遮罩。 The next generation of lithography has introduced the use of extreme ultraviolet radiation (EUV, wavelength 13.5 nm), which is absorbed in the normal atmosphere as well as in glass. To this end, the lithographic EUV process takes place under vacuum, and an optical reflective lens/mirror is used to focus to an EUV light mask that will have reflective and absorber patterns instead of translucent and opaque patterns.

圖1係根據本發明之一項實施例之繪示一遮罩近場恢復程序100之一流程圖。可在一光罩之生命週期中的任何適合時間針對一特定光罩或一組光罩執行以下遮罩恢復過程100,如下文在經恢復遮罩近場之各種使用案例中進一步描述。藉由實例,可在用此(等)遮罩製作任何晶圓之前、在開始大量晶圓製作或在再鑑定此(等)光罩合格性期間恢復一遮罩近場。 FIG. 1 is a flowchart illustrating a masked near-field recovery procedure 100 according to an embodiment of the present invention. The following mask recovery process 100 may be performed for a particular reticle or group of reticles at any suitable time in the life cycle of a reticle, as further described below in various use cases of recovered mask near-field. By way of example, a mask near-field may be recovered prior to fabricating any wafers with the mask(s), at the start of mass wafer fabrication, or during requalification of the mask(s).

首先,在操作102中,使用一遮罩檢測工具依不同成像組態獲取遮罩之至少三個影像。替代地,可使用兩個影像,但已發現使用三個影像運作良好。運用不同成像組態之獲取可為同時的或循序的。經獲取影像不必在場平面處。藉由實例,可在可直接存取繞射強度之光瞳平面處獲取兩個或更多個影像。 First, in operation 102, at least three images of the mask are acquired according to different imaging configurations using a mask detection tool. Alternatively, two images could be used, but three images have been found to work well. Acquisition using different imaging configurations can be simultaneous or sequential. The acquired image does not have to be at the field plane. By way of example, two or more images can be acquired at the pupil plane where the diffracted intensity can be directly accessed.

可利用照明及/或收集組態之各種適合組合來獲取兩個或更多個影像。大體上選擇不同成像組態以提供可自其計算遮罩近場之影像。可選擇任何適合成像或光學組態,使得遮罩近場在不同操作條件下保持相同。實例包含不同焦點設定、不同照明形狀(例如,不同方向或圖案)、用於整個照明光瞳或照明光瞳之不同部分之不同偏光、遮蔽收集光束之不同部分之不同變跡(apodization)設定等。在一項實施例中,可使用不同焦點設定 (遍及聚焦及散焦(諸如0聚焦、±800或±1600散焦等))來獲取不同影像。在另一實例中,照明光瞳之不同象限可具有不同偏光設定。在另一實例中,成像組態可包含高解析度影像,諸如具有不同光瞳形狀及/或不同焦點狀況之透射影像(例如,針對ArF遮罩)。在另一實施例中,可(例如,針對EUV遮罩)獲得具有不同光瞳形狀及/或不同焦點狀況之三個或更多個反射影像。 Two or more images may be acquired using various suitable combinations of illumination and/or collection configurations. Generally, different imaging configurations are selected to provide images from which the masked near field can be calculated. Any suitable imaging or optical configuration can be selected so that the mask near field remains the same under different operating conditions. Examples include different focus settings, different illumination shapes (eg, different directions or patterns), different polarizations for the entire illumination pupil or different parts of the illumination pupil, different apodization settings to shade different parts of the collected beam, etc. . In one embodiment, different focus settings may be used (over focus and defocus (such as 0 focus, ±800 or ±1600 defocus, etc.)) to acquire different images. In another example, different quadrants of the illumination pupil may have different polarization settings. In another example, the imaging configuration may include high resolution images, such as transmission images with different pupil shapes and/or different focus conditions (eg, for ArF masks). In another embodiment, three or more reflected images with different pupil shapes and/or different focus conditions may be obtained (eg, for EUV masks).

可使用一相對較低NA(例如,小於0.5)以一「實質上低解析度」成像光罩。相比之下,一「實質上高解析度影像」大體上指代其中印刷於光罩上之特徵實質上顯現為其等形成於光罩上(在用以產生影像之光罩檢測系統之光學限制內)之一光罩之一影像。一光罩之一「實質上高解析度影像」係藉由用一實質上高解析度光罩檢測系統(例如,大於0.8之一數值孔徑(NA))在光罩平面處成像實體光罩而產生之一影像。用以產生一光罩影像之「實質上低NA」可實質上與光罩側上由一曝光/微影系統使用以將光罩之一影像投影至一晶圓上藉此將光罩之特徵轉印至該晶圓上之NA相同。在實質上低NA影像(或LNI)中,光罩特徵可具有與實際光罩特徵實質上不同之一外觀。例如,光罩特徵在一特徵之一LNI中可看似比形成於光罩上之實際特徵具有更多經修圓隅角。 A "substantially low resolution" imaging mask can be used with a relatively low NA (eg, less than 0.5). In contrast, a "substantially high-resolution image" generally refers to those in which the features printed on the reticle appear substantially as they were formed on the reticle (in the optics of the reticle inspection system used to generate the image). within the limits) of an image of a reticle. A "substantially high-resolution image" of a reticle is created by imaging the physical reticle at the plane of the reticle with a substantially high-resolution reticle inspection system (eg, with a numerical aperture (NA) greater than 0.8) produce an image. The "substantially low NA" used to generate a reticle image can be used substantially with an exposure/lithography system on the reticle side to project an image of the reticle onto a wafer to thereby characterize the reticle The NA transferred onto the wafer is the same. In a substantially low NA image (or LNI), the reticle features may have an appearance that is substantially different from the actual reticle features. For example, a reticle feature may appear to have more rounded corners in a LNI of a feature than the actual feature formed on the reticle.

一般而言,任何適合成像工具可用於遮罩近場恢復過程。在本文中描述之特定實施例中,一初始恢復過程之結果稍後可基於來自一特定檢測工具之額外光罩影像用於關於該相同光罩或其他光罩之圖案穩定性或缺陷偵測評估。為了此等使用案例之一致性,可用將用於相同或其他光罩之後續檢測的光罩檢測系統之偵測器或一類似組態之光罩檢測系統(例如,具有與將用於檢測之光罩檢測系統相同之做法及模型之一不同光罩檢測系 統)之一類似組態之偵測器來獲取用於遮罩近場恢復之光罩之影像。換言之,可在如將在後續遮罩檢測或合格性鑑定過程期間使用之相同光學條件下獲取可用於遮罩恢復之影像。以此方式,可儘可能直接地量測光罩與檢測系統之照明電磁波之相互作用。 In general, any suitable imaging tool can be used to mask the near-field recovery process. In certain embodiments described herein, the results of an initial recovery process can later be used for pattern stability or defect detection assessments on that same reticle or other reticle based on additional reticle images from a particular inspection tool . For consistency in these use cases, a detector of a reticle inspection system that will be used for subsequent inspection of the same or other reticle or a similarly configured reticle inspection system (eg, with The same approach and model of the reticle inspection system A different reticle inspection system system) to acquire an image of the reticle used to mask the near-field recovery. In other words, images that can be used for mask recovery can be acquired under the same optical conditions as will be used during subsequent mask inspection or qualification processes. In this way, the interaction of the reticle with the illuminating electromagnetic waves of the detection system can be measured as directly as possible.

在替代實施例中,用於遮罩近場恢復之工具可不同於一光罩檢測系統。例如,成像工具可利用與其中光罩將用於晶圓製造之微影系統相同之波長(例如,波長(DUV係193.3nm或EUV係13.5nm))。事實上,任何適合電磁波長可用於遮罩近場恢復。 In alternative embodiments, the tool used for mask near-field recovery may be different from a mask inspection system. For example, the imaging tool may utilize the same wavelength (eg, wavelength (193.3 nm for DUV or 13.5 nm for EUV)) as the lithography system in which the reticle will be used for wafer fabrication. In fact, any suitable electromagnetic wavelength can be used for mask near-field recovery.

再參考所繪示實例,接著,在操作104中,可將三個或更多個影像彼此對準或可將各影像與後OPC資料庫對準。例如,可經由空間域或頻域方法對準經獲取影像。對準調整可取決於所使用之成像系統之特定幾何形狀。若使用不同收集路徑獲得不同影像,則可作出影像之某一調整以補償光學路徑之差異。 Referring again to the depicted example, then, in operation 104, three or more images may be aligned with each other or each image may be aligned with a post OPC database. For example, acquired images can be aligned via spatial or frequency domain methods. Alignment adjustments may depend on the specific geometry of the imaging system used. If different images are obtained using different collection paths, some adjustment of the images can be made to compensate for differences in optical paths.

在成像工具中,藉由自許多方向入射之電磁(EM)波照明具有各種圖案之一光罩。此入射光依彼此不同干擾之不同電磁場相位自遮罩圖案之不同點繞射。光罩之近場係距光罩數波長之一接近距離處之電磁場。 In an imaging tool, a reticle having various patterns is illuminated by electromagnetic (EM) waves incident from many directions. The incident light is diffracted from different points of the mask pattern according to different electromagnetic field phases that interfere with each other differently. The near field of a mask is the electromagnetic field at a close distance of one wavelength of the mask.

收集光學器件大體上將來自光罩之光之一繞射限制部分引導朝向一偵測器(或晶圓)以形成一影像。偵測器偵測為歸因於遮罩近場之干擾之結果之強度,但不偵測相位。 Collection optics generally direct a diffraction-limited portion of the light from the reticle toward a detector (or wafer) to form an image. The detector detects the intensity, but not the phase, as a result of interference due to the near-field of the mask.

儘管在經偵測信號中獲得遠場強度,然可期望恢復包含振幅及相位之遮罩近場。在所繪示之實施例中,基於此等經獲取遮罩影像恢復且儲存遮罩近場,如在操作106中繪示。大體上使用多個影像(或信號)來恢復包含相位及振幅分量兩者之遮罩近場。可基於自光罩獲取之影像藉由一迴歸 技術判定近場資料。例如,可使用一擬牛頓(quasi-Newton)或共軛梯度技術自記錄於一偵測器平面處之光罩之經獲取光學影像或影像之強度恢復(迴歸)該光罩之一選定部分之近場。另外,可使用任何其他適合迴歸方法及/或演算法自一或多個實際影像判定近場資料。 Although the far field strength is obtained in the detected signal, it may be desirable to recover the masked near field including amplitude and phase. In the illustrated embodiment, the mask near-field is restored and stored based on these acquired mask images, as shown in operation 106 . In general, multiple images (or signals) are used to recover a masked near field that includes both phase and amplitude components. can be based on the image obtained from the mask by a regression Technical determination of near-field data. For example, a quasi-Newton or conjugate gradient technique can be used to recover (regress) the intensity of a selected portion of the reticle from the acquired optical image or the intensity of the image recorded at the plane of the detector. near field. Additionally, any other suitable regression method and/or algorithm may be used to determine near-field data from one or more actual images.

通常可藉由解決一最佳化問題而達成遮罩近場恢復,其尋求最小化觀察到的強度影像與假定遮罩光學場之所得影像之間的差異。特定言之,自一光罩之強度影像恢復該光罩之近場係一逆算問題或一迴歸問題。可藉由最小化一成本函數(例如,能量或處罰函數)而迭代地恢復近場。最小化之數量可為經獲取影像與在偵測器處自遮罩近場計算之強度影像之間的差異之平方之總和。換言之,可針對各種光學系統性質組自最終遮罩近場計算強度影像,且當發現遮罩近場時,此等經計算影像將最緊密匹配經獲取影像。在藉由Abdurrahman Sezginer等人於2016年10月25日發佈之美國專利第9,478,019號中進一步描述各種遮罩近場恢復方法論及系統實施例,該專利之全文為全部目的以引用的方式併入本文中。 Mask near-field recovery is typically achieved by solving an optimization problem that seeks to minimize the difference between the observed intensity image and the resulting image assuming the masked optical field. In particular, recovering the near-field of a reticle from its intensity image is an inverse problem or a regression problem. The near field can be iteratively recovered by minimizing a cost function (eg, energy or penalty function). The number that is minimized may be the sum of the squares of the difference between the acquired image and the intensity image calculated at the detector from the masked near field. In other words, intensity images can be computed from the final mask near-field for various sets of optical system properties, and when the mask near-field is found, these computed images will most closely match the acquired images. Various masked near-field recovery methodologies and system embodiments are further described in US Patent No. 9,478,019, issued October 25, 2016 by Abdurrahman Sezginer et al, which is incorporated herein by reference in its entirety for all purposes middle.

在其中於各種光學條件下獲取多個影像之情況中,攜載相位及振幅資訊之經恢復近場遮罩m可藉由以下方程式判定:

Figure 106141158-A0305-02-0012-1
In situations where multiple images are acquired under various optical conditions, the recovered near-field mask m carrying phase and amplitude information can be determined by the following equation:
Figure 106141158-A0305-02-0012-1

在上述方程式1中,I a 係針對成像條件α之經量測影像,

Figure 106141158-A0305-02-0012-3
係描述檢測成像系統之一組特徵向量,
Figure 106141158-A0305-02-0012-2
係成像系統之一組對應特徵值,且c a 係0與1之間的一非負加權因數。可例如透過諸如擬牛頓或共軛梯度之方法迭代地求解上述方程式。 In Equation 1 above, I a is the measured image for imaging condition α,
Figure 106141158-A0305-02-0012-3
is a set of eigenvectors describing the detection imaging system,
Figure 106141158-A0305-02-0012-2
is a set of corresponding eigenvalues for the imaging system, and c a is a non-negative weighting factor between 0 and 1. The above equations can be solved iteratively, eg, by methods such as quasi-Newtonian or conjugate gradients.

另一實例係Gerchberg-Saxton演算法,其中可利用場平面影像及光瞳平面繞射級之一組合來求解物件之振幅及相位兩者。 Another example is the Gerchberg-Saxton algorithm, in which both the amplitude and phase of the object can be solved using a combination of field plane images and pupil plane diffraction orders.

在一項實施例中,可基於經獲取影像經由一霍普金斯(Hopkins)近似法判定遮罩近場。在另一實施例中,迴歸不包含薄遮罩近似法。例如,光罩之近場係計算為當由一法向入射平面波照明時出現在光罩之表面附近之電磁場。在微影及檢測中,藉由自許多方向入射之平面波照明一光罩。當入射方向改變時,根據霍普金斯近似法,繞射級之方向改變但其等振幅及相位近似保持不變。本文中描述之實施例可使用霍普金斯相位近似法但不進行所謂的薄遮罩或克希荷夫(Kirchhoff)近似法。 In one embodiment, the near field of the mask may be determined via a Hopkins approximation based on the acquired image. In another embodiment, the regression does not include a thin mask approximation. For example, the near field of a reticle is calculated as the electromagnetic field that appears near the surface of the reticle when illuminated by a normally incident plane wave. In lithography and inspection, a reticle is illuminated by plane waves incident from many directions. When the incident direction changes, according to the Hopkins approximation, the direction of the diffraction order changes but its equal amplitude and phase approximations remain unchanged. Embodiments described herein may use the Hopkins phase approximation but do not perform the so-called thin mask or Kirchhoff approximation.

恢復公式亦可隨不同範數或一正則項R之相加而改變,此不利於近場中之振盪,如下:

Figure 106141158-A0305-02-0013-4
其中正則項R可併有關於近場之先前資訊或基於遮罩基板/材料之實體理解之預期。另外,用於影像差異之範數可為一l-範數(l-norm)且可基於最佳化函數之特定需求而調整。 The recovery formula can also be changed with the addition of different norms or a regular term R , which is not conducive to the oscillation in the near field, as follows:
Figure 106141158-A0305-02-0013-4
The canonical term R may incorporate prior information about the near field or expectations based on a physical understanding of the mask substrate/material. Additionally, the norm for image disparity can be an 1-norm and can be adjusted based on the specific needs of the optimization function.

有趣的是應注意,歸因於一較高NA之光之入射角之較廣範圍及相關聯干擾電場分量,由一較高NA所致之遮罩電磁場向量之干擾將較大(大於一較低NA檢測系統)。 It is interesting to note that due to the wider range of incident angles of light with a higher NA and the associated interference electric field component, the interference of the masked electromagnetic field vector due to a higher NA will be larger (greater than a higher NA). low NA detection system).

實際遮罩可歸因於遮罩寫入過程而隨預期設計圖案改變。自遮罩之影像獲得近場遮罩意謂自實際實體遮罩而非設計資料庫獲得此近場遮罩。即,可在不使用設計資料庫之情況下恢復遮罩近場。 The actual mask may vary with the expected design pattern due to the mask writing process. Obtaining the near-field mask from the masked image means obtaining the near-field mask from the actual physical mask rather than the design database. That is, the near field of the mask can be restored without using the design database.

接著,可在各種應用中使用遮罩近場結果。在一項實施例中,可使用遮罩近場結果來使用一或多個模型預測晶圓圖案。即,可使用經恢復遮罩近場來模擬微影影像。可利用任何適合技術來基於遮罩近場影像模擬微影影像。一項實施例包含透過部分同調模型運算微影影像:

Figure 106141158-A0305-02-0014-5
Next, the masked near-field results can be used in various applications. In one embodiment, the masked near-field results may be used to predict wafer patterns using one or more models. That is, a lithographic image can be simulated using the restored mask near-field. Lithographic images can be simulated based on masked near-field images using any suitable technique. One embodiment includes computing the lithography image through a partial coherence model:
Figure 106141158-A0305-02-0014-5

其中λi表示微影TCC(交叉轉印(transfer cross)係數)之特徵值;

Figure 106141158-A0305-02-0014-6
表示TCC之特徵向量(核心);s係晶圓堆疊,其包含膜折射率;f係焦點;且z係微影平面在抗蝕劑材料中之垂直位置。方程式2之交叉轉印係數(TCC)可包含場通過微影投影儀(包含晶圓上之膜堆疊)之向量傳播。 Wherein λ i represents the characteristic value of lithography TCC (transfer cross coefficient);
Figure 106141158-A0305-02-0014-6
represents the eigenvector (core) of the TCC; s is the wafer stack, which includes the film refractive index; f is the focal point; and z is the vertical position of the lithography plane in the resist material. The cross transfer coefficient (TCC) of Equation 2 can include the vector propagation of the field through a lithographic projector, including the film stack on the wafer.

在使用一模型來預測晶圓結果之前,可校準模型以產生儘可能準確的結果。可使用任何適合技術來校準模型。本發明之特定實施例提供用於基於自一校準遮罩恢復之遮罩近場結果校準一微影模型之技術。在替代實施例中,使用設計資料庫來校準模型。例如,可自設計資料庫生成校準光罩影像。 Before using a model to predict wafer results, the model can be calibrated to produce the most accurate results possible. The model can be calibrated using any suitable technique. Certain embodiments of the present invention provide techniques for calibrating a lithography model based on mask near-field results recovered from a calibration mask. In an alternative embodiment, the model is calibrated using a design database. For example, calibration mask images can be generated from a design database.

一校準光罩通常將經設計以具有實質上類似於待檢測以用於缺陷偵測或待量測以用於度量目的之光罩之(若干)特性。例如,校準光罩及測試光罩較佳由具有實質上相同厚度及組成之實質上相同材料形成。另外,可已使用相同製程形成兩個光罩。該兩個光罩可不一定具有印刷於其上之相同圖案,只要光罩上之圖案可分解成實質上相同之片段(例如,具有類似寬度之線等)。另外,將檢測之光罩及用以獲取影像之光罩可為同一光罩。 A calibration reticle will typically be designed to have characteristics substantially similar to the reticle(s) that are to be inspected for defect detection or to be measured for metrology purposes. For example, the calibration reticle and the test reticle are preferably formed from substantially the same material having substantially the same thickness and composition. Additionally, the same process may have been used to form both masks. The two masks may not necessarily have the same pattern printed thereon, as long as the pattern on the mask can be broken down into substantially the same segments (eg, lines of similar width, etc.). In addition, the reticle to be inspected and the reticle used to acquire the image may be the same reticle.

圖2係根據本發明之一特定實施方案之繪示一模型校準過程200之一流程圖。如所展示,在操作208中,可使用一組初始模型參數來模型化如應用於自一校準光罩恢復之遮罩近場影像(201)的光微影製程及光阻劑。替代地,校準過程200可使用自設計資料庫模擬之模擬校準光罩影像(202)。可藉由模擬設計資料庫上之光罩製作及成像過程而自資料庫生成光罩影像。可使用任何適合模型來針對設計資料庫之特徵產生光學影像。 藉由實例,此模擬可包含使用本文中描述之同調系統加總(SOCS)或阿貝(Abbe)方法論。存在可自一已知設計資料庫模擬一光學系統之強度影像之若干軟體套件。一項實例係在德國埃蘭根的Fraunhofer IISB開發之Dr.LiTHO。在自設計資料庫模擬一影像202之情況中,可首先模擬近場,此可藉由上文引用之軟體套件以及若干其他套件(包含KLA-Tencor之Prolith、Panoramic Technologies之HyperLith,等等)而完成。 FIG. 2 is a flowchart illustrating a model calibration process 200 in accordance with one particular embodiment of the present invention. As shown, in operation 208, a set of initial model parameters can be used to model the photolithography process and photoresist as applied to the masked near-field image (201) recovered from a calibration mask. Alternatively, the calibration process 200 may use a simulated calibration reticle image (202) simulated from a design database. A reticle image can be generated from the database by simulating the reticle fabrication and imaging process on the design database. Any suitable model can be used to generate optical images for the features of the design database. By way of example, this simulation may include using the Sum of Coherent Systems (SOCS) or Abbe methodologies described herein. There are several software packages that can simulate the intensity image of an optical system from a known design database. An example is Dr. LiTHO developed at Fraunhofer IISB in Erlangen, Germany. In the case of simulating an image 202 from a design database, the near field can be simulated first, which can be achieved by the software packages cited above, as well as several other packages including Prolith from KLA-Tencor, HyperLith from Panoramic Technologies, etc. Finish.

用於基於光罩近場影像產生晶圓影像之模型可僅包含光微影掃描器之效應,且其亦可包含抗蝕劑、蝕刻、CMP或任何其他晶圓製程之效應。一個例示性製程模擬模型工具係可購自加利福尼亞州苗必達市之KLA-Tencor公司之Prolith。可嚴密地或近似地模型化抗蝕劑及蝕刻製程。在一特定實施例中,模型可呈一緊湊抗蝕劑模型之形式,其包含一特定抗蝕劑材料內部之3D酸擴散及其中強加邊界條件之組態,以及經應用以形成潛像之一單一臨限值。 The model used to generate the wafer image based on the reticle near-field image may only include the effects of the photolithography scanner, and it may also include the effects of resist, etching, CMP, or any other wafer process. An exemplary process simulation model tool is commercially available from Prolith, KLA-Tencor, Inc., Milpitas, CA. The resist and etch process can be closely or approximately modeled. In a particular embodiment, the model may be in the form of a compact resist model that includes 3D acid diffusion within a particular resist material and the configuration of the imposed boundary conditions therein, and applied to form one of the latent images A single threshold value.

應注意,模型化微影工具可具有與用於獲取光罩之實際影像的光罩檢測工具不同之一照明形狀或光源。在特定實施例中,模型化微影工具可具有與一光罩檢測器工具相同或類似之一光源。 It should be noted that the modeled lithography tool may have a different illumination shape or light source than the reticle inspection tool used to acquire the actual image of the reticle. In certain embodiments, a modeling lithography tool may have a light source that is the same as or similar to a reticle detector tool.

可使用其他模擬方法,諸如SOCS或阿貝。通常稱為同調系統加總(SOCS)之演算法嘗試將成像系統轉換為其等輸出經求平方、經按比例調整且經加總之一組(a bank of)線性系統。已在別處描述SOCS方法,包含Nicolas Cobb之博士論文「Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing」(加州大學柏克萊分校,1998年春)。阿貝演算法包含針對各點源一次一個地計算物件之影像,且接著將強度影像加總在一起且考慮到各源點之相對強度。 Other simulation methods such as SOCS or Abbe can be used. An algorithm commonly referred to as Summation of Coherent Systems (SOCS) attempts to convert an imaging system into a bank of linear systems whose outputs are squared, scaled, and summed. SOCS methods have been described elsewhere, including Nicolas Cobb's doctoral thesis "Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing" (UC Berkeley, Spring 1998). The Abbe algorithm involves computing the image of the object for each point source one at a time, and then summing the intensity images together and taking into account the relative intensities of each source point.

模型及其模型化參數之輸入包含應用於一經恢復近場遮罩之一組製程條件。即,模型經組態以模擬經重建近場遮罩(或模擬遮罩影像)上之不同組之製程條件。各組製程條件大體上對應於特性化或部分特性化用於自遮罩形成一晶圓圖案之晶圓製程之一組晶圓製程參數。例如,可將一特定焦點及曝光設定輸入至模型。其他可調整模型參數亦可包含以下參數之一或多者:一投影透鏡波前參數、一變跡參數、一色差焦點誤差參數、一振動參數、一抗蝕劑輪廓指數、一抗蝕劑浮渣度量、頂部損失度量等。與不同組製程條件一起使用此模型可導致由經重建近場遮罩在不同處理條件下形成之一組模擬晶圓或抗蝕劑圖案影像,且此等模擬晶圓影像可用於圖案穩定性及缺陷偵測評估,如本文中進一步描述。 Inputs to the model and its modeling parameters include a set of process conditions applied to a recovered near-field mask. That is, the model is configured to simulate different sets of process conditions on the reconstructed near-field mask (or simulated mask image). Each set of process conditions generally corresponds to a set of wafer process parameters that characterize or partially characterize a wafer process for forming a wafer pattern from a mask. For example, a particular focus and exposure setting can be input to the model. Other adjustable model parameters can also include one or more of the following parameters: a projection lens wavefront parameter, an apodization parameter, a chromatic aberration focus error parameter, a vibration parameter, a resist profile index, a resist float Slag metrics, top loss metrics, etc. Using this model with different sets of process conditions can result in a set of simulated wafer or resist pattern images formed from the reconstructed near-field masks under different processing conditions, and these simulated wafer images can be used for pattern stability and Defect detection evaluation, as further described herein.

在操作216中,亦可使用一校準光罩來製作自其獲得實際影像之一校準晶圓。在一項實例中,使用一臨界尺寸(CD)掃描電子顯微鏡(SEM)獲取實際影像。可利用其他成像工具,但較佳為一高解析度工具。 In operation 216, a calibration reticle may also be used to fabricate a calibration wafer from which the actual image was obtained. In one example, a critical dimension (CD) scanning electron microscope (SEM) is used to acquire the actual image. Other imaging tools can be utilized, but a high resolution tool is preferred.

一般而言,校準晶圓將含有任何數目個已知結構,其可廣泛地變化。結構可呈通常為週期性之光柵之形式。各光柵可在一個方向(X或Y)上為週期性的(舉例而言,如一線空間光柵),或其可在兩個方向(X及Y)上為週期性的(舉例而言,如一柵格空間光柵)。一柵格空間光柵之實例可包含Y方向上之一線陣列,其中各線在X方向上分段。另一柵格空間實例係一點結構陣列。即,各結構可採取一線空間光柵、柵格空間光柵、棋盤圖案結構等之形式。結構設計特性可各自包含線寬度(在一特定高度處之寬度)、線空間寬度、線長度、形狀、側壁角度、高度、節距、光柵定向、頂部輪廓(頂部修圓或T型頂之程度)、底部輪廓(基腳)等。校準晶圓可含有具此等特徵特性之不同組合之結構。如應明白,不同結構特性(諸如不 同寬度、間距、形狀、節距等)展現對焦點之不同回應,且因此校準遮罩較佳包含具有不同特性之不同結構。 In general, a calibration wafer will contain any number of known structures, which can vary widely. The structure may be in the form of a generally periodic grating. Each grating may be periodic in one direction (X or Y) (eg, as a one-line spatial grating), or it may be periodic in both directions (X and Y) (eg, as a raster space raster). An example of a grid space grating may include an array of lines in the Y direction, where each line is segmented in the X direction. Another grid space instance is an array of point structures. That is, each structure may take the form of a line space grating, a grid space grating, a checkerboard pattern structure, or the like. Structural design characteristics may each include line width (width at a particular height), line space width, line length, shape, sidewall angle, height, pitch, grating orientation, top profile (degree of top rounding or T-top) ), bottom profile (footing), etc. Calibration wafers may contain structures with different combinations of these characteristic properties. As should be appreciated, different structural properties (such as not same width, spacing, shape, pitch, etc.) exhibit different responses to focus points, and therefore the calibration mask preferably includes different structures with different characteristics.

在一特定實施例中,校準晶圓可採取具有經受不同處理條件之不同量測點之一「實驗設計(DOE)」晶圓之形式。在更一般實施例中,製程參數變動組織於一半導體晶圓(稱為一DOE晶圓)之表面上之一圖案中。以此方式,量測點對應於具有不同相關聯製程參數值之晶圓表面上之不同位置。在一項實例中,DOE圖案係一焦點/曝光矩陣(FEM)圖案。通常,展現一FEM圖案之一DOE晶圓包含量測點之一柵格圖案。在一個柵格方向(例如,x方向)上,曝光劑量變化而焦點深度保持恆定。在正交柵格方向(例如,y方向)上,焦點深度變化而曝光劑量保持恆定。以此方式,自FEM晶圓收集之量測資料包含與焦點及劑量製程參數之已知變動相關聯之資料。 In a particular embodiment, the calibration wafer may take the form of a "design of experiment (DOE)" wafer with different measurement points subjected to different processing conditions. In a more general embodiment, process parameter variations are organized in a pattern on the surface of a semiconductor wafer (referred to as a DOE wafer). In this way, the measurement points correspond to different locations on the wafer surface with different associated process parameter values. In one example, the DOE pattern is a focus/exposure matrix (FEM) pattern. Typically, a DOE wafer exhibiting an FEM pattern includes a grid pattern of measurement points. In one grid direction (eg, the x-direction), the exposure dose varies while the depth of focus remains constant. In the orthogonal grid direction (eg, the y-direction), the depth of focus varies while the exposure dose remains constant. In this way, the measurement data collected from the FEM wafer includes data that correlates with known changes in focus and dose process parameters.

FEM量測點大體上跨焦點曝光矩陣晶圓定位。事實上,每場大體上可存在一或多個量測點。可使用焦點及曝光組合之一不同組合(或可僅為焦點或曝光)形成各場。例如,可使用一第一組合產生一第一場,且可使用不同於第一組合之一第二組合產生一第二場。可使用變化的焦點及變化的曝光、變化的焦點-恆定曝光、恆定焦點-變化的曝光及類似者產生多個組合。 FEM measurement points are generally positioned across the focal exposure matrix wafer. In fact, there may generally be one or more measurement points per field. Each field may be formed using one of the different combinations of focus and exposure combinations (or may just focus or exposure). For example, a first field can be generated using a first combination, and a second field can be generated using a second combination different from the first combination. Multiple combinations can be created using varying focus and varying exposure, varying focus-constant exposure, constant focus-varying exposure, and the like.

量測點之數目亦可不同。每場之點數目在生產晶圓上通常較少,此係因為生產晶圓上之面積(real estate)極其珍貴。再者,在一生產晶圓上進行之量測歸因於生產中之時間約束而比在一焦點曝光矩陣晶圓上進行之量測更少。在一項實施例中,每場量測一單一點。在另一實施例中,每場量測多個點。 The number of measurement points can also vary. The number of points per field is typically low on production wafers because real estate on production wafers is extremely precious. Furthermore, fewer measurements are performed on a production wafer than on a focus-exposed matrix wafer due to time constraints in production. In one embodiment, a single point is measured per field. In another embodiment, multiple points are measured per field.

在大多數FEM情況中,使用不同處理參數由同樣設計之圖案形成量測點結構。然而,應注意,不同焦點曝光矩陣可具有不同結構。例如,可使用一第一光柵類型執行一第一矩陣,且可使用不同於第一光柵類型之一第二光柵類型執行一第二矩陣。 In most FEM cases, measurement point structures are formed from the same designed pattern using different processing parameters. However, it should be noted that different focus exposure matrices may have different structures. For example, a first matrix can be implemented using a first raster type, and a second matrix can be implemented using a second raster type that is different from the first raster type.

在一替代實施例中,自用於一校準光罩之設計資料庫生成之模擬校準影像(202)可用作至模型之輸入。即,可在未自一實體校準光罩恢復近場之情況下校準模型。代替性地,藉由自設計資料庫模擬(非恢復)近場且將微影成像模型應用於模擬近場以達成與來自晶圓之實際結果(216)相當的微影結果而模擬微影影像。 In an alternate embodiment, a simulated calibration image (202) generated from a design database for a calibration mask may be used as input to the model. That is, the model can be calibrated without recovering the near field from a physical calibration mask. Instead, the lithography image is simulated by simulating the (non-recovery) near field from a self-designed database and applying a lithography imaging model to the simulated near field to achieve lithography results comparable to the actual results from the wafer (216) .

一般而言,可設想與任何組之製程參數、結構參數或兩者之已知變動相關聯的光學信號資料。無論形式為何,校準晶圓結構可印刷於多種不同晶圓層中。特定言之,大體上使用標準微影製程(例如,將一電路影像投影穿過一光罩且至塗佈有光阻劑之一矽晶圓上)來將經印刷結構印刷於一光阻劑層中。晶圓可為具有對應於在測試過程中之該步驟時通常存在於產品晶圓上的材料之材料層之一校準晶圓。經印刷結構可印刷於下層中之其他結構上方。校準晶圓可為具有產生工作裝置之潛力之一產品晶圓。校準晶圓可為僅用於校準模型之一簡單晶圓。校準晶圓可為用以校準OPC設計模型之相同晶圓。一個以上校準晶圓可用以校準微影模型。當使用多個校準晶圓時,可使用相同或不同校準光罩。不同校準光罩可具有具不同尺寸之圖案以產生一較廣範圍之影像資料。 In general, optical signal data associated with known variations of any set of process parameters, structural parameters, or both can be envisaged. Regardless of the form, the calibration wafer structure can be printed in a variety of different wafer layers. In particular, standard lithography processes (eg, projecting a circuit image through a reticle and onto a photoresist-coated silicon wafer) are generally used to print the printed structures on a photoresist in the layer. The wafer may be one of the calibration wafers with a material layer corresponding to the material typically present on the product wafer at this step in the testing process. The printed structures can be printed on top of other structures in the underlying layers. A calibration wafer can be a production wafer that has the potential to produce a working device. The calibration wafer may be a simple wafer used only for the calibration model. The calibration wafer can be the same wafer used to calibrate the OPC design model. More than one calibration wafer can be used to calibrate the lithography model. When using multiple calibration wafers, the same or different calibration masks can be used. Different calibration masks can have patterns of different sizes to generate a wider range of image data.

用以形成校準結構之製程參數大體上經組態以使圖案之特性保持在所要規格內。例如,校準結構可印刷於一校準晶圓上作為一校準程序之一部分,或其等可在生產期間印刷於一生產晶圓上。在生產時,校準結構通 常印刷於安置在一生產晶圓上之裝置區域(例如,界定IC之晶粒)之間的切割道中。量測點可為安置於裝置結構周圍之專用校準結構,或其等可為裝置結構之一部分(例如,一週期性部分)。如應明白,使用裝置結構之一部分可更困難,但其因為係裝置結構之一部分而趨於更準確。在另一實施例中,校準結構可跨一整個校準晶圓印刷。 The process parameters used to form the calibration structures are generally configured to keep the characteristics of the pattern within desired specifications. For example, calibration structures can be printed on a calibration wafer as part of a calibration process, or the like can be printed on a production wafer during production. At the time of production, the calibration structure is Often printed in scribe lines between device areas (eg, dies that define ICs) disposed on a production wafer. The measurement point may be a dedicated calibration structure disposed around the device structure, or the like may be part of the device structure (eg, a periodic part). As should be appreciated, using a portion of the device structure can be more difficult, but tends to be more accurate because it is part of the device structure. In another embodiment, the alignment structures may be printed across an entire alignment wafer.

再參考圖2,在操作210中,可比較對應模型化結果與校準結果(例如,影像)。接著,在操作212中,可判定是否將調整模型參數。若將調整模型參數,則在操作214中調整該等模型參數且程序200重複操作208以使用經調整參數模型化微影製程(及抗蝕劑)。可調整模型參數直至模型影像與校準影像之間的差異之一量化已達到亦低於一預定義臨限值之一最小值。經最小化之數量可為經獲取校準影像與模擬影像之間的差異之平方之總和。此過程200之輸出係一微影/抗蝕劑模型及其最終模型參數。此組模型參數藉由使用遮罩近場之本質而克服與遮罩製程模型化及遮罩3D繞射計算相關聯之技術障礙。 Referring again to FIG. 2, in operation 210, the corresponding modeling results and calibration results (eg, images) may be compared. Next, in operation 212, it may be determined whether model parameters are to be adjusted. If model parameters are to be adjusted, the model parameters are adjusted in operation 214 and the process 200 repeats operation 208 to model the lithography process (and resist) using the adjusted parameters. Model parameters may be adjusted until a quantification of the difference between the model image and the calibration image has reached a minimum value that is also below a predefined threshold value. The minimized amount may be the sum of the squares of the differences between the acquired calibration image and the simulated image. The output of this process 200 is a lithography/resist model and its final model parameters. This set of model parameters overcomes the technical hurdles associated with masking process modeling and masking 3D diffraction calculations by exploiting the nature of the near-field of masks.

基於經恢復遮罩近場結果之模擬晶圓圖案可用於許多遮罩檢測、度量及/或合格性鑑定目的。在一項實施例中,藉由評估經恢復遮罩近場在一系列模擬晶圓製作條件下是否將可能導致晶圓圖案缺陷而執行一光罩合格性鑑定。對於缺陷偵測,一光罩缺陷在晶圓上之可印刷性係重要的,且光罩缺陷之可印刷性直接取決於光罩近場及微影系統。 The simulated wafer pattern based on the recovered mask near-field results can be used for many mask inspection, metrology and/or qualification purposes. In one embodiment, a reticle qualification is performed by evaluating whether the recovered mask near field will likely cause wafer pattern defects under a series of simulated wafer fabrication conditions. For defect detection, the printability of a reticle defect on the wafer is important, and the printability of reticle defects is directly dependent on the reticle near field and lithography systems.

在獲得用於一特定過程之一最終經校準微影/抗蝕劑/蝕刻模型之後(不管如何獲得此模型),此模型可用以在運用一遮罩進行晶圓製作之前自此遮罩產生準確晶圓平面抗蝕劑影像(例如,在顯影或蝕刻之後)或用於再鑑定此遮罩合格性。此等抗蝕劑影像將容許吾人以高保真度且透過不同焦 點及曝光設定或其他微影參數來評估任何檢測圖案之晶圓影像。由於此評估過程可在晶圓製作之前發生,故可顯著地縮短合格性鑑定及缺陷偵測循環。模擬晶圓影像亦可使能夠藉由比較微影之後、抗蝕劑模型應用之後及蝕刻之後的模擬晶圓影像而分離不同圖案化問題根源。 After obtaining a final calibrated lithography/resist/etch model for a particular process (regardless of how the model was obtained), the model can be used to generate accurate images from a mask prior to wafer fabrication using the mask Wafer plane resist images (eg, after development or etching) or used to requalify the mask. These resist images will allow us to Spot and exposure settings or other lithography parameters to evaluate wafer images of any inspection pattern. Since this evaluation process can occur prior to wafer fabrication, qualification and defect detection cycles can be significantly shortened. Simulated wafer images also enable the isolation of different patterning problem sources by comparing simulated wafer images after lithography, after resist pattern application, and after etching.

圖3繪示根據本發明之一項實施例之表示一光罩合格性鑑定過程300之一流程圖。在操作302中,例如針對一特定光罩基於自此特定光罩獲取之影像恢復一遮罩近場影像。此操作可包含圖1之遮罩近場恢復操作。在獲得一遮罩近場之後,在操作303中,亦可使用關於經恢復遮罩近場之最終模型參數來模型化微影製程(及抗蝕劑)。例如,使用最終模型來使用一遮罩近場影像模擬晶圓影像。 FIG. 3 illustrates a flow chart representing a reticle qualification process 300 in accordance with an embodiment of the present invention. In operation 302, a mask near-field image is recovered, eg, for a particular reticle based on images acquired from the particular reticle. This operation may include the masked near-field recovery operation of FIG. 1 . After a masked near-field is obtained, in operation 303 the final model parameters for the recovered masked near-field can also be used to model the lithography process (and resist). For example, use the final model to simulate the wafer image using a masked near-field image.

接著,在操作322中,可評估模擬晶圓圖案以判定圖案穩定性及/或定位缺陷。大體上可判定對應光罩是否將可能導致不穩定或缺陷晶圓圖案。在一項實施例中,使用複數個不同製程條件(諸如焦點及劑量)將模型應用於遮罩近場影像或結果以在變化的製程條件下評估光罩設計穩定性。 Next, in operation 322, the simulated wafer pattern may be evaluated to determine pattern stability and/or locate defects. It can generally be determined whether the corresponding reticle will likely cause an unstable or defective wafer pattern. In one embodiment, a model is applied to mask near-field images or results using a plurality of different process conditions, such as focus and dose, to evaluate mask design stability under varying process conditions.

圖4A係根據本發明之一例示性應用之繪示用於判定晶圓圖案穩定性之一過程400之一流程圖。首先,在操作402中,可將各測試影像與其對應參考影像對準,該等影像亦係由模型在不同組之製程條件下產生。藉由模型在不同處理條件/參數下計算不同測試影像及參考影像。 4A is a flowchart illustrating a process 400 for determining wafer pattern stability according to an exemplary application of the present invention. First, in operation 402, each test image may be aligned with its corresponding reference image, also generated by the model under different sets of process conditions. Different test images and reference images are calculated by the model under different processing conditions/parameters.

在操作404中,可將各對經對準影像彼此比較以獲得一或多個晶圓圖案差異。接著,在操作406中,可使臨限值與各晶圓圖案差異相關聯。晶圓圖案差異及其等相關聯臨限值可一起用以特性化圖案穩定性。即,在不同模擬製程條件下一特定圖案之偏差量(圖案差異)及此偏差是否跨一相關聯臨限值一起特性化圖案穩定性。一製作過程之製程窗指定一預期或定義 製程偏差量,在該製程偏差量下評估所得圖案以確保其等將保持穩定或在某些指定偏差容限(例如,臨限值)內。 In operation 404, the pairs of aligned images may be compared to each other to obtain one or more wafer pattern differences. Next, in operation 406, a threshold value may be associated with each wafer pattern difference. Wafer pattern variance and its associated thresholds can be used together to characterize pattern stability. That is, the amount of deviation (pattern variance) of a particular pattern under different simulated process conditions and whether this deviation crosses an associated threshold together characterize the pattern stability. The process window of a manufacturing process specifies an expectation or definition The amount of process variation at which the resulting pattern is evaluated to ensure that it will remain stable or within some specified variation tolerance (eg, a threshold value).

可將用於評估圖案穩定性之不同臨限值指派至光罩之不同區域及藉此對應晶圓圖案。基於各種因素(諸如圖案設計背景、圖案MEEF(或如下文進一步描述之遮罩誤差增強因數)等級或裝置效能對晶圓圖案變動之敏感度等),臨限值可全部相同或不同。例如,與光罩之一半密集區相比,吾人可針對一密集區中之圖案選取一更緊密臨限值。 Different thresholds for evaluating pattern stability can be assigned to different regions of the reticle and thereby corresponding to the wafer pattern. The thresholds may all be the same or different based on various factors such as pattern design background, pattern MEEF (or mask error enhancement factor as further described below) level, or sensitivity of device performance to wafer pattern variations, etc. For example, we can choose a tighter threshold for the pattern in a dense region than in a semi-dense region of the reticle.

可視情況在參考遮罩圖案及測試遮罩圖案兩者中識別一組初始熱點或圖案弱點區域。例如,一設計者可提供對裝置功能至關重要之設計熱點座標之一清單。例如,定義為熱點之區域可被指派一個偵測臨限值,而非熱點區域可被指派一更高臨限值(用於缺陷偵測)。此差別可用以最佳化檢測資源。 Optionally, a set of initial hot spots or pattern weakness areas can be identified in both the reference mask pattern and the test mask pattern. For example, a designer may provide a list of design hotspot coordinates that are critical to device functionality. For example, areas defined as hotspots may be assigned a detection threshold, while non-hotspot areas may be assigned a higher threshold (for defect detection). This difference can be used to optimize detection resources.

可使用此圖案穩定性評估來促進光罩合格性鑑定,藉此克服此領域中之諸多挑戰。隨著積體電路(IC)之密度及複雜性不斷增加,檢測光微影遮罩圖案變得愈來愈具挑戰性。每新一代IC具有目前達到且超過微影系統之光學限制之更密集且更複雜之圖案。為克服此等光學限制,已引入各種解析度增強技術(RET),諸如光學近接校正(OPC)。例如,OPC藉由修改光遮罩圖案使得所得印刷圖案對應於原始所要圖案而幫助克服一些繞射限制。此等修改可包含對主要IC特徵(即,可印刷特徵)之大小及邊緣之擾動。其他修改涉及將襯線添加至圖案隅角及/或提供附近次解析度輔助特徵(SRAF),不期望此導致經印刷特徵且因此被稱為非可印刷特徵。期望此等非可印刷特徵取消否則將在印刷過程期間發生之圖案擾動。然而,OPC使遮罩圖案甚至更為複雜且通常與所得晶圓影像極其不同。此外, OPC缺陷通常未轉化為可印刷缺陷。光遮罩圖案之增加的複雜性及不期望全部圖案元件直接影響經印刷圖案之事實使檢測光遮罩之有意義圖案缺陷之任務遠更困難。由於半導體產業向甚至更小特徵發展,故尖端製造商開始使用甚至更為奇特的OPC,諸如逆微影技術(ILT),此導致遮罩上之高度複雜圖案。因此,高度期望在實體製作晶圓之前知道遮罩寫入保真度及其晶圓印刷品質。 This pattern stability assessment can be used to facilitate reticle qualification, thereby overcoming many of the challenges in this field. As the density and complexity of integrated circuits (ICs) continue to increase, detecting photolithography mask patterns has become increasingly challenging. Each new generation of ICs has denser and more complex patterns that currently reach and exceed the optical limits of lithography systems. To overcome these optical limitations, various resolution enhancement techniques (RET) have been introduced, such as optical proximity correction (OPC). For example, OPC helps overcome some diffraction limitations by modifying the photomask pattern so that the resulting printed pattern corresponds to the original desired pattern. Such modifications may include perturbations to the size and edges of the primary IC features (ie, printable features). Other modifications involve adding serifs to pattern corners and/or providing nearby sub-resolution assist features (SRAFs), which are not expected to result in printed features and are therefore referred to as non-printable features. These non-printable features are expected to cancel out pattern disturbances that would otherwise occur during the printing process. However, OPC makes the mask pattern even more complex and often very different from the resulting wafer image. also, OPC defects generally do not translate into printable defects. The increased complexity of the photomask pattern and the fact that all pattern elements are not expected to directly affect the printed pattern make the task of detecting meaningful pattern defects for the photomask far more difficult. As the semiconductor industry moves toward even smaller features, leading-edge manufacturers are beginning to use even more exotic OPCs, such as inverse lithography (ILT), which result in highly complex patterns on masks. Therefore, it is highly desirable to know the mask write fidelity and its wafer print quality before the wafer is physically fabricated.

一缺陷之重要性之一個量度係其MEEF或遮罩誤差增強因數。此因數使遮罩平面中之缺陷之大小與其將影響經印刷影像之量級相關。高MEEF缺陷對經印刷圖案具有高影響;低MEEF缺陷對經印刷圖案具有較小或不具影響。一圖案之一密集細線部分中之一尺寸過小主要圖案特徵係具有高MEEF之一缺陷之一實例,其中一小遮罩平面大小誤差可引起經印刷圖案之一完全坍塌。一隔離小針孔係具有低MEEF之一缺陷之一實例,其中缺陷本身過小而無法印刷且距最近主要圖案邊緣足夠遠以不影響如何印刷該邊緣。如此等實例展示,一缺陷之MEEF係缺陷類型及其中定位缺陷之圖案背景之一稍複雜函數。 One measure of the importance of a defect is its MEEF or mask error enhancement factor. This factor correlates the size of the defect in the mask plane to the magnitude that it will affect the printed image. High MEEF defects have a high impact on the printed pattern; low MEEF defects have less or no impact on the printed pattern. An undersized primary pattern feature in a dense fine line portion of a pattern is an example of a defect with high MEEF, where a small mask plane size error can cause one of the printed patterns to collapse completely. An isolated small pinhole is one example of a defect with a low MEEF, where the defect itself is too small to print and far enough from the nearest main pattern edge to not affect how the edge is printed. Examples such as these show that the MEEF of a defect is a slightly more complex function of the defect type and the pattern background in which the defect is located.

除引起更顯著晶圓缺陷之更高MEEF遮罩缺陷之外,特定設計圖案及對應遮罩圖案亦可比其他設計及遮罩圖案對製程變化更穩健。當製作過程開始偏離最佳製程條件時,特定遮罩圖案可導致更顯著晶圓圖案擾動及缺陷。 In addition to higher MEEF mask defects causing more significant wafer defects, certain design patterns and corresponding mask patterns may also be more robust to process variations than other designs and mask patterns. When the fabrication process begins to deviate from optimal process conditions, certain mask patterns can lead to more pronounced wafer pattern disturbances and defects.

圖4B係根據本發明之另一實施例之繪示一缺陷檢測程序450之一流程圖。在操作452中,可將各模型化測試晶圓影像與其對應參考影像對準。在一項實施例中,可完成一晶粒對晶粒或單元對單元對準。在另一實施例中,將模型化測試晶圓影像與自對應後OPC設計生成之一參考影像對準。 例如,後OPC設計經處理以模擬此設計之光罩製作過程。例如,隅角經修圓。一般而言,一參考影像可源自與一較早時間之測試影像相同之晶粒、源自一相鄰的相同晶粒,或自設計資料庫生成。在一特定實例中,自被證實為無缺陷(例如,緊接在製造光罩且鑑定光罩合格性之後)之一「黃金」晶粒獲得參考影像。可儲存在已知光罩無缺陷時自該光罩獲得之黃金光罩影像,且稍後在需要時依需求使用其來運算黃金光罩近場影像及晶圓影像。或者,可儲存黃金光罩近場影像以備存取而在未來檢測時無需再運算近場。 FIG. 4B is a flowchart illustrating a defect detection process 450 according to another embodiment of the present invention. In operation 452, each modeled test wafer image may be aligned with its corresponding reference image. In one embodiment, a die-to-die or cell-to-cell alignment can be accomplished. In another embodiment, the modeled test wafer image is aligned with a reference image generated from the corresponding post OPC design. For example, a post-OPC design is processed to simulate the reticle fabrication process for this design. For example, corners are rounded. In general, a reference image can be derived from the same die as a test image at an earlier time, from an adjacent same die, or generated from a design database. In a particular example, the reference image is obtained from one of the "gold" die that is certified defect-free (eg, immediately after the reticle is fabricated and qualified). The golden reticle image obtained from the reticle when the reticle is known to be defect free can be stored and used later to compute the golden reticle near field image and wafer image as needed. Alternatively, the near-field image of the gold mask can be stored for access without recomputing the near-field for future inspections.

在操作454中,基於一相關聯臨限值比較各對經對準測試影像與參考影像以定位光罩缺陷。可使用任何適合機制來使臨限值與特定光罩區域相關聯,如上文進一步描述。可比較測試影像與參考影像之任何適合度量。例如,可比較測試及參考晶圓影像之輪廓作為邊緣放置誤差(EPE)之一度量。 In operation 454, each pair of aligned test images and reference images are compared to locate reticle defects based on an associated threshold value. Any suitable mechanism may be used to associate threshold values with particular reticle regions, as described further above. Any suitable metric for the test image and the reference image can be compared. For example, the profile of the test and reference wafer images can be compared as a measure of edge placement error (EPE).

接著,在操作456中,可針對各光罩缺陷比較對應經模擬晶圓缺陷區域與其對應參考預OPC區域。即,評估模擬晶圓圖案以判定光罩缺陷是否導致隨預期設計變化之一晶圓缺陷。 Next, in operation 456, the corresponding simulated wafer defect area may be compared with its corresponding reference pre-OPC area for each reticle defect. That is, the simulated wafer pattern is evaluated to determine whether a reticle defect causes a wafer defect that varies with the expected design.

再參考圖3,在操作324中,接著可基於模擬光罩影像判定設計是否有缺陷。在一項實施例中,判定在製程條件之一指定範圍(或製程窗)下設計圖案是否導致不可接受的晶圓圖案變動。判定是否存在歸因於製程變異性之一顯著差異。若不同處理之晶圓圖案之間的差異高於一對應臨限值,則此等晶圓圖案可被認為有缺陷。此等系統缺陷稱為熱點。亦可判定來自光罩之一模擬晶圓圖案與其對應預OPC圖案之間的任何差異是否高於一預定義臨限值。若判定設計有缺陷,則在操作332中可修改設計。 Referring again to FIG. 3, in operation 324, it may then be determined whether the design is defective based on the simulated reticle image. In one embodiment, it is determined whether the design pattern results in unacceptable wafer pattern variation under a specified range (or process window) of process conditions. Determine if there is a significant difference due to process variability. If the difference between wafer patterns of different treatments is above a corresponding threshold value, the wafer patterns may be considered defective. These system defects are called hot spots. It can also be determined whether any difference between a simulated wafer pattern from the reticle and its corresponding pre-OPC pattern is above a predefined threshold. If the design is determined to be defective, in operation 332 the design may be modified.

一旦一光罩之設計經驗證,則光罩可能仍含有應監測之熱點。以下操作被描述為在一遮罩上實施,該遮罩存在至少一些經識別熱點。當然,若遮罩不含有任何經識別熱點,則可跳過圖3之以下操作,且使用遮罩而在製作及檢測期間不執行熱點監測。 Once the design of a reticle is verified, the reticle may still contain hot spots that should be monitored. The following operations are described as being performed on a mask that has at least some of the identified hot spots. Of course, if the mask does not contain any identified hotspots, the following operations of Figure 3 can be skipped, and the masking is used without performing hotspot monitoring during fabrication and detection.

在所繪示實例中,若不認為設計有缺陷,則在操作326中可判定是否可監測任何熱點。若判定可監測熱點,則在操作334中可在晶圓製程期間監測熱點。例如,可在晶圓製作期間監測熱點圖案以判定製程是否已偏離出規格且已引起對應晶圓圖案具有改變為不可接受的值之關鍵參數。一項實施方案可涉及設定一相對較高MEEF等級以檢測對應熱點之光罩及/或晶圓圖案。隨著條件進一步遠離標稱製程條件,CD或EPE可變大且危及晶圓製程之完整性。 In the depicted example, if the design is not considered to be defective, then in operation 326 it may be determined whether any hot spots can be monitored. If it is determined that the hot spot can be monitored, in operation 334 the hot spot can be monitored during the wafer process. For example, hot spot patterns can be monitored during wafer fabrication to determine if the process has gone out of specification and has caused the corresponding wafer pattern to have critical parameters that change to unacceptable values. One implementation may involve setting a relatively high MEEF level to detect reticle and/or wafer patterns corresponding to hot spots. As conditions move further away from nominal process conditions, the CD or EPE can become large and compromise the integrity of the wafer process.

僅當一測試遮罩圖案改變達一預定義量時可識別熱點圖案,而不管如何比較此改變與原始預期設計(例如,預OPC資料)。換言之,在不同製程條件下實體遮罩圖案中之一顯著改變可指示預期設計圖案之一問題。對應模型化影像部分之間的差異表示製程條件對經設計圖案與經製造遮罩之效應之差異。與一特定設計圖案相關聯之差異通常稱為「設計熱點」或僅為「熱點」,且表示設計中關於已檢查之特定製程條件(可能亦關於經製造遮罩)之弱點。可在不同製程條件之模型化影像之間發現之差異種類之實例係CD(臨界尺寸)或EPE(邊緣放置誤差)。 Hotspot patterns can only be identified when a test mask pattern changes by a predefined amount, regardless of how the change is compared to the original intended design (eg, pre-OPC data). In other words, a significant change in the physical mask pattern under different process conditions may indicate a problem with the intended design pattern. The difference between the corresponding modeled image portions represents the difference in the effect of process conditions on the designed pattern and the fabricated mask. Differences associated with a particular design pattern are often referred to as "design hotspots" or simply "hotspots" and represent weaknesses in the design with respect to specific process conditions that have been checked (and possibly also with respect to fabricated masks). Examples of the kinds of differences that can be found between modeled images of different process conditions are CD (critical dimension) or EPE (edge placement error).

在另一實施例中,若將模型應用於後OPC設計資料庫,則所得晶圓圖案可對應於設計者意欲印刷於晶圓上之圖案。視情況,將模型應用於後OPC資料庫之結果可與模型化影像一起使用以改良熱點偵測。例如,後OPC資料庫之一模型僅考慮到設計效應,且因此可用以分離晶圓製程對設 計之效應與晶圓製程對經製造遮罩之效應。可比較來自遮罩近場之模型化圖案與來自對應後OPC圖案之模型化晶圓影像。例如,當不同製程改變之一組模型化晶圓圖案匹配相同製程改變之對應模型化後OPC晶圓圖案時,可判定歸因於製程改變之晶圓圖案(或抗蝕劑圖案)之改變源自可再設計或監測之設計圖案,而非源自遮罩圖案中之一缺陷。然而,若歸因於來自後OPC資料庫之製程變動的晶圓上之改變不同於歸因於來自經恢復遮罩(或遮罩近場)之相同製程變動的晶圓上之改變,則此等熱點被視為源自來自實際遮罩之可修復或監測之一熱點。 In another embodiment, if the model is applied to a post-OPC design database, the resulting wafer pattern may correspond to the pattern the designer intended to print on the wafer. Optionally, the results of applying the model to the post-OPC database can be used with the modeled image to improve hotspot detection. For example, one of the models in the post-OPC database only takes into account design effects, and thus can be used to separate wafer process pairs The effect of the design and the effect of the wafer process on the fabricated mask. The modeled pattern from the near field of the mask can be compared to the modeled wafer image from the corresponding post OPC pattern. For example, when a set of modeled wafer patterns for different process changes matches the corresponding modeled OPC wafer patterns for the same process change, the source of the change in the wafer pattern (or resist pattern) attributable to the process change can be determined From a redesigned or monitored design pattern, not from a defect in the mask pattern. However, if the on-wafer changes due to process changes from the post-OPC database are different from the on-wafer changes due to the same process changes from the restored mask (or mask near field), then this The isohotspot is considered to originate from one of the repairable or monitored hotspots from the actual mask.

亦可分析模擬晶圓影像差異以判定跨晶粒或隨時間(在光罩改變發生在製造過程中之曝光期間時)之晶圓CD均勻性(CDU)度量。例如,若解析度足夠高,則可藉由分析及量測目標邊緣之間的距離而量測各影像之各目標之CD。或者,可校準參考影像與測試影像之間的強度差異且將其變換成CD變動,如由Carl E.Hess等人於2015年3月20日申請之美國專利申請案第14/664,565號及由Rui-fang Shi等人於2014年10月6日申請之美國專利申請案第14/390,834號中進一步描述,該等申請案之全文為全部目的以引用的方式併入本文中。 Simulated wafer image variance can also be analyzed to determine wafer CD uniformity (CDU) metrics across die or over time (when reticle changes occur during exposure in the manufacturing process). For example, if the resolution is high enough, the CD of each object of each image can be measured by analyzing and measuring the distance between the object edges. Alternatively, the intensity difference between the reference image and the test image can be calibrated and converted to CD variation, as described in US Patent Application Serial No. 14/664,565, filed March 20, 2015 by Carl E. Hess et al. and by Rui-fang Shi et al. are further described in US Patent Application Serial No. 14/390,834, filed October 6, 2014, which is incorporated herein by reference in its entirety for all purposes.

在操作328中,亦可判定是否應修復光罩。可判定預期晶圓圖案變動超出期望在微影製程期間使用之製程窗之規格。在特定情況中,光罩可含有在操作336中修復之一缺陷。接著,可再鑑定光罩合格性。否則,在操作330中,若光罩無法修復則可丟棄光罩。接著,可製造一新光罩且再鑑定其合格性。 In operation 328, it may also be determined whether the reticle should be repaired. It can be determined that the expected wafer pattern variation exceeds the specification of the process window expected to be used during the lithography process. In certain cases, the reticle may contain a defect that is repaired in operation 336 . Next, the reticle can be requalified. Otherwise, in operation 330, the reticle may be discarded if it cannot be repaired. Next, a new reticle can be fabricated and requalified.

除使用一經恢復遮罩近場影像在一合格性鑑定過程中模擬晶圓影像之外或替代使用一經恢復遮罩近場影像在一合格性鑑定過程中模擬晶圓影 像,亦可直接在一光罩合格性鑑定過程中評估一遮罩近場影像或結果。圖5係根據本發明之一替代實施例之繪示應用於一經恢復遮罩近場影像或結果之一光罩合格性鑑定過程500之一流程圖。首先,在操作502中,自一光罩恢復遮罩近場結果。可針對一特定光罩基於自此特定光罩獲取之影像恢復此遮罩近場影像。此操作可類似於圖1之遮罩近場恢復操作而實踐。另外,圖5之若干操作可依與圖3之操作類似之一方式實施,但對於經恢復光罩近場影像,包含此影像之強度及/或相位分量。 In addition to or instead of using a recovered masked near-field image to simulate a wafer image during a qualification process image, a reticle near-field image or result can also be evaluated directly during a reticle qualification process. 5 is a flowchart illustrating a reticle qualification process 500 applied to a recovered masked near-field image or result, according to an alternative embodiment of the present invention. First, in operation 502, mask near-field results are recovered from a reticle. The near-field image of the mask can be recovered for a particular reticle based on images acquired from that particular reticle. This operation can be practiced similarly to the masked near-field recovery operation of FIG. 1 . Additionally, some of the operations of FIG. 5 may be implemented in a manner similar to the operations of FIG. 3, but for the restored reticle near-field image, including the intensity and/or phase components of this image.

如所展示,接著,在操作522中,可評估遮罩近場結果以特性化及/或定位缺陷。大體上可判定對應光罩是否有缺陷或具有需要監測之熱點。更明確言之,本文中描述之用於評估模擬晶圓影像之一些技術可在遮罩近場影像上實施。在一缺陷偵測過程中,可比較測試遮罩近場影像與參考遮罩近場影像之任何適合度量。例如,可比較強度及/或相位。不同缺陷類型將對強度及/或相位值具有不同影響。可將此等差異判定為將可能導致一缺陷晶圓或識別可修復或可監測之熱點圖案或區域之真實缺陷(與無影響公害缺陷相反)。 As shown, then, in operation 522, the mask near-field results may be evaluated to characterize and/or locate defects. In general, it can be determined whether the corresponding reticle is defective or has a hot spot that needs to be monitored. More specifically, some of the techniques described herein for evaluating simulated wafer images can be implemented on masked near-field images. During a defect detection process, the test mask near-field image can be compared to the reference mask near-field image for any suitable metric. For example, intensities and/or phases can be compared. Different defect types will have different effects on intensity and/or phase values. These differences can be judged as true defects that would likely result in a defective wafer or identify repairable or monitorable hot spot patterns or areas (as opposed to non-intrusive defects).

例如,接著,在操作524中,可判定設計是否有缺陷。若判定設計有缺陷,則在操作532中可修改設計。例如,可判定一光罩近場影像與其對應基於後OPC之近場之間的任何差異是否高於用於偵測缺陷之一預定義臨限值。程序500可繼續以判定是否監測晶圓熱點、修復光罩、或再設計光罩,如上文描述。若不認為設計有缺陷,則在操作526中可判定是否可監測任何熱點。例如,可判定一測試光罩近場影像與參考光罩近場影像之間的任何強度及/或相位差異接近一相關聯臨限值。 For example, next, in operation 524, it may be determined whether the design is defective. If the design is determined to be defective, in operation 532 the design may be modified. For example, it can be determined whether any difference between a reticle near field image and its corresponding post OPC based near field is above a predefined threshold for detecting defects. Process 500 may continue to determine whether to monitor wafer hot spots, repair the reticle, or redesign the reticle, as described above. If the design is not considered to be defective, it may be determined in operation 526 whether any hot spots can be monitored. For example, any intensity and/or phase difference between a test reticle near-field image and a reference reticle near-field image can be determined to be close to an associated threshold value.

例如,若判定可監測熱點,則在操作534中可在晶圓製程期間監測熱 點。例如,可在晶圓製作期間監測熱點圖案以判定製程是否已偏離出規格且已引起對應晶圓圖案具有改變為不可接受的值之關鍵參數。一項實施方案可涉及設定一相對較高敏感度等級以檢測對應熱點之光罩及/或晶圓圖案。隨著條件進一步遠離標稱製程條件,CD誤差或EPE可變大且危及晶圓製程之完整性。 For example, if it is determined that a hot spot can be monitored, then in operation 534 thermal monitoring can be performed during the wafer process point. For example, hot spot patterns can be monitored during wafer fabrication to determine if the process has gone out of specification and has caused the corresponding wafer pattern to have critical parameters that change to unacceptable values. One implementation may involve setting a relatively high sensitivity level to detect reticle and/or wafer patterns corresponding to hot spots. As conditions move further away from nominal process conditions, CD errors or EPE can become large and compromise the integrity of the wafer process.

在操作528中,亦可判定是否將修復光罩。在特定情況中,光罩可含有在操作536中修復之一缺陷。接著,可再鑑定光罩合格性。否則,在操作530中,若光罩無法修復則可丟棄該光罩。接著,可製造一新光罩且再鑑定其合格性。 In operation 528, it may also be determined whether the reticle is to be repaired. In certain cases, the reticle may contain a defect that is repaired in operation 536 . Next, the reticle can be requalified. Otherwise, in operation 530, the reticle may be discarded if it cannot be repaired. Next, a new reticle can be fabricated and requalified.

本發明之特定技術在開始晶圓製造之前提供遮罩圖案合格性鑑定及對實體遮罩上之弱圖案或熱點之早期偵測。除提供基於光罩影像恢復光罩近場之外,亦可考量晶圓製程效應(包含焦點及曝光之諸多設定,及晶圓抗蝕劑、蝕刻、CMP及任何其他晶圓製程之效應)之一完整範圍如何影響晶圓圖案。由於僅使用光罩影像恢復遮罩近場而不使用光罩設計資料,故無需遮罩之先前知識。由於遮罩圖案大體上為晶圓圖案之4倍,故可判定圖案相對於設計資料庫之更確切位置。上述技術亦可擴展至任何適合類型之遮罩,諸如EUV遮罩之圖案合格性鑑定。 Certain techniques of the present invention provide mask pattern qualification and early detection of weak patterns or hot spots on the physical mask prior to commencing wafer fabrication. In addition to providing the near field of the reticle based on the reticle image recovery, the effects of the wafer process (including many settings of focus and exposure, and the effects of wafer resist, etching, CMP and any other wafer process) can also be considered. How a full range affects wafer patterning. No prior knowledge of the mask is required since only the mask image is used to restore the near field of the mask and no mask design data is used. Since the mask pattern is roughly 4 times larger than the wafer pattern, a more precise location of the pattern relative to the design database can be determined. The above techniques can also be extended to any suitable type of mask, such as pattern qualification of EUV masks.

本發明之技術可在硬體及/或軟體之任何適合組合中實施。圖6係其中可實施本發明之技術之一例示性檢測系統600之一圖形表示。檢測系統600可自模仿一掃描器(未展示)之一高NA檢測工具或一低NA檢測器接收輸入602。檢測系統亦可包含:一資料分配系統(例如,604a及604b),其用於分配經接收輸入602;一強度信號(或區塊(patch))處理系統(例如,區塊處理器及光罩合格性鑑定系統(例如,612)),其用於遮罩近場及晶圓恢 復、製程模型化等;一網路(例如,交換式網路608),其容許檢測系統組件之間的通信;一選用大容量儲存裝置616;及一或多個檢測控制及/或檢視站(例如,610),其用於檢視遮罩近場強度及相位(值、影像或差異)、光罩/晶圓影像、經識別熱點、CD、CDU圖、製程參數等。檢測系統600之各處理器通常可包含一或多個微處理器積體電路且亦可含有介面及/或記憶體積體電路,且另外可耦合至一或多個共用及/或全域記憶體裝置。 The techniques of this disclosure may be implemented in any suitable combination of hardware and/or software. FIG. 6 is a graphical representation of an exemplary detection system 600 in which techniques of the present invention may be implemented. Detection system 600 may receive input 602 from a high NA detection tool or a low NA detector emulating a scanner (not shown). The detection system may also include: a data distribution system (eg, 604a and 604b) for distributing the received input 602; an intensity signal (or patch) processing system (eg, a patch processor and mask) Qualification system (eg, 612)) for masking near field and wafer recovery replication, process modeling, etc.; a network (eg, switched network 608) that allows communication between inspection system components; an optional mass storage device 616; and one or more inspection control and/or inspection stations (eg, 610) for viewing mask near-field intensities and phases (values, images or differences), reticle/wafer images, identified hot spots, CD, CDU maps, process parameters, etc. Each processor of detection system 600 may typically include one or more microprocessor ICs and may also include interface and/or memory ICs, and may additionally be coupled to one or more common and/or global memory devices .

用於產生輸入資料602之檢測器或資料獲取系統(未展示)可採取用於獲得一光罩之強度信號或影像之任何適合儀器(舉例而言,如本文中進一步描述)之形式。例如,低NA檢測器可建構一光學影像或基於反射、透射或以其他方式引導至一或多個光感測器的經偵測光之一部分而產生光罩之一部分之強度值。接著,低NA檢測器可輸出強度值或影像。 The detector or data acquisition system (not shown) used to generate the input data 602 may take the form of any suitable instrument for obtaining an intensity signal or image of a reticle (eg, as further described herein). For example, a low NA detector may construct an optical image or generate intensity values for a portion of the reticle based on a portion of the detected light reflected, transmitted, or otherwise directed to one or more light sensors. The low NA detector can then output intensity values or images.

在一入射光束跨一光罩之各區塊掃描時,低NA檢測工具可操作以偵測且收集反射及/或透射光。如上文所述,入射光束可跨各自包括複數個區塊之光罩掃描帶掃描。回應於來自各區塊之複數個點或子區域之此入射光束而收集光。 Low NA detection tools are operable to detect and collect reflected and/or transmitted light as an incident beam scans across blocks of a reticle. As described above, the incident beam may be scanned across a reticle scan strip, each comprising a plurality of blocks. Light is collected in response to this incident beam from points or sub-regions of each block.

低NA檢測工具大體上可操作以將此經偵測光轉換成對應於強度值之經偵測信號。經偵測信號可採取具有對應於光罩之不同位置處的不同強度值之振幅值之一電磁波形之形式。經偵測信號亦可採取強度值及相關聯光罩點座標之一簡單清單之形式。經偵測信號亦可採取具有對應於光罩上之不同位置或掃描點之不同強度值之一影像之形式。可在光罩之全部位置經掃描且轉換成經偵測信號之後產生光罩之兩個或更多個影像,或可在各光罩部分經掃描具有最終兩個或更多個影像時產生兩個或更多個影像之部分以在掃描整個光罩之後完成光罩。 The low NA detection tool is generally operable to convert this detected light into a detected signal corresponding to an intensity value. The detected signal may take the form of an electromagnetic waveform having amplitude values corresponding to different intensity values at different locations of the reticle. The detected signal can also take the form of a simple list of intensity values and associated reticle point coordinates. The detected signal can also take the form of an image with different intensity values corresponding to different locations or scan points on the reticle. The two or more images of the reticle can be generated after all positions of the reticle are scanned and converted into detected signals, or two or more images can be generated when each reticle portion is scanned with the final two or more images. Portions of one or more images to complete the reticle after scanning the entire reticle.

經偵測信號亦可採取空中影像之形式。即,可使用一空中成像技術來模擬光微影系統之光學效應以產生曝露於晶圓上之光阻劑圖案之一空中影像。一般而言,模仿光微影工具之光學器件以基於來自光罩之經偵測信號產生一空中影像。空中影像對應於自行進穿過光微影光學器件及光罩而至一晶圓之光阻劑層上之光產生之圖案。另外,亦可模仿用於特定類型之光阻劑材料之光阻劑曝光過程。 The detected signal may also take the form of an aerial image. That is, an aerial imaging technique can be used to simulate the optical effects of a photolithography system to produce an aerial image of the photoresist pattern exposed on the wafer. In general, the optics of a photolithography tool are modeled to generate an aerial image based on detected signals from the reticle. The aerial image corresponds to the pattern produced by light propagating through the photolithography optics and reticle onto the photoresist layer of a wafer. In addition, the photoresist exposure process for a particular type of photoresist material can also be simulated.

入射光或經偵測光可行進穿過任何適合空間孔徑以依任何適合入射角產生任何入射或經偵測光輪廓。例如,可利用可程式化照明或偵測孔徑來產生一特定光束輪廓,諸如偶極、四極、類星體、環形等。在一特定實例中,可實施光源遮罩最佳化(SMO)或任何像素化照明技術。入射光亦可行進穿過一線性偏光器以使照明光瞳之全部或一部分在一或多個偏光中線性地偏光。經偵測光可行進穿過變跡組件以阻擋收集光束之特定區域。 Incident or detected light may travel through any suitable spatial aperture to produce any incident or detected light profile at any suitable angle of incidence. For example, programmable illumination or detection apertures can be utilized to generate a specific beam profile, such as dipole, quadrupole, quasar, ring, etc. In a particular example, light source mask optimization (SMO) or any pixelated lighting technique may be implemented. Incident light may also travel through a linear polarizer to linearly polarize all or a portion of the illumination pupil in one or more polarizations. The detected light can travel through the apodization element to block specific regions of the collected beam.

可藉由資料分配系統經由網路608接收強度或影像資料602。資料分配系統可與用於保持經接收資料602之至少一部分之一或多個記憶體裝置(諸如RAM緩衝區)相關聯。較佳地,總記憶體足夠大以保持資料之一整個樣本。例如,一個十億位元組之記憶體良好運用於1百萬乘1000像素或點之一樣本。 Intensity or image data 602 may be received via network 608 by a data distribution system. A data distribution system may be associated with one or more memory devices, such as RAM buffers, for holding at least a portion of the received data 602 . Preferably, the total memory is large enough to hold an entire sample of one of the data. For example, one gigabyte of memory works well for a sample of 1 million by 1000 pixels or points.

資料分配系統(例如,604a及604b)亦可控制經接收輸入資料602之部分至處理器(例如,606a及606b)之分配。例如,資料分配系統可將一第一區塊之資料投送至一第一區塊處理器606a,且可將一第二區塊之資料投送至區塊處理器606b。亦可將多個區塊之多組資料投送至各區塊處理器。 Data distribution systems (eg, 604a and 604b) may also control the distribution of portions of received input data 602 to processors (eg, 606a and 606b). For example, the data distribution system may send a first block of data to a first block handler 606a and may send a second block of data to block handler 606b. Multiple sets of data from multiple blocks can also be sent to each block processor.

區塊處理器可接收對應於光罩之至少一部分或區塊之強度值或一影像。區塊處理器亦可各自耦合至一或多個記憶體裝置(諸如提供局部記憶 體功能,諸如保持經接收資料部分之DRAM裝置)(未展示)或與該一或多個記憶體裝置整合。較佳地,記憶體足夠大以保持對應於光罩之一區塊之資料。例如,8百萬位元組之記憶體良好運用於對應於512乘1024像素之一區塊之強度值或一影像。或者,區塊處理器可共用記憶體。 The block processor may receive intensity values or an image corresponding to at least a portion or block of the reticle. The block processors may also each be coupled to one or more memory devices (such as providing local memory bulk functions, such as a DRAM device that holds portions of the received data) (not shown) or integrated with the one or more memory devices. Preferably, the memory is large enough to hold data corresponding to a block of the reticle. For example, 8 megabytes of memory works well for intensity values or an image corresponding to a block of 512 by 1024 pixels. Alternatively, block processors can share memory.

各組輸入資料602可對應於光罩之一掃描帶。可將一或多組資料儲存於資料分配系統之記憶體中。此記憶體可由資料分配系統內之一或多個處理器控制,且可將記憶體劃分成複數個分區。例如,資料分配系統可將對應於一掃描帶之一部分之資料接收至一第一記憶體分區(未展示)中,且資料分配系統可將對應於另一掃描帶之另一資料接收至一第二記憶體分區(未展示)中。較佳地,資料分配系統之記憶體分區之各者僅保持將投送至與此記憶體分區相關聯之一處理器之資料之部分。例如,資料分配系統之第一記憶體分區可保持第一資料且將第一資料投送至區塊處理器606a,且第二記憶體分區可保持第二資料且將第二資料投送至區塊處理器606b。 Each set of input data 602 may correspond to a scanband of the reticle. One or more sets of data may be stored in the memory of the data distribution system. The memory can be controlled by one or more processors within the data distribution system, and the memory can be divided into partitions. For example, the data distribution system may receive data corresponding to a portion of a scanband into a first memory partition (not shown), and the data distribution system may receive another data corresponding to another scanband into a first memory partition (not shown) two memory partitions (not shown). Preferably, each of the memory partitions of the data distribution system holds only the portion of the data to be delivered to a processor associated with that memory partition. For example, the first memory partition of the data distribution system may hold the first data and deliver the first data to the block processor 606a, and the second memory partition may hold the second data and deliver the second data to the zone Block handler 606b.

資料分配系統可基於資料之任何適合參數定義且分配資料之各組資料。例如,可基於光罩上之區塊的對應位置而定義且分配資料。在一項實施例中,各掃描帶與對應於掃描帶內之像素的水平位置之行位置之一範圍相關聯。例如,掃描帶之行0至256可對應於一第一區塊,且此等行內之像素將包括投送至一或多個區塊處理器之第一影像或第一組強度值。同樣地,掃描帶之行257至512可對應於一第二區塊,且此等行中之像素將包括投送至(若干)不同區塊處理器之第二影像或第二組強度值。 The data distribution system may define and distribute sets of data for the data based on any suitable parameters for the data. For example, data can be defined and allocated based on the corresponding locations of blocks on the reticle. In one embodiment, each swath is associated with a range of row positions corresponding to the horizontal positions of pixels within the swath. For example, lines 0 through 256 of the swath may correspond to a first block, and the pixels within these lines will include the first image or first set of intensity values that are sent to one or more block processors. Likewise, rows 257-512 of the swath may correspond to a second block, and the pixels in these rows will include the second image or second set of intensity values that are sent to the different block processor(s).

檢測設備可適於檢測半導體裝置或晶圓與光學光罩以及EUV光罩或遮罩。適合檢測工具之實例係在193nm下操作之TeronTM或可購自加利福尼亞州苗必達市之KLA-Tencor之TeraScanTM DUV光罩檢測工具。可使用 本發明之檢測設備檢測或成像之其他類型之樣本包含任何表面,諸如一平板顯示器。 The inspection apparatus may be adapted to inspect semiconductor devices or wafers with optical masks and EUV masks or masks. An example of a suitable inspection tool is a Teron operating at 193 nm or a TeraScan DUV reticle inspection tool available from KLA-Tencor of Milpitas, CA. Other types of samples that can be detected or imaged using the detection apparatus of the present invention include any surface, such as a flat panel display.

一檢測工具可包含:至少一個光源,其用於產生一入射光束;照明光學器件,其用於將入射光束引導至一樣本上;收集光學器件,其用於引導回應於入射光束而自樣本發射之一輸出光束;一感測器,其用於偵測輸出光束且產生輸出光束之一影像或信號;及一控制器/處理器,其用於控制檢測工具之組件且促進遮罩近場產生及分析技術,如本文中進一步描述。 A detection tool can include: at least one light source for generating an incident beam; illumination optics for directing the incident beam onto a sample; collection optics for directing emission from the sample in response to the incident beam an output beam; a sensor for detecting the output beam and generating an image or signal of the output beam; and a controller/processor for controlling the components of the inspection tool and facilitating mask near-field generation and analytical techniques, as described further herein.

在以下例示性檢測系統中,入射光束可呈同調光之任何適合形式。另外,可使用任何適合透鏡配置來將入射光束引導朝向樣本且將源自樣本之輸出光束引導朝向一偵測器。輸出光束可自樣本反射或散射或透射穿過樣本。對於EUV光罩檢測,輸出光束通常自樣本反射。同樣地,可使用任何適合偵測器類型或任何適合數目之偵測元件來接收輸出光束且基於經接收輸出光束之特性(例如,強度)提供一影像或一信號。 In the following exemplary detection systems, the incident light beam may be in any suitable form of coherent light. Additionally, any suitable lens configuration may be used to direct the incident beam towards the sample and the output beam from the sample towards a detector. The output beam can be reflected or scattered from the sample or transmitted through the sample. For EUV mask inspection, the output beam is usually reflected from the sample. Likewise, any suitable detector type or any suitable number of detection elements may be used to receive the output beam and provide an image or a signal based on characteristics (eg, intensity) of the received output beam.

首先將描述一一般化光微影工具,但一EUV光微影工具通常將僅具有反射型光學器件。圖7A係根據特定實施例之可用以將一遮罩圖案自一光遮罩M轉印至一晶圓W上之一典型微影系統700之一簡化示意性表示。此等系統之實例包括掃描器及步進器,更明確言之可購自Veldhoven,Netherlands之ASML之TWINSCAN NXT:1970Ci步進及掃描系統。一般而言,一照明源703將一光束引導穿過一照明光學器件707(例如,透鏡705)而至定位於一遮罩平面702中之一光遮罩M上。照明透鏡705在該平面702處具有一數值孔徑701。數值孔徑701之值影響光遮罩上之哪些缺陷係微影顯著缺陷且哪些缺陷並非微影顯著缺陷。行進穿過光遮罩M之光束之 一部分形成經引導穿過成像光學器件713且至一晶圓W上以起始圖案轉印之一圖案化光學信號。在一反射系統(未展示)中,照明光束自遮罩M之特定部分反射(且由此遮罩M之其他部分吸收)且形成經引導穿過一晶圓W上之反射成像光學器件之一圖案化信號。 A generalized photolithography tool will be described first, but an EUV photolithography tool will typically only have reflective optics. 7A is a simplified schematic representation of a typical lithography system 700 that can be used to transfer a mask pattern from a photomask M onto a wafer W, according to certain embodiments. Examples of such systems include scanners and steppers, more specifically the TWINSCAN NXT: 1970Ci stepper and scan system available from ASML of Veldhoven, Netherlands. In general, an illumination source 703 directs a light beam through an illumination optics 707 (eg, lens 705 ) to a light mask M positioned in a mask plane 702 . The illumination lens 705 has a numerical aperture 701 at the plane 702 . The value of numerical aperture 701 affects which defects on the photomask are lithographically significant and which are not lithographically significant defects. of the light beam traveling through the light shield M A portion forms a patterned optical signal that is directed through imaging optics 713 and onto a wafer W for initial pattern transfer. In a reflective system (not shown), the illumination beam is reflected from certain parts of the mask M (and thus absorbed by other parts of the mask M) and forms one of the reflective imaging optics directed through a wafer W patterned signal.

檢測工具可利用類似組件或與上文描述之光微影工具類似地組態,例如,LNI能力。然而,檢測工具可替代地或額外地組態以產生高解析度影像。圖7B提供根據特定實施例之具有照明光學器件751a且包含在一光罩平面752處具有一相對較大數值孔徑751b的一成像透鏡之一例示性檢測系統750之一示意性表示。例如,檢測系統之光罩平面752處之數值孔徑751b可比微影系統700之光罩平面702處之數值孔徑701大得多,此將導致測試檢測影像與實際印刷影像之間的差異。 The inspection tool may utilize similar components or be configured similarly to the photolithography tools described above, eg, LNI capabilities. However, the inspection tool may alternatively or additionally be configured to generate high resolution images. 7B provides a schematic representation of an exemplary detection system 750 having illumination optics 751a and including an imaging lens with a relatively large numerical aperture 751b at a reticle plane 752, according to certain embodiments. For example, the numerical aperture 751b at the reticle plane 752 of the inspection system may be much larger than the numerical aperture 701 at the reticle plane 702 of the lithography system 700, which will result in discrepancies between the test inspection image and the actual printed image.

本文中描述之檢測技術可在各種專門組態之檢測系統(諸如圖7B中示意性地繪示之檢測系統)上實施。所繪示系統750包含一照明源760,該照明源760產生經引導穿過照明光學器件751a而至光罩平面752中之一光遮罩M上之一光束。光源之實例包含一同調雷射光源(例如,深UV或氣體雷射產生器)、一過濾燈、LED光源等。在特定實施例中,一光源通常可提供高脈衝重複速率、低雜訊、高功率、穩定性、可靠性及擴展性。應注意,雖然一EUV掃描器在13.5nm波長下操作,但用於一EUV光罩之一檢測工具不必在相同波長下操作(儘管其可以)。在一項實例中,光源係一193nm雷射。 The detection techniques described herein can be implemented on various specially configured detection systems, such as the detection system schematically depicted in Figure 7B. The depicted system 750 includes an illumination source 760 that generates a light beam directed through illumination optics 751a onto a light mask M in the mask plane 752 . Examples of light sources include co-tuned laser light sources (eg, deep UV or gas laser generators), a filtered lamp, LED light sources, and the like. In certain embodiments, a light source generally provides high pulse repetition rate, low noise, high power, stability, reliability, and scalability. It should be noted that while an EUV scanner operates at a wavelength of 13.5 nm, an inspection tool for an EUV reticle need not operate at the same wavelength (although it can). In one example, the light source is a 193 nm laser.

照明光學器件751a可包含用於精確光束定位之一光束操控裝置及可用以提供光位準控制、斑點雜訊降低及高光束均勻性之一光束調節裝置。光束操控及/或光束調節裝置可為與例如一雷射分離之實體裝置。照明光 學器件751a亦可包含用於控制偏光、焦點、放大、照明強度分佈等之光學器件。 Illumination optics 751a can include a beam steering device for precise beam positioning and a beam conditioning device that can provide light level control, speckle noise reduction, and high beam uniformity. The beam steering and/or beam conditioning device may be a physical device separate from, for example, a laser. lighting The optics 751a may also include optics for controlling polarization, focus, magnification, illumination intensity distribution, and the like.

如上文說明,檢測系統750可在光罩平面752處具有可等於或大於對應微影系統之一光罩平面數值孔徑(例如,圖7A中之元件701)之一數值孔徑751b。待檢測光遮罩M經放置於光罩平面752處之一遮罩載台上且曝露於光源。 As explained above, detection system 750 may have a numerical aperture 751b at reticle plane 752 that may be equal to or greater than a reticle plane numerical aperture of a corresponding lithography system (eg, element 701 in Figure 7A). The light mask M to be inspected is placed on a mask stage at the mask plane 752 and exposed to the light source.

所描繪檢測系統750可包含偵測光學器件753a及753b,該等偵測光學器件753a及753b亦可包含經設計以提供例如60倍至200倍放大或更大放大用於增強檢測之顯微鏡放大光學器件。收集光學器件753a及753b可包含用於調節輸出光/光束之任何適合光學器件。例如,收集光學器件753a及753b可包含用於控制焦點、光瞳形狀、偏光分析儀設定等之光學器件。 The depicted detection system 750 may include detection optics 753a and 753b, which may also include microscope magnification optics designed to provide, for example, 60X to 200X magnification or greater for enhanced detection device. Collection optics 753a and 753b may comprise any suitable optics for conditioning the output light/beam. For example, collection optics 753a and 753b may include optics for controlling focus, pupil shape, polarization analyzer settings, and the like.

在一透射模式中,可將來自遮罩M之圖案化影像引導穿過光學元件753a之一集合,該等光學元件753a將圖案化影像投影至一感測器754a上。在一反射模式中,收集元件(例如,光束分離器776及偵測透鏡778)將來自遮罩M之反射光引導且捕獲至感測器754b上。儘管展示兩個感測器,然可在相同光罩區域之不同掃描期間使用一單一感測器來偵測反射光及透射光。適合感測器包含電荷耦合裝置(CCD)、CCD陣列、時間延遲積分(TDI)感測器、TDI感測器陣列、光電倍增管(PMT)及其他感測器。 In a transmissive mode, the patterned image from mask M can be directed through a set of optical elements 753a that project the patterned image onto a sensor 754a. In a reflection mode, collection elements (eg, beam splitter 776 and detection lens 778) direct and capture reflected light from mask M onto sensor 754b. Although two sensors are shown, a single sensor can be used to detect reflected and transmitted light during different scans of the same reticle area. Suitable sensors include charge coupled devices (CCDs), CCD arrays, time delay integration (TDI) sensors, TDI sensor arrays, photomultiplier tubes (PMTs), and other sensors.

可使照明光學器件行相對於遮罩載台及/或由任何適合機構相對於一偵測器或相機移動之載台移動以掃描光罩之區塊。例如,可利用一馬達機構來移動載台。例如,馬達機構可由一螺桿驅動器及步進馬達、具有回饋位置之線性驅動器,或帶致動器及步進馬達形成。系統700可利用一或多個馬達機構以使系統組件之任一者相對於照明或收集光學路徑移動。 The rows of illumination optics can be moved relative to the mask stage and/or the stage moved relative to a detector or camera by any suitable mechanism to scan blocks of the mask. For example, a motor mechanism can be used to move the stage. For example, the motor mechanism may be formed by a screw drive and stepper motor, a linear drive with feedback position, or a belt actuator and stepper motor. System 700 may utilize one or more motor mechanisms to move any of the system components relative to the illumination or collection optical path.

可藉由一電腦系統773或更一般而言藉由一或多個信號處理裝置處理由各感測器(例如,754a及/或754b)捕獲之信號,該一或多個信號處理裝置可各自包含經組態以將來自各感測器之類比信號轉換成數位信號以供處理之一類比轉數位轉換器。電腦系統773通常具有耦合至輸入/輸出埠之一或多個處理器及經由適當匯流排或其他通信機構之一或多個記憶體。 The signals captured by each sensor (eg, 754a and/or 754b) may be processed by a computer system 773 or, more generally, by one or more signal processing devices, which may each An analog-to-digital converter configured to convert analog signals from each sensor to digital signals for processing is included. Computer system 773 typically has one or more processors coupled to input/output ports and one or more memories via a suitable bus or other communication mechanism.

電腦系統773亦可包含一或多個輸入裝置(例如,一鍵盤、滑鼠、操縱桿)以提供使用者輸入,諸如改變焦點及其他檢測配方參數。電腦系統773亦可連接至載台以控制例如一樣本位置(例如,聚焦及掃描),且連接至其他檢測系統組件以控制此等檢測系統組件之其他檢測參數及組態。 Computer system 773 may also include one or more input devices (eg, a keyboard, mouse, joystick) to provide user input, such as changing focus and other detection recipe parameters. Computer system 773 may also be connected to the stage to control, for example, a sample position (eg, focus and scan), and to other inspection system components to control other inspection parameters and configuration of these inspection system components.

電腦系統773可經組態(例如,用程式化指令)以提供一使用者介面(例如,一電腦螢幕),以顯示遮罩近場強度及相位(值、影像或差異)、光罩/晶圓影像、經識別熱點、CD、CDU圖、製程參數等。電腦系統773可經組態以分析反射及/或透射之經偵測及/或模擬信號或影像、經恢復光罩近場結果之強度、相位及/或其他特性等。電腦系統773可經組態(例如,用程式化指令)以提供一使用者介面(例如,在一電腦螢幕上),以顯示所得強度及/或相位值、影像及其他檢測特性。在特定實施例中,電腦系統773經組態以實行上文詳述之檢測技術。 Computer system 773 can be configured (eg, with programmed instructions) to provide a user interface (eg, a computer screen) to display mask near-field intensity and phase (value, image, or difference), mask/crystal Circle image, identified hot spots, CD, CDU map, process parameters, etc. Computer system 773 may be configured to analyze reflected and/or transmitted detected and/or analog signals or images, intensity, phase, and/or other characteristics of the recovered reticle near-field results, and the like. Computer system 773 can be configured (eg, with programmed instructions) to provide a user interface (eg, on a computer screen) to display the resulting intensity and/or phase values, images, and other detection characteristics. In particular embodiments, computer system 773 is configured to implement the detection techniques detailed above.

因為此等資訊及程式指令可在一專門組態之電腦系統上實施,所以此一系統包含用於執行本文中描述之各種操作之可儲存於一電腦可讀媒體上之程式指令/電腦程式碼。機器可讀媒體之實例包含但不限於:磁性媒體,諸如硬碟、軟碟及磁帶;光學媒體,諸如CD-ROM光碟;磁光媒體,諸如光碟;及經專門組態以儲存且執行程式指令之硬體裝置,諸如唯讀記憶體裝置(ROM)及隨機存取記憶體(RAM)。程式指令之實例包含諸 如由一編譯器產生之機器碼及含有可由電腦使用一解譯器執行之較高階程式碼之檔案兩者。 Because such information and program instructions may be implemented on a specially configured computer system, such a system includes program instructions/computer code storable on a computer-readable medium for performing the various operations described herein . Examples of machine-readable media include, but are not limited to: magnetic media, such as hard disks, floppy disks, and magnetic tapes; optical media, such as CD-ROM optical disks; magneto-optical media, such as optical disks; and those specially configured to store and execute program instructions hardware devices such as read only memory (ROM) and random access memory (RAM). Examples of program instructions include Such as both machine code generated by a compiler and files containing higher-level code that can be executed by a computer using an interpreter.

圖7B展示其中將一照明光束以相對於受檢測表面之一實質上法向角引導朝向樣本表面之一實例。在其他實施例中,可以一傾斜角引導一照明光束,此容許分離照明光束與反射光束。在此等實施例中,一衰減器可定位於反射光束路徑上以在反射光束到達一偵測器之前衰減該反射光束之一零階分量。此外,一成像孔徑可定位於反射光束路徑上以使反射光束之零階分量的相位偏移。 7B shows an example in which an illumination beam is directed towards the sample surface at a substantially normal angle relative to the surface being inspected. In other embodiments, an illumination beam may be directed at an oblique angle, which allows for separation of the illumination beam and the reflected beam. In these embodiments, an attenuator may be positioned in the reflected beam path to attenuate a zero-order component of the reflected beam before it reaches a detector. Additionally, an imaging aperture can be positioned in the reflected beam path to shift the phase of the zero-order component of the reflected beam.

應注意,上文描述及圖式不應解釋為限制系統之特定組件且系統可以諸多其他形式具體實施。例如,預期檢測或量測工具可具有來自經配置以偵測缺陷及/或解析一光罩或晶圓之特徵之關鍵態樣的任何數目個已知成像或度量工具之任何適合特徵。例如,一檢測或量測工具可經調適用於亮場成像顯微術、暗場成像顯微術、全天空成像顯微術、相位對比顯微術、偏光對比顯微術及同調探測顯微術。亦預期可使用單影像及多影像方法來捕獲目標之影像。此等方法包含例如單抓取、雙抓取、單抓取同調探測顯微術(CPM)及雙抓取CPM方法。亦預期非成像光學方法(諸如散射測量法)形成檢測或度量設備之部分。 It should be noted that the above description and drawings should not be construed as limiting the particular components of the system and that the system may be embodied in many other forms. For example, it is contemplated that inspection or metrology tools may have any suitable features from any number of known imaging or metrology tools configured to detect defects and/or resolve critical aspects of features of a reticle or wafer. For example, an inspection or metrology tool can be adapted for bright-field imaging microscopy, dark-field imaging microscopy, all-sky imaging microscopy, phase contrast microscopy, polarized light contrast microscopy, and coherent detection microscopy technique. It is also contemplated that single-image and multi-image methods can be used to capture images of the target. Such methods include, for example, single grasp, double grasp, single grasp coherent probing microscopy (CPM), and double grasp CPM methods. Non-imaging optical methods, such as scatterometry, are also expected to form part of detection or metrology equipment.

儘管為清楚理解之目的已相當詳細地描述前述發明,但將明白,可在隨附申請專利範圍之範疇內實踐某些改變及修改。應注意,存在實施本發明之過程、系統及設備之諸多替代方式。因此,本實施例被視為闡釋性的且非限制性的,且本發明不限於本文中給出之細節。 Although the foregoing invention has been described in considerable detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications can be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the process, system and apparatus of the present invention. Accordingly, the present examples are to be regarded as illustrative and non-limiting, and the invention is not to be limited to the details given herein.

300:光罩合格性鑑定過程 300: Reticle Qualification Process

302:操作 302: Operation

303:操作 303: Operation

322:操作 322:Operation

324:操作 324:Operation

326:操作 326:Operation

328:操作 328:Operation

330:操作 330: Operation

332:操作 332:Operation

334:操作 334:Operation

336:操作 336: Operation

Claims (26)

一種鑑定一光微影光罩合格性之方法,該方法包括:使用一成像工具依不同照明組態及/或不同成像組態自一測試光罩之複數個圖案區域之各者獲取複數個影像;針對該測試光罩之該等圖案區域之各者基於自該測試光罩之各圖案區域獲取之該等影像恢復一光罩近場;及使用該經恢復光罩近場來判定該測試光罩或另一光罩是否將可能導致一不穩定晶圓圖案或一缺陷晶圓。 A method of qualifying a photolithography reticle, the method comprising: using an imaging tool to acquire a plurality of images from each of a plurality of pattern areas of a test reticle with different illumination configurations and/or different imaging configurations ; recover a reticle near field for each of the pattern areas of the test reticle based on the images obtained from the pattern areas of the test reticle; and use the recovered reticle near field to determine the test light Whether the mask or another reticle will likely result in an unstable wafer pattern or a defective wafer. 如請求項1之方法,其中該光罩近場係被直接分析以判定該測試光罩或另一光罩是否將可能導致一不穩定晶圓圖案或一缺陷晶圓。 The method of claim 1, wherein the reticle near field is directly analyzed to determine whether the test reticle or another reticle would likely result in an unstable wafer pattern or a defective wafer. 如請求項1之方法,其中在一場或光瞳平面處獲取該複數個影像。 The method of claim 1, wherein the plurality of images are acquired at a field or pupil plane. 如請求項1之方法,其中使用該經恢復光罩近場以偵測該測試光罩中之缺陷或自該經恢復光罩近場模擬之模擬晶圓影像中之缺陷,其中缺陷偵測包含:比較不同時間之一相同晶粒、相鄰晶粒、一晶粒與其對應黃金晶粒或一晶粒與來自與該測試光罩具有相同設計的一光罩複本之一對應晶粒之強度及/或相位。 The method of claim 1, wherein the recovered reticle near field is used to detect defects in the test reticle or in simulated wafer images simulated from the recovered reticle near field, wherein defect detection comprises : Compare the intensity of an identical die at different times, an adjacent die, a die with its corresponding gold die, or a die with a corresponding die from a reticle replica of the same design as the test reticle and / or phase. 如請求項1之方法,其中在不使用用以製作該測試光罩之一設計資料庫之情況下恢復該光罩近場。 The method of claim 1, wherein the reticle near field is recovered without using a design database used to make the test reticle. 如請求項1之方法,其中該等經獲取影像包含在經選擇以導致一相同光罩近場之不同成像條件下獲取之至少三個反射影像,且其中該等不同成像條件包含不同焦點設定、不同光瞳形狀及/或偏光分析儀設定,其中不同照明條件包含不同光源強度分佈及/或偏光設定。 The method of claim 1, wherein the acquired images comprise at least three reflection images acquired under different imaging conditions selected to result in a same reticle near field, and wherein the different imaging conditions comprise different focus settings, Different pupil shapes and/or polarization analyzer settings, where different lighting conditions include different light source intensity distributions and/or polarization settings. 如請求項1之方法,其中該等經獲取影像包含在經選擇以導致一相同光罩近場之不同成像條件下獲取之至少三個透射影像,且其中該等不同成像條件包含不同焦點設定、不同光瞳形狀或偏光分析儀設定,其中該等不同照明條件包含不同光源強度分佈及/或偏光設定。 The method of claim 1, wherein the acquired images comprise at least three transmission images acquired under different imaging conditions selected to result in a near field of the same reticle, and wherein the different imaging conditions comprise different focus settings, Different pupil shapes or polarization analyzer settings, wherein the different lighting conditions include different light source intensity distributions and/or polarization settings. 如請求項1之方法,其進一步包括:將一微影模型應用於該測試光罩之該光罩近場以模擬複數個測試晶圓影像,及分析該等模擬測試晶圓影像以判定該測試光罩是否將可能導致一不穩定或缺陷晶圓,其中該微影模型經組態以模擬一光微影製程。 The method of claim 1, further comprising: applying a lithography model to the reticle near field of the test reticle to simulate a plurality of test wafer images, and analyzing the simulated test wafer images to determine the test Whether the reticle will likely result in an unstable or defective wafer where the lithography model is configured to simulate a photolithography process. 如請求項8之方法,其中該微影模型模擬一照明源,該照明源具有與用於獲取該測試光罩或者另一光罩或晶圓之影像的一檢測工具之一照明形狀不同之一形狀。 8. The method of claim 8, wherein the lithography model simulates an illumination source having a different illumination shape than an illumination shape of an inspection tool used to acquire an image of the test reticle or another reticle or wafer shape. 如請求項8之方法,其中運用自用於一校準光罩之一設計資料庫生成 之影像校準該微影模型。 The method of claim 8, wherein the application is generated from a design database for a calibration reticle to calibrate the lithography model. 如請求項8之方法,其中運用自一校準光罩獲取之影像校準該微影模型。 The method of claim 8, wherein the lithography model is calibrated using images obtained from a calibration mask. 如請求項8之方法,其中該微影模型包含一緊湊抗蝕劑模型。 The method of claim 8, wherein the lithography model comprises a compact resist model. 如請求項8之方法,其中在複數個不同微影製程條件下將該微影模型應用於針對該測試光罩恢復之該光罩近場,且其中分析該等模擬測試晶圓影像包含:藉由比較與不同製程條件及一相同光罩區域相關聯之該等模擬測試影像之部分而判定該測試光罩在該等不同微影製程條件下是否將可能導致一不穩定晶圓。 The method of claim 8, wherein the lithography model is applied to the near field of the reticle recovered for the test reticle under a plurality of different lithography process conditions, and wherein analyzing the simulated test wafer images comprises: using Whether the test reticle will likely result in an unstable wafer under the different lithography process conditions is determined by comparing portions of the simulated test images associated with different process conditions and a same reticle area. 一種用於鑑定一光微影光罩合格性之成像系統,該系統包括:一光源,其用於產生一入射光束;一照明光學模組,其用於將該入射光束引導至一光罩上;一收集光學模組,其用於將來自該光罩之各圖案區域之一輸出光束引導至至少一個感測器;至少一個感測器,其用於偵測該輸出光束且基於該輸出光束產生一影像或信號;及一控制器,其經組態以執行以下操作:引起依不同照明組態及/或不同成像組態自一測試光罩之複數個圖案區域之各者獲取複數個影像; 針對該測試光罩之該等圖案區域之各者基於自該測試光罩之各圖案區域獲取之該等影像恢復一光罩近場;及使用該經恢復光罩近場以判定該測試光罩或另一光罩是否將可能導致一不穩定晶圓圖案或一缺陷晶圓。 An imaging system for qualifying a photolithography mask, the system comprising: a light source for generating an incident beam; an illumination optical module for guiding the incident beam onto a photomask a collection optics module for directing an output beam from each pattern area of the reticle to at least one sensor; at least one sensor for detecting the output beam and based on the output beam generating an image or signal; and a controller configured to: cause a plurality of images to be acquired from each of a plurality of pattern areas of a test reticle according to different illumination configurations and/or different imaging configurations ; recover a reticle near field for each of the pattern areas of the test reticle based on the images acquired from the pattern areas of the test reticle; and use the recovered reticle near field to determine the test reticle Or whether another reticle will likely result in an unstable wafer pattern or a defective wafer. 如請求項14之系統,其中該光罩近場係被直接分析以判定該測試光罩或另一光罩是否將可能導致一不穩定晶圓圖案或一缺陷晶圓。 The system of claim 14, wherein the reticle near field is directly analyzed to determine whether the test reticle or another reticle would likely cause an unstable wafer pattern or a defective wafer. 如請求項14之系統,其中在一場或光瞳平面處獲取該複數個影像。 The system of claim 14, wherein the plurality of images are acquired at a field or pupil plane. 如請求項14之系統,其中使用該經恢復光罩近場以偵測該測試光罩中之缺陷或自該經恢復光罩近場模擬之模擬晶圓影像中之缺陷,其中缺陷偵測包含:比較不同時間之一相同晶粒、相鄰晶粒、一晶粒與其對應黃金晶粒或一晶粒與來自與該測試光罩具有相同設計的一光罩複本之一對應晶粒之強度及/或相位。 The system of claim 14, wherein the recovered reticle near field is used to detect defects in the test reticle or in simulated wafer images simulated from the recovered reticle near field, wherein defect detection comprises : Compare the intensity of an identical die at different times, an adjacent die, a die with its corresponding gold die, or a die with a corresponding die from a reticle replica of the same design as the test reticle and / or phase. 如請求項14之系統,其中在不使用用以製作該測試光罩之一設計資料庫之情況下恢復該光罩近場。 The system of claim 14, wherein the reticle near field is recovered without using a design database used to make the test reticle. 如請求項14之系統,其中該等經獲取影像包含在經選擇以導致一相同光罩近場之不同成像條件下獲取之至少三個反射影像,且其中該等不同成像條件包含不同焦點設定及不同光瞳形狀。 The system of claim 14, wherein the acquired images comprise at least three reflection images acquired under different imaging conditions selected to result in a same reticle near-field, and wherein the different imaging conditions comprise different focus settings and Different pupil shapes. 如請求項14之系統,其中該等經獲取影像包含在經選擇以導致一相同光罩近場之不同成像條件下獲取之至少三個透射影像,且其中該等不同成像條件包含不同焦點設定及不同光瞳形狀。 The system of claim 14, wherein the acquired images comprise at least three transmission images acquired under different imaging conditions selected to result in a same reticle near field, and wherein the different imaging conditions comprise different focus settings and Different pupil shapes. 如請求項14之系統,其中該控制器進一步經組態以:將一微影模型應用於該測試光罩之該光罩近場以模擬複數個測試晶圓影像,及分析該等模擬測試晶圓影像以判定該測試光罩是否將可能導致一不穩定或缺陷晶圓,其中該微影模型經組態以模擬一光微影製程。 The system of claim 14, wherein the controller is further configured to: apply a lithography model to the reticle near field of the test reticle to simulate a plurality of test wafer images, and analyze the simulated test wafers A circular image is used to determine whether the test reticle will likely result in an unstable or defective wafer, wherein the lithography model is configured to simulate a photolithography process. 如請求項21之系統,其中該微影模型模擬一照明源,該照明源具有與用於獲取該測試光罩或者另一光罩或晶圓之影像的一檢測系統之一照明形狀不同之一形狀。 The system of claim 21, wherein the lithography model simulates an illumination source having a different illumination shape than an illumination shape of an inspection system used to acquire images of the test reticle or another reticle or wafer shape. 如請求項21之系統,其中運用自用於一校準光罩之一設計資料庫生成之影像校準該微影模型。 The system of claim 21, wherein the lithography model is calibrated using images generated from a design database for a calibration mask. 如請求項21之系統,其中運用自一校準光罩獲取之影像校準該微影模型。 The system of claim 21, wherein the lithography model is calibrated using images obtained from a calibration reticle. 如請求項21之系統,其中該微影模型包含一緊湊抗蝕劑模型。 The system of claim 21, wherein the lithography model comprises a compact resist model. 如請求項21之系統,其中在複數個不同微影製程條件下將該微影模 型應用於針對該測試光罩恢復之該光罩近場,且其中分析該等模擬測試晶圓影像包含:藉由比較與不同製程條件及一相同光罩區域相關聯之該等模擬測試影像之部分而判定該測試光罩在該等不同微影製程條件下是否將可能導致一不穩定晶圓。 The system of claim 21, wherein the lithography mold is subjected to a plurality of different lithography process conditions The model is applied to the reticle near field recovered for the test reticle, and wherein analyzing the simulated test wafer images includes: by comparing the simulated test images associated with different process conditions and a same reticle area In part, it is determined whether the test reticle will likely result in an unstable wafer under the different lithography process conditions.
TW106141158A 2017-05-18 2017-11-27 Method and system for qualifying a photolithographic reticle TWI755453B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762508369P 2017-05-18 2017-05-18
US62/508,369 2017-05-18
US15/803,628 US10395361B2 (en) 2015-08-10 2017-11-03 Apparatus and methods for inspecting reticles
US15/803,628 2017-11-03

Publications (2)

Publication Number Publication Date
TW201901291A TW201901291A (en) 2019-01-01
TWI755453B true TWI755453B (en) 2022-02-21

Family

ID=64274553

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106141158A TWI755453B (en) 2017-05-18 2017-11-27 Method and system for qualifying a photolithographic reticle

Country Status (6)

Country Link
JP (2) JP2020520481A (en)
KR (2) KR20230070057A (en)
DE (1) DE112017007551T5 (en)
IL (1) IL270618B2 (en)
TW (1) TWI755453B (en)
WO (1) WO2018212787A1 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017027366A1 (en) 2015-08-10 2017-02-16 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
IL281060B2 (en) * 2018-08-28 2023-11-01 Asml Netherlands Bv Systems and methods of optimal metrology guidance
DE102019213904A1 (en) * 2019-09-12 2021-03-18 Carl Zeiss Smt Gmbh Method for detecting an object structure and device for carrying out the method
TWI736317B (en) * 2020-06-12 2021-08-11 華邦電子股份有限公司 Recognition method for photolithography process and semiconductor device
US11443095B2 (en) * 2020-07-10 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Hotspot avoidance method for manufacturing integrated circuits
US20220307990A1 (en) * 2021-03-24 2022-09-29 Kla Corporation Imaging reflectometry for inline screening
EP4082982A1 (en) 2021-04-27 2022-11-02 HeidelbergCement AG Composite cement with improved reactivity and method for manufacturing it
EP4384872A1 (en) * 2021-08-11 2024-06-19 ASML Netherlands B.V. Mask defect detection
WO2024123370A1 (en) * 2022-12-08 2024-06-13 Leia Inc. Mehtod of large-format imprint lithography and imprint lithography mold

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201310165A (en) * 2011-07-19 2013-03-01 Zeiss Carl Sms Gmbh Method and apparatus for analyzing and for removing a defect of an EUV photomask
US20160012579A1 (en) * 2014-05-06 2016-01-14 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
TW201602717A (en) * 2014-05-06 2016-01-16 克萊譚克公司 Reticle inspection using near-field recovery

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6122056A (en) * 1998-04-07 2000-09-19 International Business Machines Corporation Direct phase shift measurement between interference patterns using aerial image measurement tool
US7646906B2 (en) * 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
JP2007071678A (en) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp Inspection system
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US9710903B2 (en) * 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
US8711346B2 (en) * 2009-06-19 2014-04-29 Kla-Tencor Corporation Inspection systems and methods for detecting defects on extreme ultraviolet mask blanks
US9612541B2 (en) 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
US9478019B2 (en) * 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
WO2017027366A1 (en) * 2015-08-10 2017-02-16 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201310165A (en) * 2011-07-19 2013-03-01 Zeiss Carl Sms Gmbh Method and apparatus for analyzing and for removing a defect of an EUV photomask
US20160012579A1 (en) * 2014-05-06 2016-01-14 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
TW201602717A (en) * 2014-05-06 2016-01-16 克萊譚克公司 Reticle inspection using near-field recovery

Also Published As

Publication number Publication date
WO2018212787A1 (en) 2018-11-22
TW201901291A (en) 2019-01-01
JP2022164702A (en) 2022-10-27
IL270618B2 (en) 2023-11-01
JP7440580B2 (en) 2024-02-28
IL270618B1 (en) 2023-07-01
KR20230070057A (en) 2023-05-19
KR20190143450A (en) 2019-12-30
IL270618A (en) 2019-12-31
JP2020520481A (en) 2020-07-09
DE112017007551T5 (en) 2020-02-13

Similar Documents

Publication Publication Date Title
JP7262423B2 (en) Apparatus and method for predicting printability of wafer-level defects
TWI755453B (en) Method and system for qualifying a photolithographic reticle
US10395361B2 (en) Apparatus and methods for inspecting reticles
US9547892B2 (en) Apparatus and methods for predicting wafer-level defect printability
JP7422208B2 (en) Methods and systems for model-based critical dimension measurement
JP6594876B2 (en) Photolithographic reticle certification method and system
JP4940056B2 (en) Inspection method and apparatus for lithography mask