TWI755091B - Semiconductor device and method of forming the same - Google Patents

Semiconductor device and method of forming the same Download PDF

Info

Publication number
TWI755091B
TWI755091B TW109134918A TW109134918A TWI755091B TW I755091 B TWI755091 B TW I755091B TW 109134918 A TW109134918 A TW 109134918A TW 109134918 A TW109134918 A TW 109134918A TW I755091 B TWI755091 B TW I755091B
Authority
TW
Taiwan
Prior art keywords
layer
etch stop
forming
silicon
stop layer
Prior art date
Application number
TW109134918A
Other languages
Chinese (zh)
Other versions
TW202215551A (en
Inventor
周政偉
吳修銘
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW109134918A priority Critical patent/TWI755091B/en
Application granted granted Critical
Publication of TWI755091B publication Critical patent/TWI755091B/en
Publication of TW202215551A publication Critical patent/TW202215551A/en

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

A semiconductor device and a method of forming the same are provided. The method includes providing a substrate on which a buffer layer, a channel layer, and a barrier layer are sequentially formed; forming a doped compound semiconductor layer on a portion of the barrier layer; forming a first etch stop layer on the doped compound semiconductor layer; forming a second etch stop layer on the first etch stop layer; forming a dielectric layer on the second etch stop layer; forming an etch protection layer on the dielectric layer; performing a first etch process etching through the etch protection layer and partially through the dielectric layer to form a recess in the dielectric layer; performing a second etch process to etch the dielectric layer under the recess to form an opening, wherein the etch protection layer protects the underlying dielectric layer from etching during the second etch process; performing a removal process to remove a remaining portion of the etch protection layer on the dielectric layer.

Description

半導體裝置及其形成方法Semiconductor device and method of forming the same

本發明實施例是關於半導體裝置,特別是關於一種具有摻雜化合物半導體的半導體裝置及其形成方法。Embodiments of the present invention relate to a semiconductor device, and more particularly, to a semiconductor device having a doped compound semiconductor and a method for forming the same.

氮化鎵系(GaN-based)半導體材料具有許多優秀的材料特性,例如:高抗熱性、寬能隙(band-gap)、高電子飽和速率。因此,氮化鎵系半導體材料適用於高速與高溫的操作環境。近年來,氮化鎵系半導體材料已廣泛地應用於發光二極體(light emitting diode,LED)元件、高頻率元件,例如具有異質界面結構的高電子遷移率電晶體(high electron mobility transistor,HEMT)。GaN-based semiconductor materials have many excellent material properties, such as high thermal resistance, wide band-gap, and high electron saturation rate. Therefore, GaN-based semiconductor materials are suitable for high-speed and high-temperature operating environments. In recent years, GaN-based semiconductor materials have been widely used in light emitting diode (LED) devices and high-frequency devices, such as high electron mobility transistor (HEMT) with a heterointerface structure. ).

高電子遷移率電晶體在製程期間可能會受到製程(例如蝕刻製程)的影響,導致電性表現或均勻度變差。雖然現有的高電子遷移率電晶體已大致上合乎需求,但並非在各方面皆令人滿意。High electron mobility transistors may be affected by processes (eg, etching processes) during processing, resulting in poor electrical performance or uniformity. While existing high electron mobility transistors have generally been satisfactory, they are not satisfactory in all respects.

本發明實施例提供一種半導體裝置的形成方法,包括:提供基板,基板上依序形成有緩衝層、通道層、及阻障層;形成摻雜化合物半導體層於部分阻障層上;形成第一蝕刻停止層於摻雜化合物半導體層上;形成第二蝕刻停止層於第一蝕刻停止層上;形成介電層於第二蝕刻停止層上且介電層覆蓋部分摻雜化合物半導體層及摻雜化合物半導體層所露出的阻障層;形成蝕刻保護層於介電層上;執行第一蝕刻製程,蝕刻穿過蝕刻保護層且部分穿過介電層,以形成凹口於介電層中;執行第二蝕刻製程,蝕刻凹口下方的介電層以形成開口,開口露出部分第二蝕刻停止層,在第二蝕刻製程期間,蝕刻保護層保護下方的介電層免於蝕刻;執行移除製程,移除介電層上剩餘的蝕刻保護層;以及形成閘極金屬層以填充開口。An embodiment of the present invention provides a method for forming a semiconductor device, including: providing a substrate on which a buffer layer, a channel layer, and a barrier layer are formed in sequence; forming a doped compound semiconductor layer on part of the barrier layer; forming a first An etching stop layer is formed on the doped compound semiconductor layer; a second etching stop layer is formed on the first etching stop layer; a dielectric layer is formed on the second etching stop layer and the dielectric layer covers part of the doped compound semiconductor layer and the doping The barrier layer exposed by the compound semiconductor layer; forming an etching protection layer on the dielectric layer; performing a first etching process, etching through the etching protection layer and partially through the dielectric layer to form a notch in the dielectric layer; performing a second etching process, etching the dielectric layer under the notch to form an opening, the opening exposes a portion of the second etch stop layer, during the second etching process, the etching protection layer protects the underlying dielectric layer from etching; performing removal In the process, the remaining etch protection layer on the dielectric layer is removed; and a gate metal layer is formed to fill the opening.

本發明實施例提供一種半導體裝置,包括:基板、位於基板上的緩衝層、位於緩衝層上的通道層、及位於通道層上的阻障層;摻雜化合物半導體層,位於部分阻障層上;第一蝕刻停止層,位於摻雜化合物半導體層上;第二蝕刻停止層,位於第一蝕刻停止層上;介電層,位於第二蝕刻停止層上,其中介電層覆蓋部分摻雜化合物半導體層及摻雜化合物半導體層所露出的阻障層;以及 一閘極金屬層,位於部分第二蝕刻停止層上。 An embodiment of the present invention provides a semiconductor device, comprising: a substrate, a buffer layer on the substrate, a channel layer on the buffer layer, and a barrier layer on the channel layer; a doped compound semiconductor layer on part of the barrier layer The first etching stop layer is located on the doped compound semiconductor layer; the second etching stop layer is located on the first etching stop layer; the dielectric layer is located on the second etching stop layer, wherein the dielectric layer covers part of the doped compound a barrier layer exposed by the semiconductor layer and the doped compound semiconductor layer; and a gate metal layer on a portion of the second etch stop layer.

以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中具有重複的元件符號。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。The following disclosure provides numerous embodiments, or examples, for implementing various elements of the provided subject matter. Specific examples of elements and their configurations are described below to simplify the description of embodiments of the invention. Of course, these are only examples, and are not intended to limit the embodiments of the present invention. For example, if the description mentions that the first element is formed on the second element, it may include embodiments in which the first and second elements are in direct contact, and may also include additional elements formed between the first and second elements , so that they are not in direct contact with the examples. Additionally, embodiments of the invention may have repeated reference numerals in various instances. This repetition is for the purpose of brevity and clarity and is not intended to represent a relationship between the different embodiments and/or configurations discussed.

此外,在本發明的一些實施例中,關於接合、連接之用語例如「連接」、「互連」等,除非特別定義,否則可指兩個結構係直接接觸,或者亦可指兩個結構並非直接接觸,其中有其它結構設於此兩個結構之間。且此關於接合、連接之用語亦可包括兩個結構都可移動,或者兩個結構都固定之情況。In addition, in some embodiments of the present invention, terms related to joining and connecting, such as "connected", "interconnected", etc., unless otherwise defined, may mean that the two structures are in direct contact, or may also mean that the two structures are not in contact with each other. Direct contact, where there are other structures placed between the two structures. And the terms of joining and connecting can also include the case where both structures are movable, or both structures are fixed.

再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。Furthermore, spatially relative terms such as "below", "below", "lower", "above", "higher" and the like may be used for ease of description The relationship between one element(s) or feature(s) in the drawings and another element(s) or feature(s). Spatially relative terms are used to include different orientations of the device in use or operation, as well as the orientation depicted in the drawings. When the device is turned in a different orientation (rotated 90 degrees or otherwise), the spatially relative adjectives used therein will also be interpreted according to the turned orientation.

此處所使用的「約」、「大約」、「大抵」之用語通常表示在一給定值的±20%之內,較佳是±10%之內,且更佳是±5%之內,或±3%之內,或±2%之內,或±1%之內,或0.5%之內。舉例而言,用語「約5nm」可涵蓋從4.5nm至5.5nm的尺寸範圍。文中給定的數值為大約的數值,亦即在沒有特定說明「約」、「大約」、「大抵」的情況下,此給定的數值仍可隱含「約」、「大約」、「大抵」之含義。The terms "about", "approximately" and "approximately" as used herein generally mean within ±20%, preferably within ±10%, and more preferably within ±5% of a given value, or within ±3%, or within ±2%, or within ±1%, or within 0.5%. For example, the term "about 5 nm" can encompass a size range from 4.5 nm to 5.5 nm. The numerical value given in the text is an approximate numerical value, that is, the given numerical value may still imply “about”, “approximately” and “approximately” without specifying “about”, “approximately” or “approximately”. ' meaning.

以下敘述本發明的一些實施例,在這些實施例中所述的多個階段之前、期間及/或之後,可提供額外的步驟。所述的一些階段在不同實施例中可被替換或刪去。本發明實施例的半導體裝置可增加額外部件。所述的一些部件在不同實施例中可被替換或刪去。儘管所討論的一些實施例以特定順序的步驟執行,這些步驟仍可以另一合乎邏輯的順序執行。Some embodiments of the invention are described below, and additional steps may be provided before, during, and/or after the various stages described in these embodiments. Some of the stages described may be replaced or omitted in different embodiments. Additional components may be added to the semiconductor device of the embodiments of the present invention. Some of the components described may be replaced or omitted in different embodiments. Although some of the embodiments discussed are performed in a particular order of steps, the steps may be performed in another logical order.

本發明實施例提供半導體裝置的形成方法,在介電層上形成蝕刻保護層,以減少蝕刻製程對裝置造成的損壞,並進一步避免裝置短路。此外,本發明實施例提供的半導體裝置具有蝕刻停止層,除了蝕刻停止及保護其他部件的效果外,還可根據不同的設計需求,調整蝕刻停止層的配置。Embodiments of the present invention provide a method for forming a semiconductor device, in which an etching protection layer is formed on a dielectric layer, so as to reduce damage to the device caused by the etching process, and further prevent short circuit of the device. In addition, the semiconductor device provided by the embodiment of the present invention has an etch stop layer. In addition to the effect of etch stop and protection of other components, the configuration of the etch stop layer can be adjusted according to different design requirements.

第1-8圖是根據本發明的一些實施例,繪示出半導體裝置的製程剖面示意圖。參照第1圖,提供基板100,且基板100上依序形成有緩衝層102、通道層104、及阻障層106。基板100可包括:元素半導體,包括矽或鍺;化合物半導體,包括砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb);合金半導體,包括矽鍺合金、磷砷鎵合金、砷鋁銦合金、砷鋁鎵合金、砷銦鎵合金、磷銦鎵合金及/或磷砷銦鎵合金、或前述材料之組合。1-8 are schematic cross-sectional views illustrating a process of a semiconductor device according to some embodiments of the present invention. Referring to FIG. 1 , a substrate 100 is provided, and a buffer layer 102 , a channel layer 104 , and a barrier layer 106 are sequentially formed on the substrate 100 . The substrate 100 may include: elemental semiconductors including silicon or germanium; compound semiconductors including gallium arsenide (GaAs), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs) and/or indium antimonide (InSb); alloy semiconductors, including silicon-germanium alloys, phosphorus-gallium-arsenide alloys, arsenic-aluminum-indium alloys, arsenic-aluminum-gallium alloys, arsenic-indium-gallium alloys, phosphorus-indium-gallium alloys and/or phosphorus-indium-arsenide-gallium alloys, or combinations of the foregoing materials .

一些實施例中,基板100可為絕緣體上覆半導體(semiconductor on insulator)基板,例如:絕緣體上覆矽或絕緣體上覆矽鍺(silicon germanium on insulator,SGOI)。其他實施例中,基板100可為陶瓷基板,例如氮化鋁(AlN)基板、碳化矽(SiC)基板、氧化鋁(Al 2O 3)基板 (或稱為藍寶石(sapphire)基板)、玻璃基板、或其他類似的基板。一些實施例中,基板100可包含陶瓷基材及分別設置於陶瓷基材的上下表面的一對阻隔層,其中陶瓷基材可包含陶瓷材料,而陶瓷材料包含金屬無機材料。舉例而言,陶瓷基材可包含:碳化矽、氮化鋁、藍寶石基材、或其他適合的材料。前述藍寶石基材可為氧化鋁。 In some embodiments, the substrate 100 may be a semiconductor on insulator substrate, such as silicon on insulator or silicon germanium on insulator (SGOI). In other embodiments, the substrate 100 may be a ceramic substrate, such as an aluminum nitride (AlN) substrate, a silicon carbide (SiC) substrate, an aluminum oxide (Al 2 O 3 ) substrate (or referred to as a sapphire substrate), a glass substrate , or other similar substrates. In some embodiments, the substrate 100 may include a ceramic substrate and a pair of barrier layers respectively disposed on the upper and lower surfaces of the ceramic substrate, wherein the ceramic substrate may include a ceramic material, and the ceramic material includes a metal inorganic material. For example, the ceramic substrate may comprise: silicon carbide, aluminum nitride, sapphire substrate, or other suitable materials. The aforementioned sapphire substrate may be alumina.

基板100的晶格或熱膨脹係數可能與上方部件(例如通道層104)不同,因此基板100與上方部件的界面處或界面處附近可能產生應變(strain),容易形成裂縫或翹曲等缺陷。如第1圖所示,可形成緩衝層102於基板100上,以減緩形成於緩衝層102上方的部件(例如通道層104)之應變,防止缺陷形成於上方的部件中。緩衝層102的材料可包括:AlN、GaN、AlxGa 1-xN(其中0<x<1)、前述之組合、或其他類似的材料,且可由磊晶成長製程形成,例如:金屬有機化學氣相沉積、氫化物氣相磊晶法、分子束磊晶法、前述之組合、或類似方法。在一些實施例中,緩衝層102可為多層結構(未繪示)。舉例而言,緩衝層102可包括超晶格緩衝層及/或漸變式緩衝層,其中超晶格緩衝層設置於基板100上,漸變式緩衝層設置於超晶格緩衝層上,可以有效避免基板100內的差排(dislocation)進入上方部件,進一步提升上方的其他膜及/或層的結晶品質。 The lattice or thermal expansion coefficient of the substrate 100 may be different from that of the upper component (eg, the channel layer 104 ), so strain may be generated at or near the interface between the substrate 100 and the upper component, and defects such as cracks or warpage may be easily formed. As shown in FIG. 1, a buffer layer 102 may be formed on the substrate 100 to relieve the strain of components formed above the buffer layer 102 (eg, the channel layer 104) and prevent defects from forming in the above components. The material of the buffer layer 102 can include: AlN, GaN, AlxGa1 - xN (wherein 0<x<1), a combination of the foregoing, or other similar materials, and can be formed by an epitaxial growth process, such as metal organic chemical gas Phase deposition, hydride vapor phase epitaxy, molecular beam epitaxy, combinations of the foregoing, or similar methods. In some embodiments, the buffer layer 102 may be a multi-layer structure (not shown). For example, the buffer layer 102 may include a superlattice buffer layer and/or a graded buffer layer, wherein the superlattice buffer layer is disposed on the substrate 100 and the graded buffer layer is disposed on the superlattice buffer layer, which can effectively avoid Dislocations within the substrate 100 enter the upper components, further improving the crystalline quality of other films and/or layers above.

一些實施例中,可視需要(optional)形成晶種層(未繪示)於基板100與緩衝層102之間。在此些實施例中,晶種層可以緩解基板100與上方成長的膜及/或層之間的晶格差異,以提升結晶品質。晶種層的材料可包含: AlN、Al 2O 3、AlGaN、SiC、Al、前述之組合、或類似材料。可藉由合適的製程形成單層或多層結構的晶種層,例如:化學氣相沉積、原子層沉積、物理氣相沉積、其他製程、或前述之組合。在一些實施例中,緩衝層102的材料是取決於晶種層的材料和磊晶製程時所通入的氣體。 In some embodiments, a seed layer (not shown) may be optionally formed between the substrate 100 and the buffer layer 102 . In such embodiments, the seed layer can alleviate the lattice difference between the substrate 100 and the films and/or layers grown thereover to improve crystal quality. The material of the seed layer may include: AlN, Al 2 O 3 , AlGaN, SiC, Al, a combination of the foregoing, or the like. The single-layer or multi-layer structure of the seed layer can be formed by a suitable process, such as chemical vapor deposition, atomic layer deposition, physical vapor deposition, other processes, or a combination of the foregoing. In some embodiments, the material of the buffer layer 102 depends on the material of the seed layer and the gas introduced in the epitaxial process.

通道層104形成於緩衝層102上。一些實施例中,通道層104的材料包含二元(binary)III-V族化合物半導體材料,例如III族氮化物。舉例而言,通道層104的材料可為氮化鎵。在一些實施例中,可用n型摻雜劑或p型摻雜劑摻雜通道層104。可由磊晶成長製程形成通道層104,例如:金屬有機化學氣相沉積(MOCVD)、氫化物氣相磊晶法(HVPE)、分子束磊晶法(MBE)、前述之組合、或類似方法。一些實施例中,高電子遷移率電晶體的崩潰電壓(breakdown voltage)主要取決於氮化鎵通道層的厚度。舉例而言,氮化鎵通道層的厚度增加1µm可提升高電子遷移率電晶體的崩潰電壓(breakdown voltage)約100V。在形成氮化鎵層的磊晶成長製程期間,為了沉積氮化鎵材料於基板上,需要使用具有高熱傳導性及高機械強度的基板,否則可能造成基板彎曲,甚至破裂。相較於矽基板,氮化鋁基板具有較高的熱傳導性及機械強度,因此可將較厚的氮化鎵層形成於氮化鋁基板上。The channel layer 104 is formed on the buffer layer 102 . In some embodiments, the material of the channel layer 104 includes a binary III-V compound semiconductor material, such as a III-nitride. For example, the material of the channel layer 104 may be gallium nitride. In some embodiments, the channel layer 104 may be doped with n-type dopants or p-type dopants. The channel layer 104 may be formed by an epitaxial growth process such as metal organic chemical vapor deposition (MOCVD), hydride vapor phase epitaxy (HVPE), molecular beam epitaxy (MBE), combinations of the foregoing, or the like. In some embodiments, the breakdown voltage of the high electron mobility transistor mainly depends on the thickness of the GaN channel layer. For example, increasing the thickness of the GaN channel layer by 1µm can increase the breakdown voltage of the high electron mobility transistor by about 100V. During the epitaxial growth process for forming the gallium nitride layer, in order to deposit the gallium nitride material on the substrate, a substrate with high thermal conductivity and high mechanical strength needs to be used, otherwise the substrate may be bent or even cracked. Compared with the silicon substrate, the aluminum nitride substrate has higher thermal conductivity and mechanical strength, so a thicker gallium nitride layer can be formed on the aluminum nitride substrate.

阻障層106形成於通道層104上。阻障層106的材料可包含三元(ternary)III-V族化合物半導體,例如III族氮化物。舉例而言,阻障層106的材料可為AlGaN、AlInN、或前述之組合。其他實施例中,阻障層106也可包括:GaN、AlN、GaAs、GaInP、AlGaAs、InP、InAlAs、InGaAs、其他適當的III-V族材料、或前述之組合。一些實施例中,阻障層106可為摻雜的,例如以n型摻雜劑或p型摻雜劑摻。阻障層106可由磊晶成長製程形成,例如:金屬有機化學氣相沉積、氫化物氣相磊晶法、分子束磊晶法、前述之組合、或類似方法。根據本發明的一些實施例,通道層104與阻障層106的材料不同,其界面處為異質接面(heterojunction)結構,由於通道層104與阻障層106的晶格不匹配,可能產生應力而導致壓電極化效應,且III族金屬(例如Al、Ga、或In)與氮之鍵結的離子性較強,導致自發極化。由於通道層104與阻障層106的能隙(energy gap)不同以及前述的壓電極化與自發極化效應,形成了二維電子氣(two-dimensional electron gas,2DEG)(未繪示)於通道層104與阻障層106之間的異質界面上。本發明實施例的一些半導體裝置是利用二維電子氣(2DEG)作為導電載子的高電子遷移率電晶體(HEMT)。The barrier layer 106 is formed on the channel layer 104 . The material of the barrier layer 106 may include a ternary group III-V compound semiconductor, such as a group III nitride. For example, the material of the barrier layer 106 may be AlGaN, AlInN, or a combination thereof. In other embodiments, the barrier layer 106 may also include: GaN, AlN, GaAs, GaInP, AlGaAs, InP, InAlAs, InGaAs, other suitable III-V materials, or a combination of the foregoing. In some embodiments, the barrier layer 106 may be doped, eg, with an n-type dopant or a p-type dopant. The barrier layer 106 may be formed by an epitaxial growth process, such as metal organic chemical vapor deposition, hydride vapor phase epitaxy, molecular beam epitaxy, combinations of the foregoing, or the like. According to some embodiments of the present invention, the material of the channel layer 104 and the barrier layer 106 are different, and the interface of the channel layer 104 and the barrier layer 106 is a heterojunction structure. Since the lattice of the channel layer 104 and the barrier layer 106 do not match, stress may be generated This results in piezoelectric polarization effect, and the bonding between group III metals (eg, Al, Ga, or In) and nitrogen is highly ionic, resulting in spontaneous polarization. Due to the difference in energy gap between the channel layer 104 and the barrier layer 106 and the aforementioned piezoelectric polarization and spontaneous polarization effects, a two-dimensional electron gas (2DEG) (not shown) is formed in the On the hetero interface between the channel layer 104 and the barrier layer 106 . Some semiconductor devices of embodiments of the present invention are high electron mobility transistors (HEMTs) utilizing two-dimensional electron gas (2DEG) as conductive carriers.

摻雜化合物半導體層108形成於阻障層106上。根據本發明的一些實施例,摻雜化合物半導體層108的材料包括摻雜的化合物半導體材料,例如以p型摻雜劑或n型摻雜劑摻雜的GaN。形成摻雜化合物半導體層108的步驟可包含:透過磊晶成長製程在阻障層106上沉積摻雜化合物半導體材料層、在摻雜化合物半導體材料層上形成圖案化遮罩層、對摻雜化合物半導體材料層執行蝕刻製程,以移除摻雜化合物半導體材料層未被圖案化遮罩層覆蓋的部分、以及將圖案化遮罩移除。前述圖案化遮罩層可為硬遮罩或光阻。在一些實施例中,摻雜的化合物半導體層可與晶種層(可選的)、緩衝層102、通道層104、及阻障層106在相同的沉積腔室中原位(in-situ)沉積。摻雜化合物半導體層108可具有如第1圖所示的長方形剖面。在其他實施例中,摻雜化合物半導體層108可具有其他形狀的剖面,例如梯形剖面。The doped compound semiconductor layer 108 is formed on the barrier layer 106 . According to some embodiments of the present invention, the material of the doped compound semiconductor layer 108 includes a doped compound semiconductor material, such as GaN doped with a p-type dopant or an n-type dopant. The step of forming the doped compound semiconductor layer 108 may include: depositing a doped compound semiconductor material layer on the barrier layer 106 through an epitaxial growth process, forming a patterned mask layer on the doped compound semiconductor material layer, An etching process is performed on the semiconductor material layer to remove the portion of the doped compound semiconductor material layer not covered by the patterned mask layer, and to remove the patterned mask. The aforementioned patterned mask layer can be a hard mask or a photoresist. In some embodiments, the doped compound semiconductor layer may be deposited in-situ in the same deposition chamber as the seed layer (optional), buffer layer 102, channel layer 104, and barrier layer 106 . The doped compound semiconductor layer 108 may have a rectangular cross-section as shown in FIG. 1 . In other embodiments, the doped compound semiconductor layer 108 may have a cross-section of other shapes, such as a trapezoidal cross-section.

參照第1圖,第一蝕刻停止層111形成於摻雜化合物半導體層108上。第一蝕刻停止層111的材料可包括氮化物,例如:氮化矽、氮氧化矽、碳氮化矽、碳氮氧化、氮化鎵、氮化鋁、金屬氮化物、金屬氮化矽化物、或前述之組合。前述金屬氮化物,舉例而言,可包括:氮化鈦、氮化钼、氮化鎢、氮化鉭、氮化鉭矽、氮化鉭矽、氮化鋁鈦或其他適合的材料、或前述之組合。形成第一蝕刻停止層111的步驟可包括沉積蝕刻停止材料層並將其圖案化。舉例而言,沉積製程可包括:化學氣相沉積(CVD)、原子層沉積(ALD)、或物理氣相沉積(PVD)(如濺鍍或蒸鍍)、其他適合的製程、或前述之組合。一些實施例中,在後續的製程期間,第一蝕刻停止層111可保護下方的摻雜化合物半導體層108,降低或避免摻雜化合物半導體層108受到蝕刻製程中使用的蝕刻劑(例如電漿)或環境中的氣體影響所導致的電性均勻度不佳。第一蝕刻停止層111的厚度可為約100Å至1000Å,例如300Å。第二蝕刻停止層112形成於第一蝕刻停止層111上,如第1圖所示。第二蝕刻停止層112可在後續的蝕刻製程中作為蝕刻停止層並保護第一蝕刻停止層111,避免蝕刻劑損壞第一蝕刻停止層111而影響裝置的臨界電壓。第二蝕刻停止層112的材料與第一蝕刻停止層111不同。舉例而言,第二蝕刻停止層112的材料可包括:摻雜或未摻雜的矽、氧化矽、碳化矽、氮化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、金屬矽化物、四乙氧基矽烷(tetraethoxysilane,TEOS)氧化物、磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、低介電常數介電材料(介電常數小於4)、其他適合的材料或前述之組合。Referring to FIG. 1 , a first etch stop layer 111 is formed on the doped compound semiconductor layer 108 . The material of the first etch stop layer 111 may include nitrides, such as silicon nitride, silicon oxynitride, silicon carbonitride, oxycarbonitride, gallium nitride, aluminum nitride, metal nitride, metal silicide nitride, or a combination of the foregoing. The aforementioned metal nitrides, for example, may include: titanium nitride, molybdenum nitride, tungsten nitride, tantalum nitride, tantalum silicon nitride, tantalum silicon nitride, aluminum titanium nitride or other suitable materials, or the aforementioned combination. The step of forming the first etch stop layer 111 may include depositing and patterning a layer of etch stop material. For example, the deposition process may include: chemical vapor deposition (CVD), atomic layer deposition (ALD), or physical vapor deposition (PVD) (eg, sputtering or evaporation), other suitable processes, or a combination of the foregoing . In some embodiments, during subsequent processes, the first etch stop layer 111 can protect the underlying doped compound semiconductor layer 108 to reduce or prevent the doped compound semiconductor layer 108 from being subjected to an etchant (eg, plasma) used in the etching process. Or poor electrical uniformity caused by the influence of gas in the environment. The thickness of the first etch stop layer 111 may be about 100 Å to 1000 Å, eg, 300 Å. The second etch stop layer 112 is formed on the first etch stop layer 111 , as shown in FIG. 1 . The second etch stop layer 112 can serve as an etch stop layer and protect the first etch stop layer 111 in the subsequent etching process, so as to prevent the etchant from damaging the first etch stop layer 111 and affecting the threshold voltage of the device. The material of the second etch stop layer 112 is different from that of the first etch stop layer 111 . For example, the material of the second etch stop layer 112 may include: doped or undoped silicon, silicon oxide, silicon carbide, silicon nitride, silicon oxynitride, silicon carbonitride, silicon oxycarbide, oxycarbonitride Silicon, metal silicide, tetraethoxysilane (TEOS) oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), low-k dielectric materials ( The dielectric constant is less than 4), other suitable materials, or a combination of the foregoing.

可使用沉積製程來沉積蝕刻停止材料層並將其圖案化,以形成第二蝕刻停止層112,其厚度可為約2nm至約50nm,例如約10至20 nm。沉積製程可包括:化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積(HDPCVD)、金屬有機化學氣相沈積(MOCVD)、遠端電漿化學氣相沉積(RPCVD)、電漿輔助化學氣相沉積(PECVD)、電鍍、其他適合的製程、或前述之組合。在一些實施例中,第二蝕刻停止層112與第一蝕刻停止層111可保護摻雜化合物半導體層108,降低或避免摻雜化合物半導體層108受到蝕刻製程影響而導致電性表現不佳。A deposition process may be used to deposit and pattern a layer of etch stop material to form the second etch stop layer 112, which may be about 2 nm to about 50 nm thick, eg, about 10 to 20 nm thick. Deposition processes may include: chemical vapor deposition, physical vapor deposition, atomic layer deposition, high density plasma chemical vapor deposition (HDPCVD), metal organic chemical vapor deposition (MOCVD), remote plasma chemical vapor deposition ( RPCVD), plasma assisted chemical vapor deposition (PECVD), electroplating, other suitable processes, or a combination of the foregoing. In some embodiments, the second etch stop layer 112 and the first etch stop layer 111 can protect the doped compound semiconductor layer 108 to reduce or prevent the doped compound semiconductor layer 108 from being affected by the etching process and cause poor electrical performance.

在一特定實施例中,第一蝕刻停止層111包括氮化鈦且第二蝕刻停止層112包括摻雜或未摻雜的矽。包含氮化鈦的第一蝕刻停止層111可與摻雜化合物半導體層108形成蕭特基障壁(Schottky barrier),使臨界電壓(threshold voltage)上升。此實施例中,除了保護摻雜化合物半導體層108免於後續製程的影響外,可依產品的應用及設計需求決定第二蝕刻停止層112為摻雜或未摻雜的多晶矽。其中,第一蝕刻停止層111、第二蝕刻停止層112的寬度與摻雜化合物半導體層108的寬度實質上相同。於一實施例中,第一蝕刻停止層111、第二蝕刻停止層112的寬度與摻雜化合物半導體層108的寬度可為不相同。In a particular embodiment, the first etch stop layer 111 includes titanium nitride and the second etch stop layer 112 includes doped or undoped silicon. The first etch stop layer 111 including titanium nitride can form a Schottky barrier with the doped compound semiconductor layer 108 to increase the threshold voltage. In this embodiment, in addition to protecting the doped compound semiconductor layer 108 from subsequent processes, the second etch stop layer 112 can be doped or undoped polysilicon according to the application and design requirements of the product. The widths of the first etch stop layer 111 and the second etch stop layer 112 are substantially the same as the width of the doped compound semiconductor layer 108 . In one embodiment, the widths of the first etch stop layer 111 and the second etch stop layer 112 and the width of the doped compound semiconductor layer 108 may be different.

如第1圖所示,介電層110形成於第二蝕刻停止層112上,且介電層110覆蓋部分摻雜化合物半導體層108及摻雜化合物半導體層108所露出的阻障層106。介電層110可包括單層或多層介電材料,例如:氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(tetraethoxysilane,TEOS)氧化物、磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、低介電常數介電材料、及/或其他適合的介電材料。前述低介電常數介電材料可包含(但不限於):氟化矽玻璃(fluorinated silica glass,FSG)、氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)、摻雜碳的氧化矽、非晶質氟化碳(fluorinated carbon)、聚對二甲苯(parylene)、苯並環丁烯(bis-benzocyclobutenes,BCB)、或聚醯亞胺(polyimide)。可使用沉積製程來形成介電層110,例如:旋轉塗佈、化學氣相沉積、原子層沉積、高密度電漿化學氣相沉積、其他合適的製程、或前述之組合。As shown in FIG. 1 , the dielectric layer 110 is formed on the second etch stop layer 112 , and the dielectric layer 110 covers part of the doped compound semiconductor layer 108 and the barrier layer 106 exposed by the doped compound semiconductor layer 108 . The dielectric layer 110 may include a single layer or multiple layers of dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, tetraethoxysilane (TEOS) oxide, phosphosilicate glass (PSG), Borophosphosilicate glass (BPSG), low-k dielectric materials, and/or other suitable dielectric materials. The aforementioned low-k dielectric materials may include (but are not limited to): fluorinated silica glass (FSG), hydrogen silsesquioxane (HSQ), carbon-doped silicon oxide, amorphous Fluorinated carbon, parylene, bis-benzocyclobutenes (BCB), or polyimide. The dielectric layer 110 may be formed using a deposition process, such as spin coating, chemical vapor deposition, atomic layer deposition, high density plasma chemical vapor deposition, other suitable processes, or a combination of the foregoing.

參照第2圖,蝕刻保護層114形成於介電層110上。蝕刻保護層114的材料包括:氮化矽、氮化鈦、其他適合材料、或前述之組合,且可透過沉積製程形成,例如:化學氣相沉積、原子層沉積、或物理氣相沉積(如濺鍍或蒸鍍)、其他適合的製程、或前述之組合。在其他實施例中,蝕刻保護層114可為光阻材料。蝕刻保護層114的厚度可為約100Å至約1000Å,例如300Å。在一些實施例中,蝕刻保護層114可在後續的蝕刻製程期間保護下方的介電層110,以避免介電層110中產生缺陷且確保後續形成開口116O的開口率。Referring to FIG. 2 , an etching protection layer 114 is formed on the dielectric layer 110 . The material of the etching protection layer 114 includes: silicon nitride, titanium nitride, other suitable materials, or a combination of the foregoing, and can be formed by a deposition process, such as chemical vapor deposition, atomic layer deposition, or physical vapor deposition (such as sputtering or evaporation), other suitable processes, or a combination of the foregoing. In other embodiments, the etch protection layer 114 may be a photoresist material. The thickness of the etch protection layer 114 may be from about 100 Å to about 1000 Å, eg, 300 Å. In some embodiments, the etch protection layer 114 can protect the underlying dielectric layer 110 during subsequent etching processes to avoid defects in the dielectric layer 110 and ensure an aperture ratio of the openings 116O to be formed subsequently.

參照第3圖,執行第一蝕刻製程,蝕刻穿過蝕刻保護層114且部分穿過介電層110,以形成凹口116R於介電層110中。凹口116R的位置對應於後續將形成閘極金屬層的位置。詳細而言,第一蝕刻製程蝕刻穿過第二蝕刻停止層112上方的蝕刻保護層114但未完全蝕穿第二蝕刻停止層112上方的介電層110,以保留部分的介電層110在凹口116R下方。一些實施例中,在第一蝕刻製程後,凹口116R的底表面下方剩餘的介電層110的厚度為約300Å至1000Å,例如約300 Å。此些實施例中,第二蝕刻停止層112上方的介電層110未被完全移除,可避免第一蝕刻製程的蝕刻劑對介電層110下方的部件造成不利的影響。根據本發明的一些實施例,蝕刻保護層114的材料包括氮化矽或氮化鈦且介電層110的材料包括氧化矽時,第一蝕刻製程為乾蝕刻製程,其中使用的蝕刻劑包括以氬(Ar)或氟(F)氣體組成的電漿,然本發明並不以此為限。在其他實施例中,蝕刻保護層114的材料包括有機材料,例如光阻、旋塗式玻璃(spin on glass, SOG)、樹脂、聚醯亞胺。在一實施例中,蝕刻保護層114的材料包括無機材料,例如氧化矽、氮化鈦、氮化矽、氮氧化矽、四乙氧基矽烷(tetraethoxysilane,TEOS)氧化物、磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、低介電常數介電材料(介電係數小於4)、矽、碳化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、金屬矽化物、及/或其他適合的介電材料。Referring to FIG. 3 , a first etching process is performed to etch through the etch protection layer 114 and partially through the dielectric layer 110 to form a recess 116R in the dielectric layer 110 . The position of the notch 116R corresponds to the position where the gate metal layer will be formed later. In detail, the first etching process etches through the etch protection layer 114 above the second etch stop layer 112 but does not completely etch through the dielectric layer 110 above the second etch stop layer 112, so that part of the dielectric layer 110 remains in the Below notch 116R. In some embodiments, after the first etching process, the thickness of the remaining dielectric layer 110 under the bottom surface of the recess 116R is about 300 Å to 1000 Å, eg, about 300 Å. In these embodiments, the dielectric layer 110 above the second etch stop layer 112 is not completely removed, which can prevent the etchant from the first etching process from adversely affecting the components below the dielectric layer 110 . According to some embodiments of the present invention, when the material of the etching protection layer 114 includes silicon nitride or titanium nitride and the material of the dielectric layer 110 includes silicon oxide, the first etching process is a dry etching process, and the used etchant includes a The plasma is composed of argon (Ar) or fluorine (F) gas, but the present invention is not limited thereto. In other embodiments, the material of the etching protection layer 114 includes organic materials, such as photoresist, spin on glass (SOG), resin, polyimide. In one embodiment, the material of the etching protection layer 114 includes inorganic materials, such as silicon oxide, titanium nitride, silicon nitride, silicon oxynitride, tetraethoxysilane (TEOS) oxide, and phosphosilicate glass (phosphosilicate glass). glass, PSG), borophosphosilicate glass (BPSG), low-k dielectric materials (dielectric coefficient less than 4), silicon, silicon carbide, silicon oxynitride, silicon carbonitride, silicon oxycarbide , silicon oxycarbonitride, metal silicide, and/or other suitable dielectric materials.

介電層110的材料包括氧化矽時,第一蝕刻製程為濕蝕刻製程,其中使用的蝕刻劑包括 氫氟酸(HF)或緩衝氧化矽蝕刻液(BOE) ,然本發明並不以此為限。When the material of the dielectric layer 110 includes silicon oxide, the first etching process is a wet etching process, and the etchant used includes hydrofluoric acid (HF) or buffered silicon oxide etching solution (BOE), but the present invention does not use this as a limit.

參照第4圖,執行第二蝕刻製程,將凹口116R下方的介電層110移除,以露出第二蝕刻停止層112並形成開口116O。根據本發明的一些實施例,介電層110的材料包括氧化矽時,第二蝕刻製程較佳為濕蝕刻製程,其中使用的蝕刻劑包括緩衝氧化矽蝕刻液(BOE)。在第二蝕刻製程期間,蝕刻保護層114可保護下方的介電層110免於蝕刻,以避免介電層110中產生缺陷且避免介電層110的缺陷(若存在)擴大。第二蝕刻停止層112可於蝕刻製程中保護摻雜化合物半導體層108。Referring to FIG. 4 , a second etching process is performed to remove the dielectric layer 110 under the notch 116R to expose the second etch stop layer 112 and form an opening 116O. According to some embodiments of the present invention, when the material of the dielectric layer 110 includes silicon oxide, the second etching process is preferably a wet etching process, wherein the etchant used includes a buffered silicon oxide etchant (BOE). During the second etching process, the etch protection layer 114 may protect the underlying dielectric layer 110 from etching to avoid defects in the dielectric layer 110 and to prevent defects (if any) from expanding in the dielectric layer 110 . The second etch stop layer 112 can protect the doped compound semiconductor layer 108 during the etching process.

在前述介電層110的沉積製程中,由於介電層110為共形地沉積於第二蝕刻停止層112上,由於地形起伏,介電層110產生的邊角可能導致在介電層110中產生缺陷,例如孔縫。在習知的製程中,蝕刻製程也可能使介電層中產生缺陷,或蝕刻劑可能進入介電層的孔縫中而使孔縫擴張,進而導致後續填充閘極金屬時,閘極金屬可能填入介電層的孔縫中,造成裝置短路(例如閘極-汲極短路)。本發明實施例提供的半導體裝置的形成方法包括在介電層110上方形成蝕刻保護層114,在蝕刻製程期間保護介電層110,防止蝕刻製程在介電層110中形成缺陷或使介電層的孔縫擴張,從而避免裝置短路。In the aforementioned deposition process of the dielectric layer 110 , since the dielectric layer 110 is conformally deposited on the second etch stop layer 112 , the corners generated by the dielectric layer 110 may be caused by the topographical relief in the dielectric layer 110 . Defects, such as holes and seams, are created. In the conventional manufacturing process, the etching process may also cause defects in the dielectric layer, or the etchant may enter into the apertures of the dielectric layer to expand the apertures, thus causing the gate metal to be subsequently filled when the gate metal is subsequently filled. Fill in the holes of the dielectric layer, causing device short circuit (eg gate-drain short circuit). The method for forming a semiconductor device provided by the embodiment of the present invention includes forming an etch protection layer 114 over the dielectric layer 110, protecting the dielectric layer 110 during the etching process, and preventing the etching process from forming defects in the dielectric layer 110 or causing the dielectric layer The apertures are expanded to avoid short-circuiting of the device.

參照第5圖,執行移除製程以移除介電層110上剩餘的蝕刻保護層114。在移除製程期間,第二蝕刻停止層112可保護下方的第一蝕刻停止層111及摻雜化合物半導體層108。舉例而言,當蝕刻保護層114與第一蝕刻停止層111包括相同的材料時,且第二蝕刻停止層112與第一蝕刻停止層111不同時,在移除製程期間第二蝕刻停止層112可保護第一蝕刻停止層111免於蝕刻。在一些實施例中,蝕刻保護層114的材料包括氮化矽或氮化鈦時,移除製程包括濕蝕刻製程,其中使用的蝕刻劑包括:鹽酸或硫酸等酸搭配雙氧水,然本發明並不以此為限。Referring to FIG. 5 , a removal process is performed to remove the remaining etch protection layer 114 on the dielectric layer 110 . During the removal process, the second etch stop layer 112 may protect the underlying first etch stop layer 111 and the doped compound semiconductor layer 108 . For example, when the etch protection layer 114 and the first etch stop layer 111 comprise the same material, and the second etch stop layer 112 is different from the first etch stop layer 111, the second etch stop layer 112 during the removal process The first etch stop layer 111 may be protected from etching. In some embodiments, when the material of the etching protection layer 114 includes silicon nitride or titanium nitride, the removal process includes a wet etching process, and the etchant used includes an acid such as hydrochloric acid or sulfuric acid combined with hydrogen peroxide. However, the present invention does not This is the limit.

參照第6圖,形成閘極金屬層118以填充開口116O。閘極金屬層118的材料可包括:金屬、金屬氮化物、金屬氧化物、金屬合金、其他適合的導電材料、前述之組合、或前述之多層結構。舉例而言,金屬可包括:Au、Ni、Pt、Pd、Ir、Ti、Cr、W、Al、Cu、或其他類似材料;金屬氮化物可包括: MoN、WN、TiN、TaN、TaSiN、TaCN、TiAlN、或其他類似材料。其他實施例中,閘極金屬層118的導電材料可包括:NiSi、CoSi、TaC、TiAl、或其他類似材料。可透過沉積製程來形成前述的材料層,例如:化學氣相沉積(CVD)、原子層沉積(ALD)、或物理氣相沉積(PVD)(如濺鍍或蒸鍍),然後將其圖案化,以形成閘極金屬層118。Referring to FIG. 6, a gate metal layer 118 is formed to fill the opening 116O. The material of the gate metal layer 118 may include: metals, metal nitrides, metal oxides, metal alloys, other suitable conductive materials, a combination of the foregoing, or a multilayer structure of the foregoing. For example, metals may include: Au, Ni, Pt, Pd, Ir, Ti, Cr, W, Al, Cu, or other similar materials; metal nitrides may include: MoN, WN, TiN, TaN, TaSiN, TaCN , TiAlN, or other similar materials. In other embodiments, the conductive material of the gate metal layer 118 may include: NiSi, CoSi, TaC, TiAl, or other similar materials. The aforementioned material layers can be formed by deposition processes, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), or physical vapor deposition (PVD) (eg, sputtering or evaporation), and then patterned , to form the gate metal layer 118 .

參照第7及8圖,可在移除製程之後,形成源極/汲極結構124/126於摻雜化合物半導體層108的兩側。一些實施例中,源極/汲極結構124/126的形成方法包括:執行圖案化製程以形成穿過介電層110及阻障層106並延伸至通道層104中的一對(或更多個)開口120、沉積導電材料層於開口120中、將導電材料層圖案化以形成源極/汲極結構124/126。導電材料層可包括上述閘極金屬層118的材料、其組合、或前述的多層結構。其他實施例中,源極/汲極結構124/126的材料可包括:NiSi、CoSi、TaC、TaSiN、TaCN、TiAl、TiAlN、金屬氧化物、金屬合金、其他適合的導電材料、前述之組合、或前述之多層結構。一些實施例中,源極/汲極結構124/126可包括與閘極金屬層118相同或類似的材料,且可由同一道製程來形成或是由不同的製程來形成。Referring to FIGS. 7 and 8, source/drain structures 124/126 may be formed on both sides of the doped compound semiconductor layer 108 after the removal process. In some embodiments, the source/drain structures 124/126 are formed by performing a patterning process to form a pair (or more) through the dielectric layer 110 and the barrier layer 106 and into the channel layer 104. (a) openings 120, depositing a layer of conductive material in the openings 120, patterning the layer of conductive material to form source/drain structures 124/126. The conductive material layer may include the materials of the gate metal layer 118 described above, a combination thereof, or the aforementioned multi-layer structure. In other embodiments, the materials of the source/drain structures 124/126 may include: NiSi, CoSi, TaC, TaSiN, TaCN, TiAl, TiAlN, metal oxides, metal alloys, other suitable conductive materials, combinations of the foregoing, or the aforementioned multilayer structure. In some embodiments, the source/drain structures 124/126 may comprise the same or similar materials as the gate metal layer 118, and may be formed by the same process or by different processes.

於另一實施例,於閘極金屬層118上形成另一介電層(圖未繪示),源極/汲極結構124/126形成於另一介電層上。開口120穿過所述另一介電層、介電層110及阻障層106並延伸至通道層104中,源極/汲極結構124/126填入開口120。於此實施例中,閘極金屬層118與源極/汲極結構124/126於不同步驟中形成。In another embodiment, another dielectric layer (not shown) is formed on the gate metal layer 118, and the source/drain structures 124/126 are formed on the other dielectric layer. Openings 120 extend through the further dielectric layer, dielectric layer 110 and barrier layer 106 and into the channel layer 104 with source/drain structures 124/126 filling the openings 120 . In this embodiment, the gate metal layer 118 and the source/drain structures 124/126 are formed in different steps.

在其他實施例中,可在形成介電層110於第二蝕刻停止層112上之後以及執行移除製程之前,形成源極/汲極結構124/126於摻雜化合物半導體層108的兩側。舉例而言,參照第9圖,可在形成介電層110於第二蝕刻停止層112上之後以及形成蝕刻保護層114於介電層110上之前,形成源極/汲極結構124/126。形成源極/汲極結構124/126的方法包括:執行圖案化製程以形成穿過介電層110及阻障層106並延伸至通道層104中的一對(或更多個)開口、沉積導電材料層於開口中、以及將導電材料層圖案化以形成源極/汲極結構124/126。導電材料層的材料與上述源極/汲極結構124/126的材料相同或類似。於一實施例中,可於介電層110上形成另一介電層。之後,如第10圖所示,形成蝕刻保護層114於介電層110及源極/汲極結構124/126上,或形成蝕刻保護層114於另一介電層及源極/汲極結構124/126上。隨後可執行類似第3圖至第6圖所示的製程,以形成如第8圖所示的半導體裝置10。在此些實施例中,蝕刻保護層114也具有前述保護介電層110以避免裝置短路的效果。In other embodiments, the source/drain structures 124/126 may be formed on both sides of the doped compound semiconductor layer 108 after the dielectric layer 110 is formed on the second etch stop layer 112 and before the removal process is performed. For example, referring to FIG. 9, the source/drain structures 124/126 may be formed after the dielectric layer 110 is formed on the second etch stop layer 112 and before the etch protection layer 114 is formed on the dielectric layer 110. Methods of forming source/drain structures 124/126 include performing a patterning process to form a pair (or more) of openings through dielectric layer 110 and barrier layer 106 and extending into channel layer 104, depositing A layer of conductive material is in the opening, and the layer of conductive material is patterned to form source/drain structures 124/126. The material of the conductive material layer is the same as or similar to the material of the above-mentioned source/drain structures 124/126. In one embodiment, another dielectric layer may be formed on the dielectric layer 110 . Then, as shown in FIG. 10, an etching protection layer 114 is formed on the dielectric layer 110 and the source/drain structures 124/126, or an etching protection layer 114 is formed on another dielectric layer and the source/drain structures on 124/126. Processes similar to those shown in FIGS. 3 to 6 may then be performed to form the semiconductor device 10 shown in FIG. 8 . In such embodiments, the etching protection layer 114 also has the aforementioned effect of protecting the dielectric layer 110 to avoid short circuiting of the device.

參照第11圖,相較於第8圖所示的半導體裝置10,半導體裝置20更包括第三蝕刻停止層113,位於摻雜化合物半導體層108與第一蝕刻停止層111之間。一些實施例中,第三蝕刻停止層113的材料可與第二蝕刻停止層112的材料相同或類似。可透過類似上述第一蝕刻停止層111或第二蝕刻停止層112的形成方法來形成第三蝕刻停止層113。第三蝕刻停止層113的厚度可為約2nm至約50nm,例如約10至20nm。在本發明的一些實施例中,第一蝕刻停止層111包括氮化鈦且第二蝕刻停止層112及第三蝕刻停止層113包括摻雜或未摻雜的矽,前述矽可為單晶(single crystal)、多晶(poly-crystal)、或非晶的(amorphous)。在此些實施例中,除了具有上述第一蝕刻停止層111及第二蝕刻停止層112的一些優點外,依所欲的臨界電壓而定,第三蝕刻停止層113可為摻雜或未摻雜的多晶矽。在進一步的實施例中,可改變第三蝕刻停止層113的摻雜濃度,以調整臨界電壓。Referring to FIG. 11 , compared with the semiconductor device 10 shown in FIG. 8 , the semiconductor device 20 further includes a third etch stop layer 113 located between the doped compound semiconductor layer 108 and the first etch stop layer 111 . In some embodiments, the material of the third etch stop layer 113 may be the same as or similar to the material of the second etch stop layer 112 . The third etch stop layer 113 can be formed by a method similar to the above-described formation method of the first etch stop layer 111 or the second etch stop layer 112 . The thickness of the third etch stop layer 113 may be about 2 nm to about 50 nm, eg, about 10 to 20 nm. In some embodiments of the present invention, the first etch stop layer 111 includes titanium nitride and the second etch stop layer 112 and the third etch stop layer 113 include doped or undoped silicon, which may be a single crystal ( single crystal), poly-crystal, or amorphous. In these embodiments, in addition to some advantages of the first etch stop layer 111 and the second etch stop layer 112 described above, the third etch stop layer 113 can be doped or undoped depending on the desired threshold voltage miscellaneous polysilicon. In further embodiments, the doping concentration of the third etch stop layer 113 can be changed to adjust the threshold voltage.

在p型摻雜的摻雜化合物半導體層108的一些實施例中,由於摻雜劑在摻雜後的活化率較低,未活化的摻雜劑可能會在摻雜化合物半導體層108中產生許多帶電的缺陷,進而影響半導體裝置之性能。在此些實施例中,除了上述的效果以及可保護下方的摻雜化合物半導體層108不受到後續製程的不利影響外,第三蝕刻停止層113中未摻雜的矽更可與前述未活化的摻雜劑相互補償,進一步改善半導體裝置的特性,並使半導體裝置得以提供較高的飽和電流。此外,相較於p型摻雜的摻雜化合物半導體層108,第三蝕刻停止層113中未摻雜的矽為n型半導體材料,因此第三蝕刻停止層113中未摻雜的矽可與p型摻雜的摻雜化合物半導體層108形成NP接面(NP junction)。此NP接面在半導體裝置開啟時(on-state)為逆向偏壓(reverse bias),可減少半導體裝置的閘極漏電流,亦可增加閘極的崩潰電壓。In some embodiments of the p-type doped doped compound semiconductor layer 108 , due to the low activation rate of the dopant after doping, the unactivated dopant may generate many Charged defects, which in turn affect the performance of semiconductor devices. In these embodiments, in addition to the above-mentioned effects and the ability to protect the underlying doped compound semiconductor layer 108 from being adversely affected by subsequent processes, the undoped silicon in the third etch stop layer 113 can be more compatible with the aforementioned unactivated silicon The dopants compensate each other to further improve the characteristics of the semiconductor device and enable the semiconductor device to provide a higher saturation current. In addition, compared with the p-type doped doped compound semiconductor layer 108, the undoped silicon in the third etch stop layer 113 is an n-type semiconductor material, so the undoped silicon in the third etch stop layer 113 can be combined with The p-type doped doped compound semiconductor layer 108 forms an NP junction. The NP junction is reverse biased when the semiconductor device is on-state, which can reduce the gate leakage current of the semiconductor device and increase the gate breakdown voltage.

本發明實施例提供的半導體裝置的形成方法包括在介電層上形成蝕刻保護層,以在蝕刻製程期間保護介電層,防止蝕刻製程在介電層中形成缺陷或使介電層的孔縫擴張,避免後續製程的金屬填入介電層的孔縫中而造成裝置短路,進而改善裝置的電性。本發明實施例提供的半導體裝置在製程中可避免裝置損壞,例如在蝕刻製程中可降低蝕刻劑對部件的影響,還可依所欲的臨界電壓調整裝置的配置。在一些實施例中,可進一步改善半導體裝置的元件特性且得到較高的飽和電流。The method for forming a semiconductor device provided by an embodiment of the present invention includes forming an etching protection layer on a dielectric layer to protect the dielectric layer during the etching process and prevent the etching process from forming defects in the dielectric layer or making holes in the dielectric layer The expansion prevents the metal in the subsequent process from filling the holes of the dielectric layer and causes the short circuit of the device, thereby improving the electrical properties of the device. The semiconductor device provided by the embodiment of the present invention can avoid device damage during the manufacturing process, for example, during the etching process, the influence of the etchant on the components can be reduced, and the configuration of the device can be adjusted according to the desired threshold voltage. In some embodiments, the device characteristics of the semiconductor device can be further improved and a higher saturation current can be obtained.

以上概述數個實施例之特點,以便在本發明所屬技術領域中具有通常知識者可更好地了解本發明的各個方面。在本發明所屬技術領域中具有通常知識者,應理解其可輕易地利用本發明實為基礎,設計或修改其他製程及結構,以達到和此中介紹的實施例之相同的目的及/或優點。在本發明所屬技術領域中具有通常知識者,也應理解此類等效的結構並無背離本發明的精神與範圍,且其可於此作各種的改變、取代、和替換而不背離本發明的精神與範圍。The features of several embodiments are summarized above so that those of ordinary skill in the art to which the invention pertains may better understand the various aspects of the invention. Those skilled in the art to which the present invention pertains should appreciate that they can readily utilize the present invention as a basis to design or modify other processes and structures to achieve the same objects and/or advantages of the embodiments described herein . Those with ordinary knowledge in the technical field to which the present invention pertains should also understand that such equivalent structures do not depart from the spirit and scope of the present invention, and that various changes, substitutions, and substitutions can be made herein without departing from the present invention spirit and scope.

10,20:半導體裝置10,20: Semiconductor devices

100:基板100: Substrate

102:緩衝層102: Buffer layer

104:通道層104: Channel Layer

106:阻障層106: Barrier layer

108:摻雜化合物半導體層108: Doping compound semiconductor layer

110:介電層110: Dielectric layer

111:第一蝕刻停止層111: first etch stop layer

112:第二蝕刻停止層112: Second etch stop layer

113:第三蝕刻停止層113: Third etch stop layer

114:蝕刻保護層114: Etch protection layer

116R:凹口116R: Notch

116O:開口116O: Opening

118:閘極金屬層118: gate metal layer

120:開口120: Opening

124/126:源極/汲極結構124/126: Source/Drain Structure

以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1-8圖是根據本發明的一些實施例,繪示出半導體裝置的製程剖面示意圖。 第9-10圖是根據本發明的另一些實施例,繪示出半導體裝置的製程剖面示意圖。 第11圖是根據本發明的又一些實施例,繪示出半導體裝置的製程剖面示意圖。 The embodiments of the present invention will be described in detail below with reference to the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale and are illustrative only. In fact, the dimensions of elements may be arbitrarily enlarged or reduced to clearly characterize the embodiments of the invention. 1-8 are schematic cross-sectional views illustrating a process of a semiconductor device according to some embodiments of the present invention. FIGS. 9-10 are schematic cross-sectional views illustrating a process of a semiconductor device according to other embodiments of the present invention. FIG. 11 is a schematic cross-sectional view illustrating a process of a semiconductor device according to further embodiments of the present invention.

10:半導體裝置 10: Semiconductor device

100:基板 100: Substrate

102:緩衝層 102: Buffer layer

104:通道層 104: Channel Layer

106:阻障層 106: Barrier layer

108:摻雜化合物半導體層 108: Doping compound semiconductor layer

110:介電層 110: Dielectric layer

111:第一蝕刻停止層 111: first etch stop layer

112:第二蝕刻停止層 112: Second etch stop layer

114:蝕刻保護層 114: Etch protection layer

116O:開口 116O: Opening

Claims (13)

一種半導體裝置的形成方法,包括: 提供一基板,該基板上依序形成有一緩衝層、一通道層、及一阻障層; 形成一摻雜化合物半導體層於部分該阻障層上; 形成一第一蝕刻停止層於該摻雜化合物半導體層上; 形成一第二蝕刻停止層於該第一蝕刻停止層上; 形成一介電層於該第二蝕刻停止層上,其中該介電層覆蓋部分該摻雜化合物半導體層及該摻雜化合物半導體層所露出的該阻障層; 形成一蝕刻保護層於該介電層上; 執行一第一蝕刻製程,蝕刻穿過該蝕刻保護層且部分穿過該介電層,以形成一凹口於該介電層中; 執行一第二蝕刻製程,蝕刻該凹口下方的該介電層以形成一開口,該開口露出部分該第二蝕刻停止層,其中在該第二蝕刻製程期間,該蝕刻保護層保護下方的該介電層免於蝕刻; 執行一移除製程,移除該介電層上剩餘的該蝕刻保護層;以及 形成一閘極金屬層以填充該開口。 A method of forming a semiconductor device, comprising: providing a substrate on which a buffer layer, a channel layer and a barrier layer are formed in sequence; forming a doped compound semiconductor layer on a portion of the barrier layer; forming a first etch stop layer on the doped compound semiconductor layer; forming a second etch stop layer on the first etch stop layer; forming a dielectric layer on the second etch stop layer, wherein the dielectric layer covers part of the doped compound semiconductor layer and the barrier layer exposed by the doped compound semiconductor layer; forming an etching protection layer on the dielectric layer; performing a first etching process to etch through the etch protection layer and partially through the dielectric layer to form a recess in the dielectric layer; performing a second etching process, etching the dielectric layer under the recess to form an opening exposing a portion of the second etch stop layer, wherein during the second etching process, the etching protection layer protects the underlying The dielectric layer is free from etching; performing a removal process to remove the etch protection layer remaining on the dielectric layer; and A gate metal layer is formed to fill the opening. 如請求項1之半導體裝置的形成方法,更包括:在形成該第一蝕刻停止層前,形成一第三蝕刻停止層於該摻雜化合物半導體層上。The method for forming a semiconductor device of claim 1, further comprising: forming a third etch stop layer on the doped compound semiconductor layer before forming the first etch stop layer. 如請求項1之半導體裝置的形成方法,其中該蝕刻保護層的材料包括:有機材料、無機材料、光阻、旋塗式玻璃、樹脂、聚醯亞胺、氧化矽、氮氧化矽、四乙氧基矽烷(tetraethoxysilane,TEOS)氧化物、磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、低介電常數介電材料、矽、碳化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、金屬矽化物、氮化矽、氮化鈦、或前述之組合。 The method for forming a semiconductor device according to claim 1, wherein the material of the etching protection layer includes: organic material, inorganic material, photoresist, spin-on glass, resin, polyimide, silicon oxide, silicon oxynitride, tetraethyl ether Tetraethoxysilane (TEOS) oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), low-k dielectric materials, silicon, silicon carbide, silicon oxynitride , silicon carbonitride, silicon oxycarbide, silicon oxycarbonitride, metal silicide, silicon nitride, titanium nitride, or a combination of the foregoing. 如請求項1之半導體裝置的形成方法,更包括:在形成該介電層於該第二蝕刻停止層上之後及執行該移除製程之前,形成一源極/汲極結構於該摻雜化合物半導體層的兩側。 The method for forming a semiconductor device of claim 1, further comprising: forming a source/drain structure on the dopant compound after forming the dielectric layer on the second etch stop layer and before performing the removing process both sides of the semiconductor layer. 如請求項1之半導體裝置的形成方法,其中該第一蝕刻製程為一乾蝕刻製程且該第二蝕刻製程為一濕蝕刻製程。 The method for forming a semiconductor device of claim 1, wherein the first etching process is a dry etching process and the second etching process is a wet etching process. 如請求項1之半導體裝置的形成方法,其中該第一蝕刻製程為一第一濕蝕刻製程且該第二蝕刻製程為一第二濕蝕刻製程。 The method for forming a semiconductor device of claim 1, wherein the first etching process is a first wet etching process and the second etching process is a second wet etching process. 如請求項1之半導體裝置的形成方法,更包括:在該移除製程之後,形成一源極/汲極結構於該摻雜化合物半導體層的兩側。 The method for forming a semiconductor device of claim 1, further comprising: after the removing process, forming a source/drain structure on both sides of the doped compound semiconductor layer. 一種半導體裝置,包括:一基板、位於該基板上的一緩衝層、位於該緩衝層上的一通道層、及位於該通道層上的一阻障層;一摻雜化合物半導體層,位於部分該阻障層上;一第一蝕刻停止層,位於該摻雜化合物半導體層上;一第二蝕刻停止層,位於該第一蝕刻停止層上;一介電層,位於該第二蝕刻停止層上,其中該介電層具有一開口,暴露出部分該第二蝕刻停止層;以及 一閘極金屬層,位於部分該第二蝕刻停止層上。 A semiconductor device, comprising: a substrate, a buffer layer on the substrate, a channel layer on the buffer layer, and a barrier layer on the channel layer; a doped compound semiconductor layer on a part of the on the barrier layer; a first etch stop layer on the doped compound semiconductor layer; a second etch stop layer on the first etch stop layer; a dielectric layer on the second etch stop layer , wherein the dielectric layer has an opening exposing a portion of the second etch stop layer; and a gate metal layer on a portion of the second etch stop layer. 如請求項8之半導體裝置,更包括一第三蝕刻停止層,位於該摻雜化合物半導體層與該第一蝕刻停止層之間。 The semiconductor device of claim 8, further comprising a third etch stop layer located between the doped compound semiconductor layer and the first etch stop layer. 如請求項9之半導體裝置,其中該第三蝕刻停止層的材料包括摻雜或未摻雜的矽。 The semiconductor device of claim 9, wherein the material of the third etch stop layer comprises doped or undoped silicon. 如請求項8之半導體裝置,其中該第一蝕刻停止層的材料包括:氮化物、金屬氮化物、氮化矽、氮化鈦、氮氧化矽、碳氮化矽、碳氮氧化、氮化鎵、氮化鋁、金屬氮化物、氮化钼、氮化鎢、氮化鉭、氮化鉭矽、氮化鉭矽、氮化鋁鈦或前述之組合。 The semiconductor device of claim 8, wherein the material of the first etch stop layer comprises: nitride, metal nitride, silicon nitride, titanium nitride, silicon oxynitride, silicon carbonitride, oxycarbonitride, gallium nitride , Aluminum Nitride, Metal Nitride, Molybdenum Nitride, Tungsten Nitride, Tantalum Nitride, Tantalum Silicon Nitride, Tantalum Silicon Nitride, Aluminum Titanium Nitride, or a combination of the foregoing. 如請求項8之半導體裝置,其中該第二蝕刻停止層的材料包括:摻雜或未摻雜的矽、氧化矽、碳化矽、氮化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、金屬矽化物、四乙氧基矽烷氧化物、磷矽玻璃、硼磷矽酸鹽玻璃、低介電常數介電材料或前述之組合。 The semiconductor device of claim 8, wherein the material of the second etch stop layer comprises: doped or undoped silicon, silicon oxide, silicon carbide, silicon nitride, silicon oxynitride, silicon carbonitride, silicon oxycarbide , silicon oxycarbonitride, metal silicide, tetraethoxysilane, phosphosilicate glass, borophosphosilicate glass, low-k dielectric materials, or a combination of the foregoing. 如請求項8之半導體裝置,更包括一源極/汲極結構,位於該摻雜化合物半導體層的兩側,且該源極/汲極結構穿過該介電層及該阻障層,並延伸至該通道層中。The semiconductor device of claim 8, further comprising a source/drain structure located on both sides of the doped compound semiconductor layer, and the source/drain structure passes through the dielectric layer and the barrier layer, and into the channel layer.
TW109134918A 2020-10-08 2020-10-08 Semiconductor device and method of forming the same TWI755091B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW109134918A TWI755091B (en) 2020-10-08 2020-10-08 Semiconductor device and method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW109134918A TWI755091B (en) 2020-10-08 2020-10-08 Semiconductor device and method of forming the same

Publications (2)

Publication Number Publication Date
TWI755091B true TWI755091B (en) 2022-02-11
TW202215551A TW202215551A (en) 2022-04-16

Family

ID=81329516

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109134918A TWI755091B (en) 2020-10-08 2020-10-08 Semiconductor device and method of forming the same

Country Status (1)

Country Link
TW (1) TWI755091B (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170358647A1 (en) * 2016-06-14 2017-12-14 Semiconductor Components Industries, Llc Process of forming an electronic device including a multiple channel hemt
TW201830525A (en) * 2017-01-27 2018-08-16 日商瑞薩電子股份有限公司 Semiconductor device and manufacturing method of semiconductor device
TW202015241A (en) * 2018-10-09 2020-04-16 世界先進積體電路股份有限公司 Semiconductor devices and methods for forming same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170358647A1 (en) * 2016-06-14 2017-12-14 Semiconductor Components Industries, Llc Process of forming an electronic device including a multiple channel hemt
TW201830525A (en) * 2017-01-27 2018-08-16 日商瑞薩電子股份有限公司 Semiconductor device and manufacturing method of semiconductor device
TW202015241A (en) * 2018-10-09 2020-04-16 世界先進積體電路股份有限公司 Semiconductor devices and methods for forming same

Also Published As

Publication number Publication date
TW202215551A (en) 2022-04-16

Similar Documents

Publication Publication Date Title
KR101716049B1 (en) Semiconductor device and method for fabricating the same
EP2602827B1 (en) Enhancement mode III-nitride device and method for manufacturing thereof
TWI735938B (en) Semiconductor device and method of manufacturing the same
CN110660844B (en) Semiconductor device and method of forming a semiconductor device
US9224847B2 (en) High electron mobility transistor and method of forming the same
CN111509041B (en) Semiconductor device and method for manufacturing the same
US11043583B2 (en) Semiconductor structure and method for forming the same
US11367706B2 (en) Semiconductor apparatus and fabrication method thereof
US10522670B2 (en) Semiconductor device with selectively etched surface passivation
TWI641133B (en) Semiconductor cell
US11527606B2 (en) Semiconductor device and method for forming the same
US11450764B2 (en) Semiconductor device and method of forming the same
TWI569439B (en) Semiconductor cell
US10957790B2 (en) Semiconductor device with selectively etched surface passivation
WO2023019436A1 (en) Semiconductor device and method for manufacturing the same
US20210167165A1 (en) Semiconductor devices and methods of manufacturing the same
CN114496787A (en) Semiconductor device and method of forming the same
TWI755091B (en) Semiconductor device and method of forming the same
US11049799B1 (en) Semiconductor structure and method for forming the same
US11955397B2 (en) Semiconductor structure
KR102402771B1 (en) Semiconductor device and method for fabricating the same
US11127848B2 (en) Semiconductor structure and method for forming the same
TWI740058B (en) Semiconductor devices and methods for forming same
TW202123468A (en) Semiconductor structures and the method for forming the same
TWI842505B (en) Semiconductor device and method for forming the same