TWI753545B - Air spacer structures - Google Patents

Air spacer structures Download PDF

Info

Publication number
TWI753545B
TWI753545B TW109128952A TW109128952A TWI753545B TW I753545 B TWI753545 B TW I753545B TW 109128952 A TW109128952 A TW 109128952A TW 109128952 A TW109128952 A TW 109128952A TW I753545 B TWI753545 B TW I753545B
Authority
TW
Taiwan
Prior art keywords
structures
liner
contacts
air
gate
Prior art date
Application number
TW109128952A
Other languages
Chinese (zh)
Other versions
TW202127584A (en
Inventor
朱里安 佛洛吉爾
阿里 拉札費耶
海艇 王
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW202127584A publication Critical patent/TW202127584A/en
Application granted granted Critical
Publication of TWI753545B publication Critical patent/TWI753545B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

The present disclosure generally relates to semiconductor structures and, more particularly, to air spacer structures and methods of manufacture. The structure includes: a plurality of gate structures comprising active regions; contacts extending to the active regions; a plurality of anchor structures between the active regions; and air spacer structures adjacent to the contacts.

Description

空氣間隔結構air spacer

本發明所揭示內容一般係關於半導體結構,尤其係關於空氣間隔結構和製造方法。The present disclosure relates generally to semiconductor structures, and more particularly to air spacer structures and methods of fabrication.

隨著半導體製程持續縮減尺寸(如縮小),特徵之間的所需間距(即腳距)也變得更小。為此,在該等較小技術節點中,由於關鍵尺寸(critical dimension,CD)縮放與製程能力,製造特徵變得越來越困難。As semiconductor processes continue to shrink in size (eg, shrink), the required spacing (ie, pitch) between features also becomes smaller. To this end, in these smaller technology nodes, it is becoming increasingly difficult to fabricate features due to critical dimension (CD) scaling and process capabilities.

在射頻(radio frequency,RF)應用中,元件性能係受到寄生閘極對源極/汲極(source/drain,S/D)電容限制。這係因為RF電晶體之高頻性能指標(如Ft和Fmax)係與閘極結構對S/D接觸電容之數值(即閘極對汲極電容(Cgd )和閘極對源極電容(Cgs ) )成反比。該寄生閘極對S/D電容可從以下顯現:(i)該閘極結構之間隔材料;及(ii)該閘極結構之金屬堆疊與該等S/D接點之金屬填充之間的相對較低品質氧化物可流動化學氣相沉積(flowable chemical vapor deposition,FCVD)。In radio frequency (RF) applications, device performance is limited by parasitic gate-to-source/drain (S/D) capacitance. This is because the high-frequency performance indicators (such as Ft and Fmax) of RF transistors are related to the value of the gate structure to S/D contact capacitance (ie gate-to-drain capacitance (C gd ) and gate-to-source capacitance ( C gs ) ) is inversely proportional. The parasitic gate-to-S/D capacitance can be manifested from: (i) the spacer material of the gate structure; and (ii) the metal stack between the gate structure's metal stack and the metal fill of the S/D contacts Relatively lower quality oxides can be flowable chemical vapor deposition (FCVD).

試圖解決寄生閘極對S/D電容的慣用元件涉及自對準接觸(self-aligned contact,SAC)積體流程。然而,SAC流程不必係用於具有相對較大接觸式多晶腳距(contacted poly pitch,CPP)的技術節點的首選積體,因為閘極結構之間的空間夠大,足以僅使用圖案化和蝕刻製程可靠形成該等溝槽接點。因此,無需將該等溝槽接點與該等閘極結構自對準。這樣的鬆弛的(relaxed) CPP元件之範例可在RF技術中找到,其中相鄰閘極結構之間的該空間維持夠大無需SAC積體流程。對RF元件而言,該等溝槽接點係直接蝕刻到該低品質FCVD氧化物中。然而,大多數該等所提出空氣間隙間隔積體皆係衍生自SAC流程,且不必與鬆弛的CPP積體相容。本發明提供對此問題的解決方案。Conventional components that attempt to address parasitic gate-to-S/D capacitance involve a self-aligned contact (SAC) integration process. However, the SAC flow need not be the preferred integration for technology nodes with relatively large contacted poly pitch (CPP) because the space between gate structures is large enough to use only patterned and The etching process reliably forms the trench contacts. Therefore, there is no need to self-align the trench contacts with the gate structures. An example of such a relaxed CPP element can be found in RF technology, where the space between adjacent gate structures is maintained large enough without the need for a SAC integration process. For RF components, the trench contacts are etched directly into the low quality FCVD oxide. However, most of these proposed air-gap spaced volumes are derived from the SAC process and are not necessarily compatible with relaxed CPP volumes. The present invention provides a solution to this problem.

在所揭示內容之態樣中,一種結構包含:複數閘極結構,其包含主動區域;接點,其延伸到該等主動區域;複數錨定結構,其在該等主動區域之間;以及空氣間隔結構,其與該等接點相鄰。In aspects of the disclosed content, a structure includes: gate structures including active regions; contacts extending into the active regions; anchor structures between the active regions; and air spacer structures adjacent to the contacts.

在所揭示內容之態樣中,一種結構包含:複數閘極結構,其包含源極與汲極(S/D)區域;接點,其延伸到該等S/D區域;複數錨定結構,其在該等S/D區域之間;以及空氣間隔結構,其與該等接點和該等錨定結構相鄰。In aspects of the disclosed content, a structure includes: gate structures including source and drain (S/D) regions; contacts extending to the S/D regions; anchor structures, It is between the S/D regions; and an air spacer structure adjacent the contacts and the anchoring structures.

在所揭示內容之態樣中,一種方法包含:形成至少一個閘極結構;形成與該至少一個閘極結構相鄰的複數主動區域;形成包覆(encapsulating)該至少一個閘極結構和該等主動區域的一雙襯層;在該雙襯層上方沉積一絕緣體材料;在該等主動區域之間形成複數錨定結構;形成與該等主動區域電接觸的複數接點;蝕刻該雙襯層之至少一個襯層;蝕刻該絕緣體材料之各選擇部位以形成至少一個空氣間隙;以及在該等空氣間隙內沉積一第二襯層以形成空氣間隔結構。In aspects of the disclosed disclosure, a method includes: forming at least one gate structure; forming a plurality of active regions adjacent to the at least one gate structure; forming encapsulating the at least one gate structure and the a double liner for active regions; depositing an insulator material over the double liner; forming anchor structures between the active regions; forming contacts in electrical contact with the active regions; etching the double liner at least one liner layer; etching selected portions of the insulator material to form at least one air gap; and depositing a second liner layer within the air gaps to form an air gap structure.

本發明所揭示內容一般係關於半導體結構,尤其係關於空氣間隔結構和製造方法。在各具體實施例中,文中所提供該等製程和結構利用襯層和錨定件在該等閘極結構與該等源極/汲極(S/D)接點之間形成用於空氣間隔結構的空氣間隙。具優勢地,透過形成空氣間隔結構,寄生閘極對S/D電容可由於空氣之低k值本質而減小,由此改良射頻(RF)元件性能。The present disclosure relates generally to semiconductor structures, and more particularly to air spacer structures and methods of fabrication. In various embodiments, the processes and structures provided herein utilize liners and anchors to form a space for air between the gate structures and the source/drain (S/D) contacts Structure air gap. Advantageously, by forming the air spacer structure, parasitic gate pair S/D capacitance can be reduced due to the low-k nature of air, thereby improving radio frequency (RF) device performance.

文中所說明該等製程和結構考慮到閘極結構與S/D接點之間的間隔之介電係數,為了將該寄生閘極對S/D電容降低至RF技術所需目標數值(即降低該閘極對汲極電容(Cgd )和閘極對源極電容(Cgs ) )而以指定方式設計製造。在各具體實施例中,形成相對較大空氣間隔結構考慮到這些RF目標數值(如RF電晶體之Ft和Fmax)達成,因為Ft和Fmax係與閘極結構對S/D接點之電容數值(即Cgd 和Cgs )成反比。在進一步各具體實施例中,文中所說明該等製程和結構係與非自對準接觸(SAC)製程相容,並可施加於對RF FinFET而言可為至關重要的任何接觸式多晶腳距(CPP)。以此方式,文中所說明該等結構和製程改良RF元件在任何CPP處之整體性能。The processes and structures described herein take into account the dielectric constant of the separation between the gate structure and the S/D junction in order to reduce the parasitic gate-to-S/D capacitance to the target value required by RF technology (ie, reduce The gate-to-drain capacitance (C gd ) and gate-to-source capacitance (C gs ) ) are designed and fabricated in a specified manner. In various embodiments, relatively large air gap structures are formed to account for these RF target values (eg, Ft and Fmax for RF transistors) being achieved because Ft and Fmax are related to the capacitance values of the gate structure to the S/D junction (ie C gd and C gs ) are inversely proportional. In further embodiments, the processes and structures described herein are compatible with non-self-aligned contact (SAC) processes and can be applied to any contact poly that can be critical to RF FinFETs Foot pitch (CPP). In this way, the structures and processes described herein improve the overall performance of the RF element at any CPP.

一種包括形成一雙(底部襯層和頂部襯層)接觸蝕刻停止襯層(CESL)以包覆該元件之閘極結構的方法。錨定結構係形成在該元件之該等主動區域(即S/D區域)之間,而層間介電帽蓋係由與該等錨定結構相同的材料形成在該CESL上方。該方法更包括一選擇性蝕刻,以為了接近該層間介電體而蝕刻該雙CESL之該頂部襯層。該層間介電體係選擇性蝕刻以去除該等閘極結構與該等S/D接點之間的該層間介電體,由此形成空氣間隙。透過在該等空氣間隙內沉積共形低k值襯層,相對較大空氣間隔結構係由該等空氣間隙形成。A method includes forming a dual (bottom liner and top liner) contact etch stop liner (CESL) to encapsulate the gate structure of the device. Anchoring structures are formed between the active regions (ie, S/D regions) of the device, and an interlayer dielectric cap is formed over the CESL from the same material as the anchoring structures. The method further includes a selective etch to etch the top liner of the dual CESL in order to access the interlayer dielectric. The ILD system is selectively etched to remove the ILD between the gate structures and the S/D contacts, thereby forming air gaps. By depositing a conformal low-k liner within the air gaps, relatively large air gap structures are formed from the air gaps.

一種包括具有該閘極結構之一間隔與該等S/D接點之間的一相對較大空氣間隙的空氣間隔結構的結構。該結構在該閘極結構之該等間隔中無空氣間隙。又,空氣間隙帽蓋係與該等S/D接點及該閘極結構之間隔接觸。在各具體實施例中,該結構包括一單一空氣間隙或雙重空氣間隙,其包覆在該等錨定結構之介電柱、該等S/D區域、與該等S/D接點之間。此外,該等S/D接點係透過該等錨定結構之該等介電柱錨定,其中該等錨定結構在主動區域之間。A structure including an air spacer structure with a spacer of the gate structure and a relatively large air gap between the S/D contacts. The structure has no air gaps in the equal spacing of the gate structure. Also, the air gap cap is in spaced contact with the S/D contacts and the gate structure. In various embodiments, the structure includes a single air gap or a double air gap encapsulating between the dielectric pillars of the anchoring structures, the S/D regions, and the S/D contacts. Furthermore, the S/D contacts are anchored through the dielectric pillars of the anchoring structures, wherein the anchoring structures are between the active regions.

本發明所揭示內容之該等結構可使用多種不同工具以多種方式製造。不過,一般來說,該等方法和工具係用於形成尺寸為微米和奈米尺度的結構。採用來製造本發明所揭示內容之結構的該等方法(即技術),已從積體電路(integrated circuit,IC)技術導入。舉例來說,該等結構係構建在晶圓上,並係實現在晶圓上方透過光微影成像製程圖案化的材料膜中。特別是,該結構之製造使用三個基本構建模塊:(i)在基板上沉積材料薄膜;(ii)透過光微影成像在該等膜上方施加圖案化光罩;以及(iii)對該光罩選擇性蝕刻該等膜。The structures disclosed herein can be fabricated in a variety of ways using a variety of different tools. In general, however, such methods and tools are used to form structures with dimensions on the micro and nano scales. The methods (ie, techniques) employed to fabricate the structures disclosed herein have been introduced from integrated circuit (IC) technology. For example, these structures are built on a wafer and implemented in a film of material that is patterned over the wafer by a photolithography process. In particular, the fabrication of this structure uses three basic building blocks: (i) deposition of thin films of material on a substrate; (ii) application of a patterned mask over the films by photolithography; and (iii) the photolithography The mask selectively etches the films.

圖1A至圖1C顯示依據本發明所揭示內容之態樣的引入結構及各自製程。具體而言,圖1A描繪出結構100之俯視圖,圖1B描繪出沿著X軸的結構100,而圖1C描繪出沿著Y軸的結構100。參照圖1A至圖1C,結構100包含鰭狀結構110,其由一合適半導體材料105組成。舉例來說,該等鰭狀結構110可能係由包括但不限於Si、SiGe、SiGeC、SiC、GaAs、InAs、InP等的任何合適半導體材料105組成。1A-1C show lead-in structures and respective processes according to aspects of the present disclosure. Specifically, FIG. 1A depicts a top view of the structure 100, FIG. 1B depicts the structure 100 along the X axis, and FIG. 1C depicts the structure 100 along the Y axis. Referring to FIGS. 1A-1C , structure 100 includes fin structure 110 , which is composed of a suitable semiconductor material 105 . For example, the fin structures 110 may be composed of any suitable semiconductor material 105 including, but not limited to, Si, SiGe, SiGeC, SiC, GaAs, InAs, InP, and the like.

該等鰭狀結構110可使用側壁影像轉印(sidewall image transfer,SIT)技術製造。在SIT技術之範例中,芯軸(mandrel)材料(如SiO2 )係使用慣用化學氣相沉積(CVD)製程沉積在基板上。光阻劑係形成在該芯軸材料上並曝光以形成圖案(開口)。反應性離子蝕刻(reactive ion etching,RIE)係穿越該等開口進行以形成該等芯軸。在各具體實施例中,該等芯軸可依該等鰭狀結構之該等所需尺寸而定具有不同寬度和/或間距。間隔係形成在該等芯軸之該等側壁上(其較佳為不同於該等芯軸的材料並係使用熟習此領域技術者已知慣用沉積製程形成)。該等間隔可具有例如與該等鰭狀結構之該等尺寸匹配的寬度。該等芯軸係使用對該芯軸材料具有選擇性的慣用蝕刻製程去除或剝離。然後,蝕刻係在該等間隔之該間距內進行以形成該等亞微影特徵(如鰭狀結構)。然後,該等側壁間隔可剝離。The fin structures 110 can be fabricated using sidewall image transfer (SIT) technology. In the example of SIT technology, mandrel material, such as SiO2 , is deposited on the substrate using conventional chemical vapor deposition (CVD) processes. Photoresist is formed on the mandrel material and exposed to form patterns (openings). Reactive ion etching (RIE) is performed through the openings to form the mandrels. In various embodiments, the mandrels may have different widths and/or spacings depending on the desired dimensions of the fin structures. Spacers are formed on the sidewalls of the mandrels (which are preferably of a different material than the mandrels and formed using conventional deposition processes known to those skilled in the art). The spaces may have widths that match, for example, the dimensions of the fin structures. The mandrels are removed or stripped using conventional etching processes that are selective to the mandrel material. Etching is then performed within the spacing of the spacings to form the sub-lithographic features (eg, fin structures). The sidewall spacers can then be peeled off.

仍參照圖1A至圖1C,閘極結構120係形成在該等鰭狀結構110和淺溝槽隔離(shallow trench isolation,STI)區域115上。該等STI區域115可透過慣用蝕刻與沉積製程形成,接著係平坦化製程(如化學機械平坦化(chemical mechanical planarization,CMP) )。在各具體實施例中,該等閘極結構120係由虛擬閘極材料125 (如非晶矽(α-Si) )和覆蓋層130組成。除了其他範例之外,覆蓋層130可由任何合適硬式光罩材料(如SiN)形成。Still referring to FIGS. 1A-1C , gate structures 120 are formed on the fin structures 110 and shallow trench isolation (STI) regions 115 . The STI regions 115 can be formed by conventional etching and deposition processes, followed by a planarization process (eg, chemical mechanical planarization (CMP)). In various embodiments, the gate structures 120 are composed of a dummy gate material 125 (eg, amorphous silicon (α-Si) ) and a capping layer 130 . The capping layer 130 may be formed of any suitable hard mask material, such as SiN, among other examples.

虛擬閘極材料125和覆蓋層130係透過CVD沉積,接著係慣用圖案化步驟。該等閘極結構120更包括側壁間隔135 (如低k值介電體),其可沉積在該等圖案化材料125、130之側壁上。該等側壁間隔135可透過慣用化學氣相沉積(CVD)製程沉積,接著係圖案化製程(如非等向性蝕刻製程),以從結構100之水平表面去除任何材料。Dummy gate material 125 and capping layer 130 are deposited by CVD, followed by conventional patterning steps. The gate structures 120 further include sidewall spacers 135 (eg, low-k dielectrics), which may be deposited on the sidewalls of the patterned materials 125, 130. The sidewall spacers 135 may be deposited by a conventional chemical vapor deposition (CVD) process, followed by a patterning process (eg, an anisotropic etching process) to remove any material from the horizontal surfaces of the structure 100 .

源極與汲極(S/D)區域140係使用例如任何慣用方法,形成在該等鰭狀結構110上的該等閘極結構120之側面(如該等側壁間隔135之側面)上。舉例來說,該等S/D區域140可為在該等閘極結構120之間的開口內,透過該等鰭狀結構110之該等表面上的材料之摻雜磊晶生長形成的昇起式S/D區域。在進一步各具體實施例中,該等S/D區域140可如熟習此領域技術者已習知,透過離子植入製程、摻雜製程、或透過擴散製程形成,使得無需進一步解說即可理解本發明所揭示內容。Source and drain (S/D) regions 140 are formed on the sides of the gate structures 120 (eg, the sidewall spacers 135 ) on the fin structures 110 using, for example, any conventional method. For example, the S/D regions 140 may be elevations formed by doped epitaxial growth of material on the surfaces of the fin structures 110 within the openings between the gate structures 120 formula S/D area. In further embodiments, the S/D regions 140 may be formed by an ion implantation process, a doping process, or a diffusion process as known to those skilled in the art, so that the present invention can be understood without further explanation. The invention disclosed.

圖2A和圖2B顯示沉積在該等閘極結構120和該等S/D區域140上方的雙接觸蝕刻停止襯層(CESL) 145。在各具體實施例中,雙CESL 145可由底部襯層150和頂部襯層155構成。以此方式,該蝕刻停止襯層係雙蝕刻停止襯層(即雙CESL 145),包含一底部襯層150和一頂部襯層155。底部襯層150可由任何合適低k值材料(如SiBCN)組成。在進一步各具體實施例中,底部襯層150可由與該等側壁間隔135相同的低k值材料組成。頂部襯層155也可由低k值材料(如SiN)組成。據此,該底部襯層和該頂部襯層係由低k值材料組成。底部襯層150和頂部襯層155可透過原子層沉積(ALD)或CVD製程沉積。FIGS. 2A and 2B show dual contact etch stop liner (CESL) 145 deposited over the gate structures 120 and the S/D regions 140 . In various embodiments, the dual CESL 145 may consist of a bottom liner 150 and a top liner 155 . In this way, the etch stop liner is a dual etch stop liner (ie, dual CESL 145 ), including a bottom liner 150 and a top liner 155 . Bottom liner 150 may be composed of any suitable low-k material such as SiBCN. In further embodiments, the bottom liner 150 may be composed of the same low-k material as the sidewall spacers 135 . The top liner 155 may also be composed of a low-k material such as SiN. Accordingly, the bottom liner and the top liner are composed of low-k materials. Bottom liner 150 and top liner 155 may be deposited by atomic layer deposition (ALD) or CVD processes.

底部襯層150和頂部襯層155可每個皆具有2 nm至5 nm之範圍內的厚度。以此方式,雙CESL 145可形成為具有約4 nm至10 nm之範圍內的厚度;然而文中設想其他尺寸。層間介電體(interlevel dielectric,ILD) 160係沉積在該等S/D區域140和雙CESL 145上方。ILD 160可透過CVD製程沉積,並係由例如氧化物組成。在沉積之後,ILD 160係透過CMP製程平坦化到覆蓋層130之高度,由此去除覆蓋層130正上方的雙CESL 145。Bottom liner 150 and top liner 155 may each have a thickness in the range of 2 nm to 5 nm. In this manner, the dual CESL 145 can be formed with a thickness in the range of about 4 nm to 10 nm; however other dimensions are contemplated herein. An interlevel dielectric (ILD) 160 is deposited over the S/D regions 140 and the dual CESL 145 . The ILD 160 can be deposited by a CVD process and is composed of oxides, for example. After deposition, the ILD 160 is planarized to the height of the capping layer 130 by a CMP process, thereby removing the dual CESL 145 directly above the capping layer 130 .

圖3A和圖3B顯示形成在該元件之該等主動區域之間的錨定結構165。在各具體實施例中,有機平坦化層(organic planarization layer,OPL)係透過旋轉塗佈製程施加,以在ILD 160之頂部表面及覆蓋層130之頂部表面上方覆蓋沉積該OPL材料。選擇性蝕刻製程(如RIE)圖案化ILD 160,以在ILD 160內形成溝槽。該OPL材料係透過慣用蝕刻製程(如氧灰化製程)蝕刻掉。Figures 3A and 3B show anchoring structures 165 formed between the active regions of the element. In various embodiments, an organic planarization layer (OPL) is applied by a spin coating process to overly deposit the OPL material over the top surface of ILD 160 and the top surface of capping layer 130 . The ILD 160 is patterned by a selective etching process such as RIE to form trenches within the ILD 160 . The OPL material is etched away by a conventional etching process, such as an oxygen ashing process.

錨定結構165係透過在該溝槽內透過ALD或CVD製程沉積填充材料170,在該等主動區域(即S/D區域140)之間的ILD 160之該溝槽內以及在雙CESL 145上方形成。以此方式,該結構包括一蝕刻停止襯層(即雙CESL 145),其在該等錨定結構165下面。在各具體實施例中,填充材料170可由SiC組成,並可透過CMP製程(其由於填充材料170與ILD 160之間的選擇性而在ILD 160上停止)研磨。Anchor structure 165 is formed by depositing fill material 170 through an ALD or CVD process within the trench, within the trench of ILD 160 between the active regions (ie, S/D regions 140 ) and over dual CESL 145 form. In this way, the structure includes an etch stop liner (ie, dual CESL 145 ) below the anchor structures 165 . In various embodiments, the fill material 170 may be composed of SiC and may be ground through a CMP process that stops on the ILD 160 due to the selectivity between the fill material 170 and the ILD 160 .

在各具體實施例中,該等錨定結構165防止結構100在後續蝕刻底部襯層150、頂部襯層155、和/或ILD 160過程中塌陷。在形成該等錨定結構165後,覆蓋層130係使用慣用蝕刻技術(如RIE製程)蝕刻(去除)。以此方式,虛擬閘極材料125暴露出。在替代性各具體實施例中,該等錨定結構165可在形成替換閘極結構之後形成。In various embodiments, the anchoring structures 165 prevent the structure 100 from collapsing during subsequent etching of the bottom liner 150 , the top liner 155 , and/or the ILD 160 . After the anchoring structures 165 are formed, the capping layer 130 is etched (removed) using conventional etching techniques, such as the RIE process. In this way, the dummy gate material 125 is exposed. In alternative embodiments, the anchor structures 165 may be formed after the replacement gate structures are formed.

圖4A和圖4B顯示形成在該等鰭狀結構110上方的替換閘極結構175。在各具體實施例中,虛擬閘極材料125係透過慣用蝕刻技術(如RIE製程)去除。以此方式,該等閘極結構175包含側壁間隔135和一蝕刻停止襯層(即雙CESL 145),其與該等側壁間隔135相鄰。該等替換閘極結構175包括一閘極堆疊180,其包括一介電材料和一閘極金屬。FIGS. 4A and 4B show replacement gate structures 175 formed over the fin structures 110 . In various embodiments, the dummy gate material 125 is removed by conventional etching techniques, such as the RIE process. In this manner, the gate structures 175 include sidewall spacers 135 and an etch stop liner (ie, dual CESL 145 ) adjacent to the sidewall spacers 135 . The replacement gate structures 175 include a gate stack 180 that includes a dielectric material and a gate metal.

該閘極介電材料可為例如高k值閘極介電材料(如鉿基介電體)。在各具體實施例中,該等高k值介電材料可包括但不限於:Al2 O3 、Ta2 O3 、TiO2 、La2 O3 、SrTiO3 、LaAlO3 、ZrO2 、Y2 O3 、Gd2 O3 、及包括其多層的組合。閘極堆疊180之閘極金屬可依該特定應用和該等設計參數而定,包括任何金屬或金屬之任何組合(如TiN、TiC、鎢(W) )。閘極帽蓋185係沉積在閘極堆疊180上方。在各具體實施例中,閘極堆疊180和閘極帽蓋185可使用CVD製程沉積在該等側壁間隔135之間,接著係CMP製程。The gate dielectric material may be, for example, a high-k gate dielectric material (eg, a hafnium-based dielectric). In various embodiments, the high-k dielectric materials may include, but are not limited to: Al 2 O 3 , Ta 2 O 3 , TiO 2 , La 2 O 3 , SrTiO 3 , LaAlO 3 , ZrO 2 , Y 2 O 3 , Gd 2 O 3 , and combinations including multiple layers thereof. The gate metal of gate stack 180 may depend on the particular application and these design parameters, including any metal or any combination of metals (eg, TiN, TiC, tungsten (W) ). A gate cap 185 is deposited over the gate stack 180 . In various embodiments, gate stack 180 and gate cap 185 may be deposited between the sidewall spacers 135 using a CVD process, followed by a CMP process.

圖5A和圖5B顯示沉積在ILD 160和該等錨定結構165上方的空氣間隙帽蓋190。在各具體實施例中,在沉積空氣間隙帽蓋190之前,ILD 160係透過選擇性RIE製程凹陷,由此形成溝槽。該溝槽係用材料195填充以形成空氣間隙帽蓋190 (其係透過CVD製程沉積,接著係CMP製程)。在各具體實施例中,材料195係與該等錨定結構165之填充材料170相同的材料(即SiC)。以此方式,該帽蓋(即空氣間隙帽蓋190)係由與該等錨定結構165相同的材料組成。FIGS. 5A and 5B show the air gap cap 190 deposited over the ILD 160 and the anchoring structures 165 . In various embodiments, prior to deposition of air gap cap 190, ILD 160 is recessed through a selective RIE process, thereby forming trenches. The trenches are filled with material 195 to form air gap caps 190 (which are deposited by a CVD process followed by a CMP process). In various embodiments, the material 195 is the same material as the fill material 170 of the anchoring structures 165 (ie, SiC). In this manner, the cap (ie, air gap cap 190 ) is composed of the same material as the anchoring structures 165 .

圖6A和圖6B除了其他特徵之外,顯示該等S/D接點200。在各具體實施例中,溝槽係使用慣用微影與蝕刻技術(如RIE製程)形成在ILD 160中。在各具體實施例中,該溝槽形成可由於所實行該等材料而為無光罩製程。該蝕刻為了後續用選擇性化學方法蝕刻該等S/D區域140,而暴露出該等S/D區域140上方的雙CESL 145。6A and 6B show the S/D contacts 200, among other features. In various embodiments, trenches are formed in ILD 160 using conventional lithography and etching techniques, such as RIE processes. In various embodiments, the trench formation may be a maskless process due to the materials performed. The etch exposes the dual CESL 145 over the S/D regions 140 for subsequent selective chemical etching of the S/D regions 140 .

在各具體實施例中,溝槽係透過使用RIE製程選擇性蝕刻空氣間隙帽蓋190和ILD 160形成,由此暴露出雙CESL 145。然後,雙CESL 145係從該等S/D區域140之該頂部表面蝕刻,由此暴露出該等S/D區域140。去除雙CESL 145可為擇一透過濕式蝕刻或乾式蝕刻進行的無光罩製程,其使用化學方法去除例如該等襯層150、155之材料(對該等剩餘材料具有選擇性)。該等S/D接點200將形成為與該等S/D區域140之該等所暴露出部位接觸。更具體而言,該等S/D接點200之金屬材料205將直接接觸該等S/D區域140。In various embodiments, trenches are formed by selectively etching air gap cap 190 and ILD 160 using an RIE process, thereby exposing dual CESL 145 . Then, dual CESL 145 is etched from the top surface of the S/D regions 140 , thereby exposing the S/D regions 140 . Removal of the dual CESL 145 can be an alternative maskless process by wet or dry etching, which uses chemical methods to remove material such as the liners 150, 155 (selective to the remaining material). The S/D contacts 200 will be formed in contact with the exposed portions of the S/D regions 140 . More specifically, the metal material 205 of the S/D contacts 200 will directly contact the S/D regions 140 .

自對準矽化物(salicide)襯層係沉積在該等S/D區域140上方的該等溝槽中,然後受到矽化物製程。如熟習此領域技術者應可理解,該矽化物製程始於在完全成形且圖案化半導體元件(如S/D區域140)上方沉積薄過渡金屬層(如鎳、鈷、或鈦)。在沉積該材料之後,該結構係加熱,從而允許該過渡金屬與該半導體元件之該等主動區域(如源極、汲極、閘極接觸區域)中的所暴露出矽(或如文中所說明其他半導體材料)反應,以形成低電阻過渡金屬矽化物。在該反應後,任何剩餘過渡金屬皆係透過化學蝕刻去除,從而在該元件之該等主動區域中留下矽化物接點。熟習此領域技術者應可理解,當閘極結構係由金屬材料組成時,該等元件上將無需矽化物接點。A salicide liner is deposited in the trenches over the S/D regions 140 and then subjected to a silicide process. As will be understood by those skilled in the art, the silicide process begins by depositing a thin transition metal layer (eg, nickel, cobalt, or titanium) over a fully formed and patterned semiconductor device (eg, S/D region 140 ). After depositing the material, the structure is heated, allowing the transition metal and exposed silicon (or as described herein) in the active regions of the semiconductor element (eg, source, drain, gate contact regions) other semiconductor materials) to form low-resistance transition metal silicides. After the reaction, any remaining transition metal is removed by chemical etching, leaving silicide contacts in the active regions of the device. Those skilled in the art will understand that when the gate structures are composed of metallic materials, no silicide contacts are required on the devices.

該自對準矽化物襯層可使用物理氣相沉積(physical vapor deposition,PVD)或CVD製程沉積。在該矽化物製程後,金屬材料205係沉積在該過渡金屬矽化物上,由此形成該等S/D接點200。該等S/D接點200係形成為與該等S/D區域140之該等所暴露出部位接觸。更具體而言,該等S/D接點200之金屬材料205直接接觸該等S/D區域140。金屬材料205可由例如鈷(Co)或鎢(W)或釕(Ru)組成。The salicide liner can be deposited using physical vapor deposition (PVD) or CVD processes. After the silicide process, metal material 205 is deposited on the transition metal silicide, thereby forming the S/D contacts 200 . The S/D contacts 200 are formed in contact with the exposed portions of the S/D regions 140 . More specifically, the metal materials 205 of the S/D contacts 200 directly contact the S/D regions 140 . The metal material 205 may be composed of, for example, cobalt (Co) or tungsten (W) or ruthenium (Ru).

沉積金屬材料205接著係對閘極帽蓋185之材料的CMP製程。以此方式,形成該等S/D接點200係非自對準接觸(SAC)製程。在各具體實施例中,該等S/D接點200係透過該等錨定結構165錨定,由此在後續蝕刻製程過程中為該等S/D接點200提供進一步穩定性。具體而言,該等接點200係錨定到該等錨定結構165中。Depositing metal material 205 is followed by a CMP process of the gate cap 185 material. In this manner, forming the S/D contacts 200 is a non-self-aligned contact (SAC) process. In various embodiments, the S/D contacts 200 are anchored through the anchoring structures 165, thereby providing further stability to the S/D contacts 200 during subsequent etching processes. Specifically, the contacts 200 are anchored into the anchoring structures 165 .

圖7A和圖7B顯示透過去除雙CESL 145之頂部襯層155及ILD 160之各選擇部位形成的空氣間隙210。在各具體實施例中,去除頂部襯層155係透過氣相蝕刻進行的無光罩製程,其使用選擇性化學方法去除例如頂部襯層155之材料(對ILD 160之SiO2 材料、該等錨定結構165之SiC材料、及底部襯層150之低k值材料SiBCN具有選擇性)。FIGS. 7A and 7B show the air gap 210 formed by removing the top liner 155 of the dual CESL 145 and selected portions of the ILD 160 . In various embodiments, removal of top liner 155 is a maskless process by vapor etch that uses selective chemical methods to remove materials such as top liner 155 (SiO 2 material for ILD 160, the anchors The SiC material of the fixed structure 165 and the low-k value material SiBCN of the bottom liner 150 are selective).

ILD 160之SiO2 材料係使用對該等錨定結構165之SiC材料及底部襯層150之低k值材料SiBCN具有選擇性的選擇蝕刻(如氣相蝕刻)去除。具體而言,該等替換閘極結構175與該等S/D接點200之間的ILD 160之各選擇部位係蝕刻掉,而ILD 160之其他部位維持。以此方式,空氣間隙210係形成在該等替換閘極結構175與該等S/D接點200之間,而雙CESL 145之底部襯層150維持完整。在各具體實施例中,頂部襯層155之一部位及底部襯層150之一部位皆被該等錨定結構165覆蓋,因此維持在該等錨定結構165下面。以此方式,該蝕刻停止襯層(即雙CESL 145)在該等錨定結構165下面延伸。The SiO 2 material of the ILD 160 is removed using selective etching (eg, vapor phase etching) selective to the SiC material of the anchoring structures 165 and the low-k material SiBCN of the bottom liner 150 . Specifically, selected portions of the ILD 160 between the replacement gate structures 175 and the S/D contacts 200 are etched away, while other portions of the ILD 160 remain. In this way, air gaps 210 are formed between the replacement gate structures 175 and the S/D contacts 200, while the bottom liner 150 of the dual CESL 145 remains intact. In various embodiments, a portion of the top liner 155 and a portion of the bottom liner 150 are covered by the anchoring structures 165 and thus remain under the anchoring structures 165 . In this way, the etch stop liner (ie dual CESL 145 ) extends under the anchor structures 165 .

在各具體實施例中,該等錨定結構165之該等介電柱透過將該等S/D接點200錨定在該等錨定結構中為結構100提供穩定性,由此防止該元件之該等層中的塌陷。據此,當ILD 160之該等選擇部位去除時,結構100不會因該等S/D接點200之重量而塌陷。In various embodiments, the dielectric pillars of the anchoring structures 165 provide stability to the structure 100 by anchoring the S/D contacts 200 in the anchoring structures, thereby preventing the element from Collapse in the layers. Accordingly, the structure 100 will not collapse due to the weight of the S/D contacts 200 when the selected portions of the ILD 160 are removed.

圖8A和圖8B顯示形成空氣間隔結構220 (即單一或雙空氣間隙結構)。據此,文中所說明該等結構和製程包括複數閘極結構175,其包含主動區域(即S/D區域140);以及接點200,其延伸到該等主動區域。又,該結構包括複數錨定結構165,其在該等主動區域之間;以及空氣間隔結構220,其與該等接點200相鄰。8A and 8B illustrate the formation of an air spacer structure 220 (ie, a single or double air space structure). Accordingly, the structures and processes described herein include gate structures 175, which include active regions (ie, S/D regions 140); and contacts 200, which extend into the active regions. Again, the structure includes anchor structures 165 between the active regions; and air spacer structures 220 adjacent to the contacts 200 .

該等空氣間隔結構220係透過在該等空氣間隙210之側壁上沉積低k值襯層215形成以形成該等空氣間隔結構220,接著係等向性回蝕製程。以此方式,該等空氣間隔結構220包含一襯層(即低k值襯層215)和空氣間隙210。低k值襯層215可由透過CVD製程(作為範例)沉積的任何合適低k值材料(如SiBCN)組成。在各具體實施例中,沉積低k值襯層215包覆該等空氣間隙210以形成該等空氣間隔結構220。據此,該等空氣間隔結構220包含空氣間隙210,其在該等閘極結構175與該等接點200之間。在各具體實施例中,低k值襯層215襯裡該等錨定結構165,且空氣間隙帽蓋190係在該等空氣間隔結構220上方。The air spacer structures 220 are formed by depositing a low-k liner 215 on the sidewalls of the air gaps 210 to form the air spacer structures 220, followed by an isotropic etch back process. In this manner, the air spacer structures 220 include a liner (ie, the low-k liner 215 ) and the air gap 210 . The low-k liner 215 may be composed of any suitable low-k material (eg, SiBCN) deposited by a CVD process (as an example). In various embodiments, a low-k liner 215 is deposited over the air gaps 210 to form the air space structures 220 . Accordingly, the air spacer structures 220 include air gaps 210 between the gate structures 175 and the contacts 200 . In various embodiments, a low-k liner 215 lines the anchoring structures 165 and an air gap cap 190 is tied over the air gap structures 220 .

該等空氣間隔結構220內所含空氣之低k值本質考慮到該寄生閘極對S/D電容減小,由此改良RF元件性能。又,該等空氣間隔結構220上方的空氣間隙帽蓋190考慮到該等空氣間隔結構220之進一步完整性。以此方式,結構包括複數閘極結構175,其包含源極與汲極(S/D)區域140;以及接點200,其延伸到該等S/D區域140。又,複數錨定結構165係在該等S/D區域140之間,其中空氣間隔結構220與該等接點200和該等錨定結構165相鄰。The low-k nature of the air contained within the air spacer structures 220 allows for the reduction of the parasitic gate pair S/D capacitance, thereby improving RF device performance. Also, the air gap caps 190 over the air spacer structures 220 allow for the further integrity of the air spacer structures 220 . In this manner, the structure includes a plurality of gate structures 175 that include source and drain (S/D) regions 140 ; and contacts 200 that extend to the S/D regions 140 . Also, anchoring structures 165 are tied between the S/D regions 140 , with air spacer structures 220 adjacent to the contacts 200 and the anchoring structures 165 .

文中所說明該等製程和結構考慮到該等替換閘極結構175與S/D接點200之間的間隔結構之介電係數,為了將該寄生閘極對S/D電容降低至RF技術所需目標數值(即降低該閘極對汲極電容(Cgd )和閘極對源極電容(Cgs ) )而設計製造。在各具體實施例中,形成該等相對較大空氣間隔結構220考慮到這些RF目標數值(如RF電晶體之Ft和Fmax)達成,因為Ft和Fmax係與閘極結構對S/D接觸電容Cgd 和Cgs 之數值成反比。又,文中所說明該等製程和結構係與非自對準接觸(SAC)流程相容,並可施加於對例如RF FinFET而言可為至關重要的任何接觸式多晶腳距(CPP)。以此方式,文中所說明該等結構和製程改良RF元件在任何CPP處之整體性能。The processes and structures described herein take into account the dielectric constant of the spacer structure between the replacement gate structures 175 and the S/D contacts 200, in order to reduce the parasitic gate pair S/D capacitance to the level required by RF technology. It needs to be designed and manufactured with the target value (ie reducing the gate-to-drain capacitance (C gd ) and gate-to-source capacitance (C gs ) ). In various embodiments, the relatively large air spacer structures 220 are formed taking into account the achievement of these RF target values (eg, Ft and Fmax for RF transistors) because Ft and Fmax are related to the gate structure-to-S/D contact capacitance The values of C gd and C gs are inversely proportional. Also, the processes and structures described herein are compatible with non-self-aligned contact (SAC) processes and can be applied to any contact poly-pitch (CPP) that may be critical to, for example, RF FinFETs . In this way, the structures and processes described herein improve the overall performance of the RF element at any CPP.

一般來說,文中所說明該等結構和製程係與記錄積體流程和相對較大CPP之製程相容。又,文中所說明該等結構和製程解決因該等替換閘極結構175之閘極堆疊180和閘極帽蓋185與該等S/D接點200之金屬材料205之間的相對較低品質氧化物可流動化學氣相沉積(FCVD)所造成的寄生閘極對S/D電容。透過用鬆弛的CPP去除這些RF元件之低品質FCVD氧化物並形成空氣間隙代替,該等寄生電容可大幅減小,且該等整體RF性能改良。此外,文中所說明該等結構和製程不限於具有僅形成在該等替換閘極結構175之該等側壁間隔135內的小空氣間隙;而是,文中所說明該等結構和製程考慮到與該等替換閘極結構175之該等側壁間隔135相鄰的相對較大空氣間隔結構220。In general, the structures and processes described herein are compatible with recording integrated processes and processes for relatively large CPPs. Also, the structures and processes described herein address the relatively low quality between the gate stacks 180 and gate caps 185 of the replacement gate structures 175 and the metal material 205 of the S/D contacts 200 Parasitic gate-to-S/D capacitance due to flowable chemical vapor deposition (FCVD) of oxides. By removing the low quality FCVD oxide of these RF elements with relaxed CPP and forming air gaps instead, the parasitic capacitances can be greatly reduced and the overall RF performance improved. Furthermore, the structures and processes described herein are not limited to having small air gaps formed only within the sidewall spacers 135 of the replacement gate structures 175; The relatively large air spacer structures 220 adjacent to the equal sidewall spacings 135 of the gate structures 175 are equally replaced.

圖9A至圖10B顯示依據本發明所揭示內容之態樣的替代性結構。類似於圖1A至圖8B內所說明該等製程,圖9A和圖9B顯示除了圖7A和圖7B中所例示去除頂部襯層155以外,去除底部襯層150及該等替換閘極結構175之該等側壁間隔135。據此,該等空氣間隙210a大於圖7A和圖7B之該等空氣間隙210。以此方式,由於去除底部襯層150和該等側壁間隔135所產生該等空氣間隙210之大小增加,圖10A和圖10B中所示該等空氣間隔結構220a相對較大於圖8A和圖8B之該等空氣間隔結構220。以此方式,文中所說明該等製程包括形成至少一個閘極結構175;以及形成與至少一個閘極結構175相鄰的複數主動區域(即S/D區域140)。又,該製程包括形成包覆該至少一個閘極結構和該等主動區域的一雙襯層(即雙CESL 145);以及在該雙襯層上方沉積一絕緣體材料(即ILD 160)。9A-10B show alternative structures according to aspects of the present disclosure. Similar to the processes illustrated in FIGS. 1A-8B , FIGS. 9A and 9B show the removal of the bottom liner 150 and the replacement gate structures 175 in addition to the removal of the top liner 155 as illustrated in FIGS. 7A and 7B . The sidewalls are spaced 135 . Accordingly, the air gaps 210a are larger than the air gaps 210 of FIGS. 7A and 7B . In this manner, due to the increased size of the air gaps 210 created by removing the bottom liner 150 and the sidewall spacers 135, the air spacer structures 220a shown in FIGS. 10A and 10B are relatively larger than those of FIGS. 8A and 8B . The air spacer structures 220 . In this manner, the processes described herein include forming at least one gate structure 175 ; and forming a plurality of active regions (ie, S/D regions 140 ) adjacent to at least one gate structure 175 . Also, the process includes forming a double liner (ie, double CESL 145) overlying the at least one gate structure and the active regions; and depositing an insulator material (ie, ILD 160) over the double liner.

此外,該製程包括在該等主動區域之間形成複數錨定結構165;以及形成與該等主動區域電接觸的複數接點200。在各具體實施例中,該製程結束於蝕刻該雙襯層之至少一個襯層(即底部襯層150或頂部襯層155);蝕刻該絕緣體材料之各選擇部位以形成至少一個空氣間隙210;以及在該等空氣間隙210內沉積一第二襯層(即低k值襯層215)以形成空氣間隔結構。在進一步各具體實施例中,該蝕刻該至少一個襯層係該雙襯層之頂部襯層155。In addition, the process includes forming a plurality of anchor structures 165 between the active regions; and forming a plurality of contacts 200 in electrical contact with the active regions. In various embodiments, the process ends by etching at least one liner of the dual liner (ie, bottom liner 150 or top liner 155 ); etching selected portions of the insulator material to form at least one air gap 210 ; and depositing a second liner (ie, the low-k liner 215 ) in the air gaps 210 to form the air gap structure. In further embodiments, the etching of the at least one liner is the top liner 155 of the dual liner.

使用如上述所說明該(等)方法製造積體電路晶片。該製造商可以原始晶圓形式(即作為具有多個未封裝晶片的單片晶圓)、作為裸晶粒、或以封裝形式分銷該等所得到的積體電路晶片。在該後者情況下,以單晶片封裝(如塑料載體,帶有貼附於主機板或其他更高層級載體的引線)或以多晶片封裝(如具有表面內連線或埋藏內連線任一者或兩者的陶瓷載體)封固該晶片。在任何情況下,該晶片隨後皆與其他晶片、個別電路單元、及/或其他信號處理裝置整合作為(a)中間產品(如主機板)或(b)最終產品任一者之部分。該最終產品可為包括積體電路晶片的任何產品,範圍從玩具及其他低階應用至具有顯示器、鍵盤、或其他輸入裝置、以及中央處理器的高階電腦產品皆包括。Integrated circuit chips are fabricated using the method(s) as described above. The manufacturer may distribute the resulting integrated circuit chips in raw wafer form (ie, as a single wafer with multiple unpackaged chips), as bare dies, or in packaged form. In this latter case, in a single-die package (such as a plastic carrier with leads attached to a motherboard or other higher-level carrier) or in a multi-die package (such as with either surface interconnects or buried interconnects) or both) to encapsulate the wafer. In any event, the chip is then integrated with other chips, individual circuit units, and/or other signal processing devices as part of either (a) an intermediate product (eg, a motherboard) or (b) an end product. The final product can be any product that includes an integrated circuit chip, ranging from toys and other low-end applications to high-end computer products with displays, keyboards, or other input devices, and central processing units.

本發明所揭示內容之該等各種具體實施例之該等說明內容已為了例示之目的而進行描述,但不欲為全面性或限於所揭示該等具體實施例。對此領域一般技術者來說,將顯而易見許多修飾例和變化例而不悖離該等所說明具體實施例之範疇與精神。文中所使用的用語經過選擇,以最佳解說該等具體實施例之該等原理、市場中所見技術的實際應用或技術改良,或讓此領域其他一般技術者能夠理解文中所揭示該等具體實施例。These descriptions of the various embodiments of the present disclosure have been described for purposes of illustration, but are not intended to be comprehensive or limited to the embodiments disclosed. Numerous modifications and variations will be apparent to those skilled in the art without departing from the scope and spirit of the described embodiments. The terms used in the text have been chosen to best explain the principles of the specific embodiments, the practical application or technical improvement of the technologies seen in the market, or to enable other ordinary skilled in the art to understand the specific implementations disclosed in the text. example.

100:結構 105:半導體材料 110:鰭狀結構 115:淺溝槽隔離(STI)區域 120:閘極結構 125:虛擬閘極材料 130:覆蓋層 135:側壁間隔 140:源極與汲極(S/D)區域 145:雙接觸蝕刻停止襯層(CESL) 150:底部襯層 155:頂部襯層 160:層間介電體(ILD) 165:錨定結構 170:填充材料 175:替換閘極結構 180:閘極堆疊 185:閘極帽蓋 190:空氣間隙帽蓋 195:材料 200:S/D接點 205:金屬材料 210、210a:空氣間隙 215:低k值襯層 220、220a:空氣間隔結構100: Structure 105: Semiconductor Materials 110: Fins 115: Shallow Trench Isolation (STI) Region 120: Gate structure 125: Virtual gate material 130: Overlay 135: Sidewall Spacing 140: Source and drain (S/D) regions 145: Double Contact Etch Stop Liner (CESL) 150: Bottom liner 155: top liner 160: Interlayer Dielectric (ILD) 165: Anchor Structure 170: Filler material 175: Replacement gate structure 180: Gate stack 185: Gate cap 190: Air Gap Cap 195: Materials 200: S/D contact 205: Metal Materials 210, 210a: Air gap 215: Low-k liner 220, 220a: Air spacer structure

藉由本發明所揭示內容之示例性具體實施例之非限制性範例參照該等所提及複數圖式,本發明所揭示內容係在接下來的實施方式中說明。Reference is made to the referenced plural drawings by way of non-limiting examples of exemplary embodiments of the present disclosure, which is described in the following detailed description.

圖1A至圖1C除了其他特徵之外,顯示依據本發明所揭示內容之態樣的虛擬閘極結構及各自製程。1A-1C show, among other features, dummy gate structures and respective processes in accordance with aspects of the present disclosure.

圖2A和圖2B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的底部與頂部接觸蝕刻停止襯層(contact etch stop liner,CESL)及各自製程。2A and 2B show, among other features, bottom and top contact etch stop liner (CESL) and respective processes in accordance with aspects of the present disclosure.

圖3A和圖3B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的錨定結構及各自製程。3A and 3B show, among other features, anchoring structures and respective processes in accordance with aspects of the present disclosure.

圖4A和圖4B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的替換閘極結構及各自製程。4A and 4B show, among other features, alternate gate structures and respective processes in accordance with aspects of the present disclosure.

圖5A和圖5B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的空氣間隙覆蓋層及各自製程。5A and 5B show, among other features, an air gap cover layer and respective processes in accordance with aspects of the present disclosure.

圖6A和圖6B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的源極/汲極(S/D)金屬化特徵及各自製程。6A and 6B show, among other features, source/drain (S/D) metallization features and respective processes in accordance with aspects of the present disclosure.

圖7A和圖7B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的空氣間隙及各自製程。7A and 7B show, among other features, air gaps and respective processes in accordance with aspects of the present disclosure.

圖8A和圖8B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的空氣間隔結構及各自製程。8A and 8B show, among other features, air spacer structures and respective processes in accordance with aspects of the present disclosure.

圖9A至圖10B顯示依據本發明所揭示內容之態樣的替代性結構及各自製程。9A-10B show alternative structures and respective processes in accordance with aspects of the present disclosure.

105:半導體材料105: Semiconductor Materials

110:鰭狀結構110: Fins

140:源極與汲極(S/D)區域140: Source and drain (S/D) regions

180:閘極堆疊180: Gate stack

185:閘極帽蓋185: Gate cap

190:空氣間隙帽蓋190: Air Gap Cap

200:S/D接點200: S/D contact

205:金屬材料205: Metal Materials

215:低k值襯層215: Low-k liner

220、220a:空氣間隔結構220, 220a: Air spacer structure

Claims (8)

一種半導體結構,包含:複數個閘極結構,包含多個主動區域;多個接點延伸到該等主動區域;複數錨定結構介於該等主動區域之間;以及多個空氣間隔結構與該等接點相鄰;其中:該等錨定結構的上表面低於該等接點和該等閘極結構的上表面;該等接點係透過該等錨定結構錨定;該等空氣間隔結構包含介於該等閘極結構和該等接點之間的空氣間隙;該等空氣間隔結構包含一低k值襯層;該等閘極結構包含側壁間隔和一蝕刻停止襯層,其與該等側壁間隔相鄰;該蝕刻停止襯層在該等錨定結構下面延伸;該蝕刻停止襯層係包含一底部襯層和一頂部襯層的一雙蝕刻停止襯層;及每一個該等空氣間隔結構包含一上空氣間隙和一下空氣間隙,彼此間透過該低k值襯層分隔。 A semiconductor structure, comprising: a plurality of gate structures, including a plurality of active regions; a plurality of contacts extending to the active regions; a plurality of anchor structures interposed between the active regions; and a plurality of air spacer structures and the active regions The contacts are adjacent; wherein: the upper surfaces of the anchoring structures are lower than the upper surfaces of the contacts and the gate structures; the contacts are anchored through the anchoring structures; the air spacers The structures include air gaps between the gate structures and the contacts; the air spacer structures include a low-k liner; the gate structures include sidewall spacers and an etch stop liner, which is the sidewalls are spaced apart; the etch stop liner extends under the anchoring structures; the etch stop liner is a dual etch stop liner comprising a bottom liner and a top liner; and each of the The air spacer structure includes an upper air gap and a lower air gap, which are separated from each other by the low-k liner. 如請求項1所述之結構,其中該低k值襯層襯裡該等錨定結構。 The structure of claim 1, wherein the low-k liner lines the anchoring structures. 如請求項1所述之結構,其中該底部襯層和該頂部襯層係由一低k值材料組成。 The structure of claim 1 wherein the bottom liner and the top liner are composed of a low-k material. 如請求項1所述之結構,更包含一帽蓋,其在該等空氣間隔結構上方。 The structure of claim 1, further comprising a cap over the air space structures. 如請求項4所述之結構,其中該帽蓋係由與該等錨定結構相同的一材料組成。 The structure of claim 4, wherein the cap is composed of the same material as the anchoring structures. 一種半導體結構,包含:複數閘極結構,包含源極與汲極(source and drain,S/D)區域;多個接點,延伸到該等S/D區域;複數錨定結構,介於該等S/D區域之間且介於該等接點之間,以使鄰近的該等S/D區域之一與鄰近的該等接點之一彼此間相互分隔;以及多個空氣間隔結構與該等S/D區域的側壁、該等接點的側壁和該等錨定結構的側壁相鄰;其中:該等空氣間隔結構將該等S/D區域的該等側壁與該等錨定結構的該等側壁隔開;該等接點係錨定到該等錨定結構中;其中該等空氣間隔結構包含空氣間隙,其在該等閘極結構與該等接點之間;該等錨定結構的上表面低於該等接點和該等閘極結構的上表面;其中該等空氣間隔結構包含一低k值襯層;該等閘極結構包含側壁間隔和一蝕刻停止襯層,其與該等側壁間隔相鄰;該蝕刻停止襯層在該等錨定結構下面延伸;該蝕刻停止襯層係包含一底部襯層和一頂部襯層的一雙蝕刻停止襯層;及 每一個該等空氣間隔結構包含一上空氣間隙和一下空氣間隙,彼此間透過該低k值襯層分隔。 A semiconductor structure, comprising: a plurality of gate structures including source and drain (S/D) regions; a plurality of contacts extending to the S/D regions; and a plurality of anchor structures between the between the S/D regions and between the contacts so that one of the adjacent S/D regions and one of the adjacent contacts are separated from each other; and a plurality of air spacers and The sidewalls of the S/D regions, the sidewalls of the contacts and the sidewalls of the anchoring structures are adjacent; wherein: the air spacers are adjacent to the sidewalls of the S/D regions and the anchoring structures the sidewalls are separated; the contacts are anchored into the anchoring structures; wherein the air spacer structures comprise air gaps between the gate structures and the contacts; the anchors The upper surfaces of the fixed structures are lower than the upper surfaces of the contacts and the gate structures; wherein the air spacer structures comprise a low-k liner; the gate structures comprise sidewall spacers and an etch stop liner, it is spaced adjacent to the sidewalls; the etch stop liner extends under the anchoring structures; the etch stop liner is a dual etch stop liner comprising a bottom liner and a top liner; and Each of the air spacer structures includes an upper air gap and a lower air gap separated from each other by the low-k liner. 一種半導體結構的製造方法,包含:形成包含複數主動區域的複數閘極結構;形成延伸至該等主動區域的複數接點;形成介於該等主動區域之間的複數錨定結構;以及形成與該等接點相鄰的多個空氣間隔結構;其中:該等錨定結構的上表面低於該等接點和該等閘極結構的上表面;該等接點係透過該等錨定結構錨定;該等接點和該等閘極結構的上表面並無任何該等錨定結構;該等空氣間隔結構包含一低k值襯層;該等閘極結構包含側壁間隔和一蝕刻停止襯層,其與該等側壁間隔相鄰;該蝕刻停止襯層在該等錨定結構下面延伸;該蝕刻停止襯層係包含一底部襯層和一頂部襯層的一雙蝕刻停止襯層;及每一個該等空氣間隔結構包含一上空氣間隙和一下空氣間隙,彼此間透過該低k值襯層分隔。 A method of manufacturing a semiconductor structure, comprising: forming a plurality of gate structures including a plurality of active regions; forming a plurality of contacts extending to the active regions; forming a plurality of anchor structures between the active regions; A plurality of air spacer structures adjacent to the contacts; wherein: the upper surfaces of the anchor structures are lower than the upper surfaces of the contacts and the gate structures; the contacts pass through the anchor structures anchoring; the contacts and the upper surfaces of the gate structures do not have any of the anchoring structures; the air spacer structures include a low-k liner; the gate structures include sidewall spacers and an etch stop a liner spaced adjacent to the sidewalls; the etch stop liner extends below the anchoring structures; the etch stop liner is a dual etch stop liner comprising a bottom liner and a top liner; and each of the air spacer structures includes an upper air gap and a lower air gap separated from each other by the low-k liner. 如請求項7所述之方法,其中蝕刻該至少一個襯層係該雙襯層之一頂部襯層。 The method of claim 7, wherein etching the at least one liner is a top liner of the dual liner.
TW109128952A 2019-09-27 2020-08-25 Air spacer structures TWI753545B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/585,671 2019-09-27
US16/585,671 US11094794B2 (en) 2019-09-27 2019-09-27 Air spacer structures

Publications (2)

Publication Number Publication Date
TW202127584A TW202127584A (en) 2021-07-16
TWI753545B true TWI753545B (en) 2022-01-21

Family

ID=74873022

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109128952A TWI753545B (en) 2019-09-27 2020-08-25 Air spacer structures

Country Status (4)

Country Link
US (1) US11094794B2 (en)
CN (1) CN112582462A (en)
DE (1) DE102020122500A1 (en)
TW (1) TWI753545B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11817491B2 (en) * 2020-07-21 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an air gap along a gate spacer
US11876117B2 (en) 2021-10-18 2024-01-16 International Business Machines Corporation Field effect transistor with reduced parasitic capacitance and resistance

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180047615A1 (en) * 2016-08-09 2018-02-15 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
TW201816856A (en) * 2016-07-27 2018-05-01 格羅方德半導體公司 FINFETs with air-gap spacers and methods for forming the same
TW201911425A (en) * 2017-08-09 2019-03-16 美商格芯(美國)集成電路科技有限公司 Field effect transistor having an air-gap gate sidewall spacer and method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869379A (en) 1997-12-08 1999-02-09 Advanced Micro Devices, Inc. Method of forming air gap spacer for high performance MOSFETS'
US7342266B2 (en) * 2006-01-09 2008-03-11 International Business Machines Corporation Field effect transistors with dielectric source drain halo regions and reduced miller capacitance
US7741663B2 (en) 2008-10-24 2010-06-22 Globalfoundries Inc. Air gap spacer formation
US9305835B2 (en) 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
KR102321390B1 (en) * 2014-12-18 2021-11-04 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
US9735246B1 (en) 2016-05-11 2017-08-15 International Business Machines Corporation Air-gap top spacer and self-aligned metal gate for vertical fets
US10008577B2 (en) 2016-08-01 2018-06-26 Globalfoundries Inc. Methods of forming an air-gap spacer on a semiconductor device and the resulting device
US10438972B2 (en) * 2016-09-12 2019-10-08 International Business Machines Corporation Sub-fin removal for SOI like isolation with uniform active fin height
US10461152B2 (en) * 2017-07-10 2019-10-29 Globalfoundries Inc. Radio frequency switches with air gap structures
US10607893B2 (en) * 2018-02-17 2020-03-31 Globalfoundries Inc. Middle of line structures
US10395988B1 (en) * 2018-04-10 2019-08-27 International Business Machines Corporation Vertical FET transistor with reduced source/drain contact resistance
US10833165B2 (en) * 2018-04-30 2020-11-10 International Business Machines Corporation Asymmetric air spacer gate-controlled device with reduced parasitic capacitance

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201816856A (en) * 2016-07-27 2018-05-01 格羅方德半導體公司 FINFETs with air-gap spacers and methods for forming the same
US20180047615A1 (en) * 2016-08-09 2018-02-15 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
TW201911425A (en) * 2017-08-09 2019-03-16 美商格芯(美國)集成電路科技有限公司 Field effect transistor having an air-gap gate sidewall spacer and method

Also Published As

Publication number Publication date
DE102020122500A1 (en) 2021-04-01
TW202127584A (en) 2021-07-16
CN112582462A (en) 2021-03-30
US20210098591A1 (en) 2021-04-01
US11094794B2 (en) 2021-08-17

Similar Documents

Publication Publication Date Title
US10586857B2 (en) Replacement metal gate structures
US10636890B2 (en) Chamfered replacement gate structures
US11810812B2 (en) Single diffusion cut for gate structures
TWI753545B (en) Air spacer structures
US10790376B2 (en) Contact structures
TWI729283B (en) Contact structures
US10685840B2 (en) Gate structures
US11211291B2 (en) Via formation with robust hardmask removal
CN110098174B (en) Cap structure