TWI751990B - 衝突罩生成 - Google Patents

衝突罩生成 Download PDF

Info

Publication number
TWI751990B
TWI751990B TW105138473A TW105138473A TWI751990B TW I751990 B TWI751990 B TW I751990B TW 105138473 A TW105138473 A TW 105138473A TW 105138473 A TW105138473 A TW 105138473A TW I751990 B TWI751990 B TW I751990B
Authority
TW
Taiwan
Prior art keywords
bit
value
cell
vector
memory
Prior art date
Application number
TW105138473A
Other languages
English (en)
Other versions
TW201732609A (zh
Inventor
金君
艾蒙斯特阿法 歐德亞麥德維爾
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/CN2015/098655 external-priority patent/WO2017107125A1/en
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201732609A publication Critical patent/TW201732609A/zh
Application granted granted Critical
Publication of TWI751990B publication Critical patent/TWI751990B/zh

Links

Images

Landscapes

  • Memory System Of A Hierarchy Structure (AREA)
  • Advance Control (AREA)

Abstract

描述單指令、多資料(SIMD)技術。一種處理裝置可包括處理器核心及記憶體。該處理器核心可產生包含複數位元之第一位元映像,其中該些複數位元包括其代表第一記憶體位置之第一位元。該處理器核心可判定其該第一位元之值等於該第一位元映像中的第二位元之值。該處理器核心可判定該第二位元之該位置相關於該第一位元映像中之該第一位元。該處理器核心可產生包括第三位元之第二位元映像,該第三位元係指示其該第一位元為具有如該第二位元之相同值的該第一位元映像中之最後位元。

Description

衝突罩生成
本發明係關於一種衝突罩生成技術。
單指令、多資料(SIMD)架構可被實施於微處理器系統中以致能一指令平行地操作於數個運算元上。SIMD架構利用緊縮多資料元件於一暫存器或相連記憶體位置之內。利用平行硬體執行,多數操作藉由一指令而被履行於分離的資料元件上以增加微處理器系統之性能。
100‧‧‧記憶體裝置
102‧‧‧處理器
103‧‧‧暫存器
104‧‧‧SIMD暫存器
105‧‧‧快取
106‧‧‧記憶體介面
210‧‧‧列
211-218‧‧‧單元
220‧‧‧列
221-228‧‧‧單元
231-238‧‧‧單元
300‧‧‧處理器
302‧‧‧提取級
304‧‧‧長度解碼級
306‧‧‧解碼級
308‧‧‧配置級
310‧‧‧重新命名級
312‧‧‧排程級
314‧‧‧暫存器讀取/記憶體讀取級
316‧‧‧執行級
318‧‧‧寫入回/記憶體寫入級
322‧‧‧例外處置級
324‧‧‧確定級
330‧‧‧前端單元
332‧‧‧分支預測單元
334‧‧‧指令快取單元
336‧‧‧指令變換後備緩衝(TLB)
338‧‧‧指令提取單元
340‧‧‧解碼單元
350‧‧‧執行引擎單元
352‧‧‧重新命名/配置器單元
354‧‧‧撤回單元
356‧‧‧排程器單元
358‧‧‧實體暫存器檔單元
360‧‧‧執行叢集
362‧‧‧執行單元
364‧‧‧記憶體存取單元
370‧‧‧記憶體單元
372‧‧‧資料TLB單元
374‧‧‧資料快取單元
376‧‧‧第2階(L2)快取單元
400‧‧‧處理器
401‧‧‧前端
402‧‧‧快速排程器
403‧‧‧失序執行引擎
404‧‧‧緩慢/一般浮點排程器
406‧‧‧簡單浮點排程器
408‧‧‧整數暫存器檔
410‧‧‧浮點暫存器檔
411‧‧‧執行區塊
412‧‧‧位址產生單元(AGU)
414‧‧‧AGU
416‧‧‧快速ALU
418‧‧‧快速ALU
420‧‧‧緩慢ALU
422‧‧‧浮點ALU
424‧‧‧浮點移動單元
430‧‧‧軌線快取
432‧‧‧微碼ROM
434‧‧‧微操作佇列
500‧‧‧多處理器系統
514‧‧‧I/O裝置
516‧‧‧第一匯流排
518‧‧‧匯流排橋
520‧‧‧第二匯流排
522‧‧‧鍵盤及/或滑鼠
524‧‧‧音頻I/O
527‧‧‧通訊裝置
528‧‧‧儲存單元
530‧‧‧指令/碼及資料
532‧‧‧記憶體
534‧‧‧記憶體
538‧‧‧高性能圖形電路
539‧‧‧高性能圖形介面
550‧‧‧點對點互連
552、554‧‧‧P-P介面
570‧‧‧第一處理器
572、582‧‧‧集成記憶體控制器單元
576、578‧‧‧點對點(P-P)介面
580‧‧‧第二處理器
586、588‧‧‧P-P介面
590‧‧‧晶片組
594、598‧‧‧點對點介面電路
596‧‧‧介面
600‧‧‧第三系統
614‧‧‧I/O裝置
615‧‧‧舊有I/O裝置
632、634‧‧‧記憶體
670、680‧‧‧處理器
672、682‧‧‧控制邏輯
690‧‧‧晶片組
700‧‧‧系統單晶片(SoC)
702‧‧‧互連單元
702A-N‧‧‧核心
706‧‧‧共享快取單元
708‧‧‧集成圖形邏輯
710‧‧‧系統代理單元
714‧‧‧集成記憶體控制器單元
716‧‧‧匯流排控制器單元
720‧‧‧媒體處理器
724‧‧‧影像處理器
726‧‧‧音頻處理器
728‧‧‧視頻處理器
730‧‧‧靜態隨機存取記憶體(SRAM)單元
732‧‧‧直接記憶體存取(DMA)單元
740‧‧‧顯示單元
800‧‧‧SoC
806、807‧‧‧核心
808‧‧‧快取控制
809‧‧‧匯流排介面單元
810‧‧‧L2快取
811‧‧‧互連
815‧‧‧GPU
820‧‧‧視頻編碼解碼器
825‧‧‧視頻介面
830‧‧‧用戶身份模組(SIM)
835‧‧‧開機ROM
840‧‧‧SDRAM控制器
845‧‧‧快閃控制器
850‧‧‧周邊控制
860‧‧‧DRAM
865‧‧‧快閃
870‧‧‧藍牙模組
875‧‧‧3G數據機
880‧‧‧GPS
885‧‧‧Wi-Fi
900‧‧‧計算系統
902‧‧‧處理裝置
904‧‧‧主記憶體
906‧‧‧靜態記憶體
908‧‧‧網路介面裝置
910‧‧‧視頻顯示單元
914‧‧‧游標控制裝置
916‧‧‧信號產生裝置
918‧‧‧資料儲存裝置
920‧‧‧網路
922‧‧‧圖形處理單元
924‧‧‧電腦可讀取儲存媒體
926‧‧‧軟體
928‧‧‧視頻處理單元
930‧‧‧匯流排
932‧‧‧音頻處理單元
本發明之各個實施例將從以下所提供之詳細描述以及從本發明之各個實施例的附圖被更完整地瞭解。
圖1A依據一實施例,闡明一耦合至處理器之記憶體裝置的圖形,該處理器係以履行單指令多資料(SIMD)操作。
圖1B依據一實施例,闡明針對一方法之圖形,該方法係將資料寫入至用於收集-修改-散佈操作之記憶體中的 位置。
圖1C依據一實施例,闡明針對一方法之另一圖形,該方法係將資料寫入至用於收集-修改-散佈操作之記憶體中的位置。
圖2依據一實施例,闡明一實施遮蔽操作之SIMD指令。
圖3A依據一實施例,為闡明依序管線及暫存器重新命名級、失序發送/執行管線之方塊圖。
圖3B依據一實施例,為闡明針對以實施安全記憶體重分割之處理器的微架構之方塊圖。
圖4依據一實施例,為針對處理器之微架構的方塊圖,該處理器包括用以履行安全記憶體重分割之邏輯電路。
圖5依據一實施方式,為一電腦系統之方塊圖。
圖6依據另一實施方式,為一電腦系統之方塊圖。
圖7依據一實施方式,為一系統單晶片之方塊圖。
圖8依據一實施方式,闡明針對一計算系統之方塊圖的另一實施方式。
圖9依據一實施方式,闡明針對一計算系統之方塊圖的另一實施方式。
【發明內容及實施方式】
許多處理器係使用向量指令集或單指令、多資料(SIMD)指令集以平行地履行多數操作。處理器可平行 地履行多數操作,並同時地將操作應用於相同件的資料或多數件的資料。向量化是一種用以將純量程式(其一次僅操作於一對運算元上)轉換至向量程式(其可運行來自單指令之多數操作)。例如,向量化為再寫入一迴路操作以履行SIMD指令之程序,其中取代處理一陣列之單一元件N次,其係同時地處理該陣列之M個元件N/M次。
向量化可包括一指令(諸如稀疏更新指令)以履行收集操作、修改操作、及散佈操作。收集操作及散佈操作可間接地存取記憶體中之暫存器。例如,收集操作使用指示的讀取而散佈操作使用指示的寫入。於一範例中,資料元件可位於非相連記憶體位置上。收集操作係從記憶體位置讀取一組資料元件並將該些資料元件聚合入單一暫存器或快取線。修改操作係修改該組資料元件中之一或更多資料元件。散佈操作將緊縮資料結構中之資料元件寫入至一組非相連或隨機記憶體位置。
傳統上,使用SIMD指令集之處理器具有受限的性能增加,因為應用程式具有不規則的記憶體存取型態。例如,儲存其需要對於資料元件之頻繁且隨機的更新之資料表的應用程式未被儲存在相連的記憶體位置上且需要資料之重新配置以完全利用SIMD指令集。資料之重新配置造成大量負擔並限制使用SIMD指令集之效率。
此外,向量化通常假設其履行收集操作、修改操作、及散佈操作之單指令將存取各記憶體位置不多於一次。於一範例中,由影像搜尋應用程式中之聯集和分類演算法 (針對i之16個連續值)所使用的記憶體存取型態可被向量化如下:收集16陣列[a[i]];進行SIMD計算,諸如陣列[a[i]]=b[i];及散佈回新的值。當a[i]之兩連續值是相同的時,則針對a[i]之第二值的收集操作、修改操作、及散佈操作與a[i]之第一值衝突。介於a[i]的第一與第二值之間的衝突造成資料依存性危險。資料依存性危險是指其中指令參考其尚未被完成之先前指令的結果。於一範例中,SIMD指令可具有資料依存性危險,其中第一操作係用以相加A與B來判定C而第二操作係用以相乘C與D來判定E。於此範例中,SIMD指令同時地履行第一和第二操作而資料依存性危險係由其仰賴第一操作之結果的第二操作所造成。資料依存性危險可導致針對SIMD指令集之無法預期的結果。於另一範例中,當兩連續值將被寫入至相同記憶體位置(例如,記憶體位置a[i])時,則針對第二值之散佈操作與針對第一值之散佈操作衝突。多數值之同時寫入至相同記憶體位置可能導致無法預期的答案,例如,巷道衝突。
文中所述之實施例係藉由使用遮蔽(VPCONFLICTMSK)操作以消除針對SIMD指令集之資料依存性危險來處理上述缺點。VPCONFLICTMSK操作係使用針對散佈操作之偏移向量以消除在相同記憶體位置中之資料暫存器的衝突使用。寫入遮罩可使用VPCONFLICTMSK操作而被產生,如先前段落中更詳細討論的。遮罩被用以識別將由散佈操作所寫入的值,其為巷道衝突之最後事件或者其為針對 不具衝突之巷道的值。利用VPCONFLICTMSK操作之SIMD指令可避免其造成資料依存性危險之衝突巷道。
圖1A闡明一耦合至處理器102之記憶體裝置100的圖形,該處理器係依據一實施例以履行單指令多資料(SIMD)操作。處理器102可包括記憶體介面106、暫存器103、及快取105。記憶體介面106可被耦合至暫存器103以及至快取105。
記憶體介面106可移動介於暫存器103或快取105(針對暫時儲存)與記憶體裝置100(針對長期儲存)之間的資料。於一範例中,處理器可使用暫存器103以儲存其於由處理器102所履行的指令或程序期間所使用之資料。處理器可使用記憶體介面106以寫入資料(諸如指令或程序之結果)至記憶體裝置100以供未來存取。於另一範例中,暫存器103可包括SIMD暫存器104。SIMD暫存器104可儲存於SIMD操作期間所使用的資料。例如,於收集-修改-散佈操作期間,處理器102可包括記憶體介面106,用以收集來自記憶體裝置100之資料並將該資料儲存於將在SIMD操作(收集操作)期間使用之SIMD暫存器104中,如先前段落中所更詳細地討論的。處理器102可使用SIMD暫存器104上所儲存的資料於SIMD操作(修改操作)期間,諸如相加或相乘操作。當SIMD操作完成時,處理器102可使用記憶體介面106以將SIMD操作之結果寫入回至記憶體裝置100(散佈操作)。於另一範例中,記憶體介面106可將SIMD操作之結果儲存於 快取105中,以供處理器102之其他操作。
圖1B闡明一方法108之圖形,該方法108係用以將資料寫入至用於收集-修改-散佈操作之記憶體中的位置,依據一實施例。方法100可至少部分地由處理器100(圖1A)或處理邏輯來履行,其可包括硬體(例如,電路、專屬邏輯、可編程邏輯、微碼,等等)、軟體(例如,由處理裝置所執行之指令)、韌體或其組合。
參考圖1B,方法108開始以產生第一位元映像(110)。於一實施例中,第一位元映像可包括多數位元。於另一實施例中,多數位元可包括位於第一位元映像中之第一位置上的第一位元,其中第一位元代表第一記憶體位置。該方法可包括判定其第一位元之值等於第一位元映像中的第二位元之值,其中第二位元之位置在第一位置之前(112)。該方法可包括產生包含第三位元之第二位元映像,該第三位元係指示其第一位元為針對該值之第一位元映像中的最後位元(114)。
圖1C闡明一方法120之另一圖形,該方法120係用以將資料寫入至用於收集-修改-散佈操作之記憶體中的位置,依據一實施例。方法120可至少部分地由處理器100(圖1A)或處理邏輯來履行,其可包括硬體(例如,電路、專屬邏輯、可編程邏輯、微碼,等等)、軟體(例如,由處理裝置所執行之指令)、韌體或其組合。
參考圖1C,方法120開始以由處理器產生第一位元映像(122)。於一實施例中,第一位元映像可包括位於 第一位元映像中之第一位置上的第一位元,其中第一位元代表第一記憶體位置。於另一實施例中,第一位元映像可包括位於第一位元映像中之第二位置上的第二位元,其中第二位元代表第二記憶體位置。於另一實施例中,第一位元映像之第一位置及第二位置為依序順序。
該方法可包括判定其第二位元之值係等於第一位元映像中的第一位元之值(124)。該方法可包括產生包含第三位元之第二位元映像,該第三位元係代表第一位元映像中的第一位元之位置(126)。該方法可包括判定其第二位元為針對該值之第一位元映像中的最後位元(128)。該方法可包括產生包含第四位元之第三位元映像,該第四位元係指示其第二位元為針對該值之第一位元映像中的最後位元(130)。該方法可包括將與第二位元關聯的資料寫入至第二記憶體位置(132)。
於一實施例中,第一位元映像可包括位於第一位元映像中之第三位置上的第五位元,其中第五位元代表第三記憶體位置。方法120可包括判定其第五位元之值不等於第二位元之值並將與第五位元關聯的資料寫入至第二記憶體位置。
於另一實施例中,該方法可包括判定其第五位元之值不等於第二位元之值並產生其包括第三位元之第二位元映像,該第三位元係代表第一位元映像中之第一位元和第五位元之位置。該方法亦可包括判定其第二位元為針對該值之第一位元映像中的最後位元並產生第三位元映像,該第 三位元映像包含指示其第二位元為針對該值之第一位元映像中的最後位元之第四位元。
於另一實施例中,第一位元映像可包括:位於第一位元映像中之第三位置上的第五位元,其中該第五位元代表第三記憶體位置;及位於第一位元映像中之第四位置上的第六位元,其中該第六位元代表第四記憶體位置。於一範例中,方法120可包括判定其第五位元之值等於第一位元映像中的第六位元之值並產生第二位元映像,該第二位元映像包括代表第一位元映像中的第六位元之位置的第七位元。該方法亦可包括判定其第六位元為針對該值之第一位元映像中的最後位元並產生第三位元映像,該第三位元映像包含指示其第六位元為針對該值之第一位元映像中的最後位元之第八位元。該方法可包括將與第六位元關聯的資料寫入至第四記憶體位置。
於一範例中,第一位元映像可包括:位於第一位元映像中之第三位置上的第五位元,其中該第五位元代表第三記憶體位置;位於第一位元映像中之第四位置上的第六位元,其中該第六位元代表第四記憶體位置;及位於第一位元映像中之第五位置上的第七位元,其中該第七位元代表第五記憶體位置。
於一實施例中,方法120可包括判定其第五位元之值係等於第一位元映像中的第六位元之值。該方法亦可包括判定其第七位元之值不等於第一位元映像中的任何其他位元。該方法可包括產生第二位元映像,其包括代表第一位 元映像中之第六位元的位置之第八位元;及判定其第六位元為針對該值之第一位元映像中的最後位元。該方法可包括產生第三位元映像,其包括指示其第六位元為針對該值之第一位元映像中的最後位元之第九位元及指示其第一位元映像中無其他位元等於第七位元之第十位元。該方法可包括將與第六位元關聯的資料寫入至第四記憶體位置以及將與第七位元關聯的資料寫入至第五記憶體位置。
於另一實施例中,方法120可包括判定其第五位元之值等於第一位元映像中的第七位元之值以及第六位元之值等於第一位元映像中的第七位元之值。該方法亦可包括產生第二位元映像,其包括代表第一位元映像中之第五位元和第六位元的位置之第八位元;及判定其第七位元為針對該值之第一位元映像中的最後位元。該方法可包括產生包含第九位元之第三位元映像,該第九位元係指示其第七位元為針對該值之第一位元映像中的最後位元。該方法可包括將與第七位元關聯的資料寫入至第五記憶體位置。
圖2闡明一實施遮蔽操作之SIMD指令,依據一實施例。SIMD指令為圖1A或1B中所描述之方法的實施方式之範例。於一範例中,SIMD指令可操作於具有8個記憶體元件之記憶體暫存器上。SIMD指令可包括用以產生位元映像之位元映像產生操作,其中位元映像包括位元向量,其係指示哪些單元具有衝突記憶體位置。
列210為單元211-218之陣列,其係儲存指示記憶體中之位置(例如,記憶體位置)的值。單元211中之值代 表記憶體中之位置0,單元212中之值代表記憶體中之位置1,單元213中之值代表記憶體中之位置1,單元214中之值代表記憶體中之位置0,單元215中之值代表記憶體中之位置3,單元216中之值代表記憶體中之位置3,單元216中之值代表記憶體中之位置3,單元217中之值代表記憶體中之位置4,及單元218中之值代表記憶體中之位置3。具有相同記憶體位置指定之單元係彼此衝突。例如,單元211與單元214衝突。單元212與單元213衝突。單元215、單元216、及單元218係彼此衝突。單元217不與列210中之任何其他單元衝突。
當位元映像產生操作已產生一指示哪些單元具有衝突記憶體位置之位元向量時,衝突操作(VPCONFLICT)可被履行以輸出一串位元值,其係指示與目前單元前之單元關聯的一或更多記憶體位置何時會和與目前單元關聯的記憶體位置衝突。例如,處理器可履行VPCONFLICT操作,其中VPCONFLICT操作可使用第一向量(諸如於快取或記憶體位置中之暫存器位置)為來源並將來源之各元件與先前元件的比較之結果寫入至向量目的地。向量目的地中之各元件含有相應於來源中之目前元件與先前元件的比較之位元的範圍,而向量目的地中之各位元被設定在當相應比較顯示衝突時。當目前單元之記憶體位置與先前單元之記憶體位置相同時,則記憶體位置衝突。於另一範例中,處理器可履行VPCONFLICT操作以藉由比較與目前單元關聯的記憶體位置和與先前單元關聯的記憶體位置來 判定目前單元之記憶體位置何時與先前單元之記憶體位置衝突。
該串中之各位元被設定在當與目前單元關聯的記憶體位置之相應比較顯示與先前單元關聯的記憶體位置之衝突時。例如,列220之單元221-228中的值為位元值之串。於一實施例中,該串中之各位元值係指示與目前單元前之單元關聯的一或更多記憶體位置何時會和與目前單元關聯的記憶體位置衝突。於一實施例中,等於1之位元值係指示儲存其和與目前單元關聯的記憶體位置衝突之記憶體位置的單元。於另一實施例中,0係指示儲存其不和與目前單元關聯的記憶體位置衝突之記憶體位置的單元。於另一實施例中,一單元之一串位元值中的各位元值之位置係指示陣列210中之衝突單元的位置。
例如,單元221係相關於單元211並指示在單元211前之任何單元是否與單元211衝突。當單元211為陣列210中之第一單元時,沒有單元在單元211之前,所以在單元211前沒有衝突單元。於一實施例中,單元221中之位元串值為0。於一實施例中,單元221中之位元串值為空白。
單元222係相關於單元212並指示在單元212前之任何單元是否與單元212衝突。單元211指定記憶體中之位置0而單元212指定記憶體中之位置1。先前單元211不與目前單元212衝突(例如,0之位元值)。因此,單元222中之位元串值為0。
單元223係相關於單元213並指示在單元213前之任何單元是否與單元213衝突。單元211指定記憶體中之位置0,單元212指定記憶體中之位置1,而單元213指定記憶體中之位置1。先前單元212與目前單元213衝突(例如,1之位元值)而先前單元211與目前單元213不衝突(例如,0之位元值)。因此,單元223中之位元串值為10。
單元224係相關於單元214並指示在單元214前之任何單元是否與單元214衝突。單元211指定記憶體中之位置0,單元212指定記憶體中之位置1,單元213指定記憶體中之位置1,而單元214指定記憶體中之位置0。先前單元213與目前單元214不衝突(例如,0之位元值),單元212與目前單元214不衝突(例如,0之位元值),而單元211與目前單元214衝突(例如,1之位元值)。因此,單元224中之位元串值為001。
單元225係相關於單元215並指示在單元215前之任何單元是否與單元215衝突。單元211指定記憶體中之位置0,單元212指定記憶體中之位置1,單元213指定記憶體中之位置1,單元214指定記憶體中之位置0,而單元215指定記憶體中之位置3。先前單元214與目前單元215不衝突(例如,0之位元值),單元213與目前單元215不衝突(例如,0之位元值),單元212與目前單元215不衝突(例如,0之位元值),而單元211與目前單元215不衝突(例如,0之位元值)。因此,單元225中 之位元串值為0000。
單元226係相關於單元216並指示在單元216前之任何單元是否與單元216衝突。單元211指定記憶體中之位置0,單元212指定記憶體中之位置1,單元213指定記憶體中之位置1,單元214指定記憶體中之位置0,單元215指定記憶體中之位置3,而單元216指定記憶體中之位置3。先前單元215與目前單元216衝突(例如,1之位元值),單元214與目前單元216不衝突(例如,0之位元值),單元213與目前單元216不衝突(例如,0之位元值),單元212與目前單元216不衝突(例如,0之位元值),而單元211與目前單元216不衝突。因此,單元226中之位元串值為10000。
單元227係相關於單元217並指示在單元217前之任何單元是否與單元217衝突。單元211指定記憶體中之位置0,單元212指定記憶體中之位置1,單元213指定記憶體中之位置1,單元214指定記憶體中之位置0,單元215指定記憶體中之位置3,單元216指定記憶體中之位置3,而單元217指定記憶體中之位置4。先前單元216與目前單元217不衝突(例如,0之位元值),單元215與目前單元217不衝突(例如,0之位元值),單元214與目前單元217不衝突(例如,0之位元值),單元213與目前單元217不衝突(例如,0之位元值),單元212與目前單元217不衝突,而單元211與目前單元217不衝突。因此,單元227中之位元串值為00000。
單元228係相關於單元218並指示在單元218前之任何單元是否與單元218衝突。單元211指定記憶體中之位置0,單元212指定記憶體中之位置1,單元213指定記憶體中之位置1,單元214指定記憶體中之位置0,單元215指定記憶體中之位置3,單元216指定記憶體中之位置3,單元217指定記憶體中之位置4,而單元218指定記憶體中之位置3。先前單元217與目前單元218不衝突(例如,0之位元值),單元216與目前單元218衝突(例如,1之位元值),單元215與目前單元218衝突(例如,1之位元值),單元214與目前單元218不衝突(例如,0之位元值),單元213與目前單元218不衝突(例如,0之位元值),單元212與目前單元218不衝突(例如,0之位元值),而單元211與目前單元218不衝突(例如,0之位元值)。因此,單元228中之位元串值為0110000。
當該串位元已被產生給各單元221-228時,VPCONFLICT操作可被履行以產生遮罩來指示該些單元儲存其應寫入至散佈操作中之記憶體的值。於一實施例中,1之位元值係指定其儲存於相應單元211-218中之值應被寫入記憶體而0之位元值係指定其儲存於相應單元211-218中之值不應被寫入記憶體。
於一範例中,列220之單元221-228中的值係儲存位元值之串。於一實施例中,單元中之各位元值係指示列210之哪些單元211-218含有最後記憶體位置。於一實施 例中,不具有衝突之單元以及針對衝突記憶體位置之最後單元具有遮蔽位元組,用以指示寫入列210之關聯單元211-218中的值。於一實施例中,0位元係指定其單元不儲存針對記憶體位置之最後值而1位元係指定其單元儲存針對記憶體位置之最後值。例如,單元231包括指定其單元211不儲存針對記憶體位置0之最後順序值的0之位元值。單元232包括指定其單元242不儲存針對記憶體位置1之最後順序值的0之位元值。單元233包括指定其單元243儲存針對記憶體位置1之最後順序值的1之位元值,例如,針對記憶體位置1之單元211-218的記憶體位置之最後值。單元234包括指定其單元234儲存針對記憶體位置0之最後順序值的1之位元值,例如,針對記憶體位置0之單元211-218的記憶體位置之最後值。單元235包括指定其單元215不儲存針對記憶體位置3之最後值的0之位元值。單元236包括指定其單元216不儲存針對記憶體位置3之最後值的0之位元值。單元237包括指定其單元217儲存針對記憶體位置4之最後順序值的1之位元值,例如,針對記憶體位置4之單元211-218的記憶體位置之最後值。單元238包括指定其單元218儲存針對記憶體位置3之最後順序值的1之位元值,例如,針對記憶體位置3之單元211-218的記憶體位置之最後值。
以下虛擬碼係闡明範例VPCONFLICTMSK指令。
Figure 105138473-A0202-12-0016-1
該KL可為位元的長度或數目,指示其位元為針對一值之位元映像中的最後位元或者其該位元與其他位元不衝突。VL可為向量長度,諸如陣列之長度。例如,其等於128之VL係針對4之陣列長度,而其等於256之VL係針對8之陣列長度。i可為針對陣列中之目前單元的指定符。MaskBit(j)OR *no writemask*係指示遮蔽位元是針對寫入遮罩或者無寫入遮罩,如先前段落所更詳細地討論 者。
軟體程式可履行散佈操作以將單元211-218中之值寫入至記憶體,該些單元211-218係與其儲存具有1之值的遮蔽位元之單元231-238關聯。例如,單元231具有一具有0之值的遮蔽位元,因此單元211上所儲存之值不被寫入至記憶體位置0。單元232具有一具有0之值的遮蔽位元,因此單元212上所儲存之值不被寫入至記憶體位置1。單元233具有一具有1之值的遮蔽位元,因此單元213上所儲存之值被寫入至記憶體位置1。單元234具有一具有1之值的遮蔽位元,因此單元214上所儲存之值被寫入至記憶體位置0。單元235具有一具有0之值的遮蔽位元,因此單元215上所儲存之值不被寫入至記憶體位置3。單元236具有一具有0之值的遮蔽位元,因此單元216上所儲存之值不被寫入至記憶體位置3。單元237具有一具有1之值的遮蔽位元,因此單元216上所儲存之值被寫入至記憶體位置4。單元238具有一具有1之值的遮蔽位元,因此單元217上所儲存之值被寫入至記憶體位置3。
圖3A為闡明由處理器300所實施的依序管線及暫存器重新命名級、失序發送/執行管線之方塊圖,依據本發明之某些實施例。圖3A中之實線方盒係闡明依序管線,而虛線方盒係闡明暫存器重新命名、失序發送/執行管線。明確地,處理器300係闡明其將被包括於處理器中的依序架構核心及暫存器重新命名邏輯、失序發送/執行邏 輯,依據本發明之至少一實施例。
於圖3A中,管線包括提取級302、長度解碼級304、解碼級306、配置級308、重新命名級310、排程(亦已知為分派或發送)級312、暫存器讀取/記憶體讀取級314、執行級316、寫入回/記憶體寫入級318、例外處置級322、及確定級324。於某些實施例中,級302-324之排序可不同於所顯示者且不限於圖3A中所示之特定排序。
圖3B為闡明針對其實施介於SNC間的動態快取雜湊改變之處理器300的微架構之方塊圖,依據一實施例。處理器300包括一耦合至執行引擎單元350之前端單元330,且兩者均耦合至記憶體單元370。處理器300可包括減少指令集計算(RISC)核心、複雜指令集計算(CISC)核心、極長指令字元(VLIW)核心、或者併合或替代核心類型。於又另一實施例中,處理器300可包括特殊用途核心,諸如(例如)網路或通訊核心、壓縮引擎、圖形核心,等等。於一實施例中,處理器300可為多核心處理器或者可為多處理器系統之部分。頁加入及內容複製之實施例可被實施於處理器300中。
前端單元330包括一分支預測單元332,其係耦合至指令快取單元334,其係耦合至指令變換後備緩衝(TLB)336,其係耦合至指令提取單元338,其係耦合至解碼單元340。解碼單元340(亦已知解碼器)可解碼指令;並可將以下產生為輸出:一或更多微操作、微碼進入 點、微指令、其他指令、或其他控制信號,其被解碼自(或者反應)、或被衍生自原始指令。解碼器340可使用各種不同的機制來實施。適當機制之範例包括(但不限定於)查找表、硬體實施方式、可編程邏輯陣列(PLA)、微碼唯讀記憶體(ROM),等等。指令快取單元334被進一步耦合至記憶體單元370。解碼單元340被耦合至執行引擎單元350中之重新命名/配置器單元352。
執行引擎單元350包括重新命名/配置器單元352,其係耦合至撤回單元354及一組一或更多排程器單元356。排程器單元356代表任何數目的不同排程器,包括保留站(RS)、中央指令窗,等等。排程器單元356被耦合至實體暫存器檔單元358。實體暫存器檔單元358之各者代表一或更多實體暫存器檔,其不同者係儲存一或更多不同的資料類型,諸如純量整數、純量浮點、緊縮整數、緊縮浮點、向量整數、向量浮點等等、狀態(例如,其為下一待執行指令之位址的指令指標),等等。實體暫存器檔單元358係由撤回單元354所重疊以闡明其中暫存器重新命名及失序執行可被實施之各種方式(例如,使用記錄器緩衝器和撤回暫存器檔;使用未來檔、歷史緩衝器、和撤回暫存器檔;使用暫存器映圖和暫存器池,等等)。
通常,架構暫存器從處理器之外部或者從編程者之觀點為可見的。暫存器不限於任何已知特定類型的電路。各種不同類型的暫存器為適合的,只要其能夠儲存並提供資料如文中所述者。適當暫存器之範例包括(但不限定於) 專屬實體暫存器、使用暫存器重新命名之動態配置實體暫存器、專屬及動態配置實體暫存器之組合,等等。撤回單元354及實體暫存器檔單元358被耦合至執行叢集360。執行叢集360包括一組一或更多執行單元362及一組一或更多記憶體存取單元364。執行單元362可履行各種操作(例如,移位、相加、相減、相乘)以及操作於各種類型的資料上(例如,純量浮點、緊縮整數、緊縮浮點、向量整數、向量浮點)。
雖然某些實施例可包括數個專屬於特定功能或功能集之執行單元,但其他實施例可包括僅一個執行單元或者全部履行所有功能之多數執行單元。排程器單元356、實體暫存器檔單元358、及執行叢集360被顯示為可能複數的,因為某些實施例係針對某些類型的資料/操作產生分離的管線(例如,純量整數管線、純量浮點/緊縮整數/緊縮浮點/向量整數/向量浮點管線、及/或記憶體存取管線,其各具有本身的排程器單元、實體暫存器檔單元、及/或執行叢集-且於分離記憶體存取管線之情況下,某些實施例被實施於其中僅有此管線之執行叢集具有記憶體存取單元364)。亦應理解:當使用分離管線時,這些管線之一或更多者可為失序發送/執行而其他者為依序。
該組記憶體存取單元364被耦合至記憶體單元370,其可包括資料預提取器380、資料TLB單元372、資料快取單元(DCU)374、及第2階(L2)快取單元376,舉出一些範例。於某些實施例中,DCU 374亦已知為第一階 資料快取(L1快取)。DCU 374可處置多重顯著的快取喪失並繼續服務進來的儲存及載入。其亦支援維持快取同調性。資料TLB單元372為一種藉由映射虛擬及實體位址空間以增進位址變換速度之快取。於一範例實施例中,記憶體存取單元364可包括載入單元、儲存位址單元、及儲存資料單元,其各者係耦合至記憶體單元370中之資料TLB單元372。L2快取單元376可被耦合至一或更多其他階的快取且最終至主記憶體。
於一實施例中,資料預提取器380臆測地載入/預提取資料至DCU 374,藉由自動地預測程式將使用哪個資料。預提取可指稱將記憶體階層(例如,較低階快取或記憶體)之記憶體位置(例如,位置)中所儲存的資料轉移至其較接近(例如,產生較低存取潛時)處理器之較高階記憶體位置,在該資料實際地被該處理器所要求以前。更明確地,預提取可指稱從較低階快取/記憶體之一至資料快取及/或預提取緩衝器的資料之早期擷取,在處理器發出針對其被返回之特定資料的要求以前。
處理器300可支援一或更多指令集(例如,x86指令集(具有其已被加入以較新版本之某些延伸);MIPS Technologies of Sunnyvale,CA之MIPS指令集;ARM Holdings of Sunnyvale,CA之ARM指令集(具有諸如NEON之選擇性額外延伸))。
應理解:核心可支援多線程(執行二或更多平行組的操作或線緒),並可以多種方式執行,包括時間切割多線 程、同時多線程(其中單一實體核心提供邏輯核心給其實體核心正同時地多線程之每一線緒)、或者其組合(例如,時間切割提取和解碼以及之後的同時多線程,諸如Intel® Hyperthreading科技)。
雖然暫存器重新命名被描述於失序執行之背景,但應理解其暫存器重新命名可被使用於依序架構。雖然處理器之所述的實施例亦包括分離的指令和資料快取單元以及共用L2快取單元,但替代實施例可具有針對指令和資料兩者之單一內部快取,諸如(例如)第1階(L1)內部快取、或多階內部快取。於某些實施例中,該系統可包括內部快取與外部快取之組合,該外部快取是位於核心及/或處理器之外部。替代地,所有快取可於核心及/或處理器之外部。
圖4為針對處理器400之微架構的方塊圖,該處理器400包括用以履行介於SNC間的動態快取雜湊改變之邏輯電路,依據一實施例。於某些實施例中,依據一實施例之指令可被實施以操作於資料元件,其具有位元組、字元、雙字元、四字元等等之尺寸;以及資料類型,諸如單和雙精確度整數及浮點資料類型。於一實施例中,依序前端401為處理器400之部分,其係提取將被執行的指令並備製將稍後於處理器管線中使用的指令。頁加入及內容複製之實施例可被實施於處理器400中。
前端401可包括數個單元。於一實施例中,指令預提取器416係從記憶體提取指令並將該些指令饋送至指令解 碼器418,其接著解碼或解讀該些指令。例如,於一實施例中,解碼器將已接收指令解碼為一或更多操作,稱為其機器可執行之「微指令」或「微操作」(亦稱為micro op或uops)。於其他實施例中,解碼器將指令剖析為運算碼及相應的資料和控制欄位,其係由微架構所使用以依據一實施例來履行操作。於一實施例中,軌線快取430取用已解碼的微操作並將其組合為微操作佇列434中之程式依序列或軌線,以供執行。當軌線快取430遭遇複雜指令時,則微碼ROM 432便提供用以完成該操作所需的微操作。
某些指令被轉換為單一微操作,而其他指令則需要數個微操作來完成完整操作。於一實施例中,假如需要四個微操作來完成指令,則解碼器418係存取微碼ROM 432以執行該指令。針對一實施例,指令可被解碼為少數微操作,以供處理於指令解碼器418。於另一實施例中,假如需要數個微操作來完成該操作,則指令可被儲存於微碼ROM 432內。軌線快取430係指稱進入點可編程邏輯陣列(PLA),用以判定正確的微指令指針,以供讀取微碼序列來完成一或更多指令(依據一實施例)自微碼ROM 432。在微碼ROM 432完成排序針對一指令之微操作後,機器之前端401重新從軌線快取430提取微操作。
失序執行引擎403為準備用於執行之指令。失序執行邏輯具有數個緩衝器,用以平緩並重新排序指令之流程來最佳化性能,隨著其前進管線且被排程以供執行。配置器邏輯係配置其各微操作欲執行所需的機器緩衝器及資源。 暫存器重新命名邏輯係將邏輯暫存器重新命名於暫存器檔中之項目上。配置器亦配置各微操作之項目於兩微操作佇列之一中,其中之一係針對記憶體操作而另一係針對非記憶體操作,在指令排程器之前:記憶體排程器、快速排程器402、緩慢/一般浮點排程器404、及簡單浮點排程器406。微操作排程器402、404、406係根據其相依的輸入暫存器運算元資源之備妥狀態及微操作欲完成其操作所需的執行資源之可用性以判定微操作何時準備好執行。一實施例之快速排程器402可於主時脈循環之各一半時排程,而其他排程器僅可於每主處理器時脈循環排程一次。排程器係針對調度埠仲裁以排程用於執行之微操作。
暫存器檔408、410位於排程器402、404、406與執行區塊411中的執行單元412、414、416、418、420、422、424之間。有分離的暫存器檔408、410,個別地用於整數及浮點操作。一實施例之各暫存器檔408、410包括旁通網路,其可旁通或傳遞剛完成的結果(其尚未被寫入暫存器檔)至新的相依微操作。整數暫存器檔408及浮點暫存器檔410亦能夠彼此傳遞資料。針對一實施例,整數暫存器檔408被分割為兩個分離的暫存器檔,一暫存器檔用於資料之低順序的32位元而第二暫存器檔用於資料之高順序的32位元。一實施例之浮點暫存器檔410具有128位元寬項目,因為浮點指令通常具有寬度從64至128位元之運算元。
執行區塊411含有執行單元412、414、416、418、 420、422、424,其中該些指令被實際地執行。此區段包括暫存器檔408、410,其係儲存微指令所需執行之整數及浮點資料運算元值。一實施例之處理器400包含數個執行單元:位址產生單元(AGU)412、AGU 414、快速ALU 416、快速ALU 418、緩慢ALU 420、浮點ALU 422、浮點移動單元424。針對一實施例,浮點執行區塊412、414執行浮點、MMX、SIMD、及SSE、或其他操作。一實施例之浮點ALU 412包括64位元X64位元浮點除法器,用以執行除法、平方根、及餘數微操作。針對本發明之實施例,涉及浮點值之指令可被處置以浮點硬體。
於一實施例中,ALU操作來到高速ALU執行單元416、418。一實施例之高速ALU 416、418可執行具有半時脈循環之有效潛時的快速操作。針對一實施例,大部分複雜整數操作來到緩慢ALU 410,因為緩慢ALU 410包括針對長潛時類型操作的整數執行硬體,諸如乘法器、移位、旗標邏輯、及分支處理。記憶體載入/儲存操作係由AGU 412、414所執行。針對一實施例,整數ALU 416、418、420被描述以履行整數操作於64位元資料運算元上之背景。於替代實施例中,ALU 416、418、420可被實施以支援多種資料位元,包括16、32、128、256,等等。類似地,浮點單元412、414可被實施以支援具有各個寬度之位元的廣泛運算元。針對一實施例,浮點單元412、414可操作於128位元寬的緊縮資料運算元上,配合SIMD及多媒體指令。
於一實施例中,微操作排程器402、404、406在母載入已完成執行以前調度相依的操作。因為微操作被臆測地排程並執行於處理器400中,所以處理器400亦可包括用以處置記憶體喪失之邏輯。假如資料載入喪失於資料快取中,則可能有相依的操作於管線的途中,其已留給排程器暫時錯誤的資料。重播機制係追蹤並重新執行其使用錯誤資料之指令。僅有相依的操作需要被重播而獨立的操作被容許完成。處理器之一實施例的排程器及重播機制亦被設計成捕捉指令序列以供文字串比較操作。
處理器400亦包括邏輯,用以依據一實施例來實施介於SNC間的動態快取雜湊改變。於一實施例中,處理器400之執行區塊411可包括微控制器(MCU),用以依據文中之描述來履行介於SNC間的動態快取雜湊改變。
術語「暫存器」可指稱板上處理器儲存位置,其被使用為用以識別運算元之指令的部分。換言之,暫存器可為那些從處理器外部(從編程者之觀點)可使用者。然而,實施例之暫存器不應被限制於指稱特定類型電路。反之,實施例之暫存器能夠儲存並提供資料、以及履行文中所述之功能。文中所述之暫存器可藉由使用任何數目之不同技術的處理器內之電路來實施,諸如專屬實體暫存器、使用暫存器重新命名之動態配置實體暫存器、專屬及動態配置實體暫存器之組合,等等。於一實施例中,整數暫存器係儲存三十二位元整數資料。一實施例之暫存器檔亦含有針對緊縮資料之八個多媒體SIMD暫存器。
針對以下的討論,暫存器被理解為設計成保持緊縮資料之資料暫存器,諸如64位元寬的MMXTM暫存器(亦稱為「mm」暫存器於某些例子中)於其致能有來自Intel Corporation of Santa Clara,California之MMX科技的微處理器中。這些MMX暫存器(可有整數及浮點形式兩者)可操作以其伴隨SIMD及SSE指令之緊縮資料元件。類似地,有關於SSE2、SSE3、SSE4、或超過(一般稱為「SSEx」)科技之128位元寬的XMM暫存器亦可被用以保持此等緊縮資料運算元。於一實施例中,於儲存緊縮資料及整數資料時,暫存器無須於兩種資料類型之間區別。於一實施例中,整數及浮點被含入於相同的暫存器檔或不同的暫存器檔中。再者,於一實施例中,浮點及整數資料可被儲存於不同的暫存器或相同的暫存器中。
實施例可被實施以許多不同的系統類型。現在參考圖5,其顯示依據一實施方式之多處理器系統500的方塊圖。如圖5中所示,多處理器系統500為點對點互連系統,並包括經由點對點互連550而耦合之第一處理器570及第二處理器580。如圖5中所示,處理器570及580之各者可為多核心處理器,包括第一及第二處理器核心(亦即,處理器核心574a和574b及處理器核心584a和584b),雖然潛在地更多核心可存在於處理器中。處理器各可包括併合寫入模式邏輯,依據本發明之一實施例。頁加入及內容複製之實施例可被實施於處理器570、處理器580、或兩者中。
雖然顯示兩個處理器570、580,但應理解其本發明之範圍未如此限制。於其他實施例中,一或更多額外處理器可存在於既定處理器中。
處理器570及580被顯示個別地包括集成記憶體控制器單元572及582。處理器570亦包括其匯流排控制器單元點對點(P-P)介面576及588之部分;類似地,第二處理器580包括P-P介面586及588。處理器570、580可使用P-P介面電路578、588而經由點對點(P-P)介面550來交換資訊。如圖5中所示,IMC 572及582將處理器耦合至個別記憶體,亦即記憶體532及記憶體534,其可為本地地裝附至個別處理器之主記憶體的部分。
處理器570、580可各經由個別的P-P介面552、554而與晶片組590交換資訊,使用點對點介面電路576、594、586、598。晶片組590亦可經由高性能圖形介面539而與高性能圖形電路538交換資訊。
共用快取(未顯示)可被包括於任一處理器中或者於兩處理器外部,而經由P-P互連與處理器連接,以致處理器之任一者或兩者的本地快取資訊可被儲存於共用快取中,假如處理器被置於低功率模式時。
晶片組590可經由一介面596而被耦合至第一匯流排516。於一實施例中,第一匯流排516可為周邊組件互連(PCI)匯流排、或者諸如PCI快速匯流排或其他第三代I/O互連匯流排等匯流排,雖然本發明之範圍未如此限制。
如圖5中所示,各種I/O裝置514可被耦合至第一匯流排516,連同匯流排橋518,其係將第一匯流排516耦合至第二匯流排520。於一實施例中,第二匯流排520可為低管腳數(LPC)匯流排。各個裝置可被耦合至第二匯流排520,其包括(例如)鍵盤及/或滑鼠522、通訊裝置527及儲存單元528,諸如磁碟機或其他大量儲存裝置(其可包括指令/碼及資料530),於一實施例中。此外,音頻I/O 524可被耦合至第二匯流排520。注意:其他架構是可能的。例如,取代圖5之點對點架構,系統可實施多點分支匯流排其他此類架構。
現在參考圖6,其顯示依據本發明之一實施例的第三系統600之方塊圖。圖5及6中之類似元件係具有類似數字,而圖5之某些形態已被省略自圖5以免混淆圖6之其他形態。
圖6闡明其處理器670、680可包括集成記憶體及I/O控制邏輯(「CL」)672和682,個別地。針對至少一實施例,CL 672、682可包括集成記憶體控制器單元,諸如文中所述者。此外。CL 672、682亦可包括I/O控制邏輯。圖6闡明其記憶體632、634被耦合至CL 672、682,且其I/O裝置614亦被耦合至控制邏輯672、682。舊有I/O裝置615被耦合至晶片組690。頁加入及內容複製之實施例可被實施於處理器670、處理器680、或兩者中。
圖7為一範例系統單晶片(SoC)700,其可包括核心 702之一或更多者。用於膝上型電腦、桌上型電腦、手持式PC、個人數位助理、工程工作站、伺服器、網路裝置、網路集線器、開關、嵌入式處理器、數位信號處理器(DSP)、圖形裝置、視頻遊戲裝置、機上盒、微控制器、行動電話、可攜式媒體播放器、手持式裝置、及各種其他電子裝置之技術中已知的其他系統設計和組態亦為適當的。通常,能夠結合處理器及/或其他執行邏輯(如文中所揭露者)之多種系統或電子裝置為一般性適當的。
圖7為SoC 700之方塊圖,依據本發明之實施例。虛線方塊為更多先進SoC上之特徵。於圖7中,互連單元702被耦合至:應用程式處理器717,其包括一組一或更多核心702A-N及共享快取單元706;系統代理單元710;匯流排控制器單元716;集成記憶體控制器單元714;一組或者一或更多媒體處理器720,其可包括集成圖形邏輯708、影像處理器724(用以提供靜止及/或視頻相機功能)、音頻處理器726(用以提供硬體音頻加速)、及視頻處理器728(用以提供視頻編碼/解碼加速);靜態隨機存取記憶體(SRAM)單元730;直接記憶體存取(DMA)單元732;及顯示單元740(用以耦合至一或更多外部顯示)。頁加入及內容複製之實施例可被實施於SoC 700中。
接下來轉至圖8,其描繪依據本發明之實施例的系統單晶片(SoC)設計之實施例。當作說明性範例,SoC 800被包括於使用者設備(UE)中。於一實施例中,UE係指 稱其將由終端使用者所用以通訊之任何裝置,諸如手持式電話、智慧型手機、平板電腦、超薄筆記型電腦、具有寬頻轉接器之筆記型電腦、或任何其他類似的通訊裝置。UE可連接至基地站或節點,其可本質上相應於GSM網路中之行動站(MS)。頁加入及內容複製之實施例可被實施於SoC 800中。
於此,SoC 800包括2個核心-806及807。類似於以上所討論者,核心806及807可符合指令集架構,諸如具有Intel® Architecture CoreTM之處理器、先進微型裝置公司(AMD)處理器、MIPS為基的處理器、ARM為基的處理器設計、或其消費者、以及其被授權者或採用者。核心806及807被耦合至快取控制808,其係與匯流排介面單元809及L2快取810關聯以與系統800之其他部分通訊。互連811包括晶片上互連,諸如IOSF、AMBA、或以上所討論之其他互連,其可實施本發明之一或更多形態。
互連811提供通訊頻道至其他組件,諸如:用戶身份模組(SIM)830,用以與SIM卡互介面、開機ROM 835,用以保存開機碼以供由核心806和807執行來初始化並開機SoC 800、SDRAM控制器840,用以與外部記憶體(例如,DRAM 860)互介面、快閃控制器845,用以與非揮發性記憶體(例如,快閃865)互介面、周邊控制850(例如,串列周邊介面)用以與周邊互介面、視頻編碼解碼器820和視頻介面825,用以顯示並接收輸入(例如,觸控致能輸入)、GPU 815,用以履行圖形相關的計 算,等等。這些介面之任一者可結合文中所述之實施例的形態。
此外,該系統顯示用於通訊之周邊,諸如藍牙模組870、3G數據機875、GPS 880、及Wi-Fi 885。注意:如上所述,UE包括用於通訊之無線電。因此,這些周邊通訊模組可能未被全部包括。然而,於UE中,用於外部通訊之某種形式的無線電應被包括。
圖9闡明以計算系統900之範例形式的機器之圖形表示,於該系統內可執行一組指令以致使機器履行文中所討論之任何一或更多方法。於替代實施例中,機器可被連接(例如,連網)至LAN、內部網路、外部網路、或網際網路中之其他機器。機器可操作於用戶伺服器網路環境下之伺服器或用戶裝置之範圍中、或者當作點對點(或分散式)網路環境下之同級機器。機器可為個人電腦(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、行動電話、網路器具、伺服器、網路路由器、開關或橋、或者能夠執行其指明由該機器所採取之行動的一組指令(序列或其他)的任何機器。再者,雖僅顯示單一機器,但術語「機器」亦應被視為包括其獨立地或聯合地執行一組(或多組)用來履行文中所述之任何一或更多方法的指令之機器的任何集合。頁加入及內容複製之實施例可被實施於計算系統900中。
計算系統900包括處理裝置902、主記憶體904(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記 憶體(DRAM),諸如同步DRAM(SDRAM)或DRAM(RDRAM)等等)、靜態記憶體906(例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等等)、以及資料儲存裝置918,其係經由匯流排930而彼此通連。
處理裝置902代表一或更多一般用途處理裝置,諸如微處理器、中央處理單元,等等。更特別地,處理裝置可為複雜指令組計算(CISC)微處理器、減少指令組計算(RISC)微處理器、極長指令字元(VLIW)微處理器、或實施其他指令集的處理器、或實施指令集之組合的處理器。處理裝置902亦可為一或更多特殊用途處理裝置,諸如特定應用積體電路(ASIC)、場可編程閘極陣列(FPGA)、數位信號處理器(DSP)、網路處理器,等等。於一實施例中,處理裝置902可包括一或更多處理器核心。處理裝置902組態成執行處理邏輯926,用以履行文中所討論之操作。於一實施例中,處理裝置902可為計算系統之部分。替代地,計算系統900可包括如文中所述之其他組件。應理解:核心可支援多線程(執行二或更多平行組的操作或線緒),並可以多種方式執行,包括時間切割多線程、同時多線程(其中單一實體核心提供邏輯核心給其實體核心正同時地多線程之每一線緒)、或者其組合(例如,時間切割提取和解碼以及之後的同時多線程,諸如Intel® Hyperthreading科技)。
計算系統900可進一步包括網路介面裝置908,其係可通訊地耦合至網路920。計算系統900亦可包括視頻顯 示單元910(例如,液晶顯示(LCD)或陰極射線管(CRT))、文數輸入裝置910(例如,鍵盤)、游標控制裝置914(例如,滑鼠)、及信號產生裝置916(例如,揚聲器)、或其他周邊裝置。再者,計算系統900可包括圖形處理單元922、視頻處理單元928及音頻處理單元932。於另一實施例中,計算系統900可包括晶片組(未顯示),其係指稱一群積體電路、或晶片,其被設計以與處理裝置902合作並控制介於處理裝置902與外部裝置之間的通訊。例如,晶片組可為主機板上之一組晶片,其係將處理裝置902鏈結至極高速裝置,諸如主記憶體904和圖形控制器;以及將處理裝置902鏈結至周邊之較低速周邊匯流排,諸如USB、PCI或ISA匯流排。
資料儲存裝置918可包括電腦可讀取儲存媒體924,於其上儲存軟體926,其係實施文中所述之功能的一或更多方法。軟體926亦可駐存(完全地或至少部分地)於主記憶體904內(成為指令926)及/或於處理裝置902內(成為處理邏輯926),在藉由計算系統900之其執行期間;主記憶體904及處理裝置902亦構成電腦可讀取儲存媒體。
電腦可讀取儲存媒體924亦可被用以儲存其利用處理裝置902之指令926(諸如參考圖1所述者)及/或含有其呼叫上述應用程式之方法的軟體庫。雖然電腦可讀取儲存媒體924被顯示於範例實施例中為單一媒體,術語「電腦可讀取儲存媒體」應被視為包括單一媒體或多重媒體(例 如,集中式或分散式資料庫、及/或相關快取及伺服器),其係儲存一或更多指令集。術語「電腦可讀取儲存媒體」亦應被視為包括能夠儲存、編碼或攜載供由機器所執行的指令集之任何媒體,且該媒體致使該機器履行本發明之一或更多方法。術語「電腦可讀取儲存媒體」應因此被視為包括(但不限定於)固態記憶體、及光學和磁性媒體。
下列範例係有關進一步的實施例。
範例1是一種處理裝置,包括:1)用以儲存資料之暫存器;及2)耦合至該暫存器之處理器核心,其中該處理器核心係用以:a)產生第一位元映像,包含:i)位於該第一位元映像中之第一位置上的第一位元,其中該第一位元代表記憶體裝置中之第一記憶體位置;及ii)位於該第一位元映像中之第二位置上的第二位元,其中:該第二位元代表記憶體裝置中之第二記憶體位置,及該第一位元映像之該第一位置和該第二位置為依序順序;b)判定其該第二位元之值等於該第一位元映像中的該第一位元之值;c)產生第二位元映像,其包含代表該第一位元映像中之該第一位元的位置之第三位元;d)判定其該第二位元為具有如該第一位元之相同值的該第一位元映像中之最後位元;e)產生包含第四位元之第三位元映像,該第四位元係指示其該第二位元為具有如該第一位元之相同值的該第一位元映像中之該最後位元;及f)將與該第二位元關聯的資料寫入至該第二記憶體位置。
於範例2中,範例1之處理裝置,其中該第一位元映像包含位於該第一位元映像中之第三位置上的第五位元,其中該第五位元代表第三記憶體位置。
於範例3中,範例2之處理裝置,其中該處理器核心係進一步用以:a)判定其該第五位元之值不等於該第二位元之值;及b)將與該第五位元關聯的資料寫入至該第二記憶體位置。
於範例4中,範例2之處理裝置,其中該處理器核心係進一步用以:a)判定其該第五位元之值等於該第二位元之該值;b)產生第二位元映像,其包含代表該第一位元映像中之該第一位元和該第五位元的位置之該第三位元;c)判定其該第二位元為具有如該第一位元和該第五位元之相同值的該第一位元映像中之該最後位元;及d)產生包含該第四位元之第三位元映像,該第四位元係指示其該第二位元為具有如該第一位元和該第五位元之相同值的該第一位元映像中之該最後位元。
於範例5中,範例1之處理裝置,其中該第一位元映像包括:i)位於該第一位元映像中之第三位置上的第五位元,其中該第五位元代表第三記憶體位置;及ii)位於第一位元映像中之第四位置上的第六位元,其中該第六位元代表第四記憶體位置。
於範例6中,範例5之處理裝置,其中該處理器核心係進一步用以:a)判定其該第五位元之值等於該第一位元映像中的該第六位元之值;b)產生第二位元映像,其 包含代表該第一位元映像中之該第六位元的位置之第七位元;c)判定其該第六位元為具有如該第五位元之相同值的該第一位元映像中之最後位元;d)產生包含第八位元之該第三位元映像,該第八位元係指示其該第六位元為具有如該第五位元之相同值的該第一位元映像中之該最後位元;及e)將與該第六位元關聯的資料寫入至該第四記憶體位置。
於範例7中,範例1之處理裝置,其中該第一位元映像包括:i)位於該第一位元映像中之第三位置上的第五位元,其中該第五位元代表第三記憶體位置;ii)位於該第一位元映像中之第四位置上的第六位元,其中該第六位元代表第四記憶體位置;及iii)位於該第一位元映像中之第五位置上的第七位元,其中該第七位元代表第五記憶體位置。
於範例8中,範例7之處理裝置,其中該處理器核心係進一步用以:a)判定其該第五位元之值等於該第一位元映像中的該第六位元之值;b)判定其該第七位元之值不等於該第一位元映像中的該些位元之任一者;c)產生該第二位元映像,其包含代表該第一位元映像中之該第六位元的位置之第八位元;d)判定其該第六位元為具有如該第六位元之相同值的該第一位元映像中之最後位元;e)產生該第三位元映像,其包含:i)第八位元,指示其該第六位元為具有如該第五位元之相同值的該第一位元映像中之該最後位元;及ii)第九位元,指示其該第一位元 映像中無其他位元等於第七位元;f)將與該第六位元關聯的資料寫入至該第四記憶體位置;以及g)將與該第七位元關聯的資料寫入至該第五記憶體位置。
於範例9中,範例7之處理裝置,其中該處理器核心係進一步用以:a)判定其該第五位元之值等於該第一位元映像中的該第七位元之值;b)判定其該第六位元之值等於該第一位元映像中的該第七位元之該值;c)產生該第二位元映像,其包含代表該第一位元映像中之該第五位元和該第六位元的位置之第八位元;d)判定其該第七位元為具有如該第五位元和該第六位元之相同值的該第一位元映像中之該最後位元;e)產生該第三位元映像,其包含:i)第九位元,指示其該第七位元為具有如該第五位元之相同值的該第一位元映像中之該最後位元;及ii)將與該第七位元關聯的資料寫入至該第五記憶體位置。
於範例10中,範例1之處理裝置,其中該第一位元映像中之各位元具有其為依序順序之位置。
各個實施例可具有以上所述之結構性特徵的不同組合。例如,以上所述之該些處理器及方法的所有選擇性特徵亦可針對文中所述之系統而被實施,且該些範例中之特點可被使用於一或更多實施例的任何地方。
範例11是一種處理裝置,包括:1)處理器核心;及2)耦合至該處理器核心之記憶體裝置,其中該處理器核心係用以:a)產生包含複數位元之第一位元映像,其中該些複數位元包含其代表第一記憶體位置之第一位元,及 b)判定其該第一位元之值等於該第一位元映像中的第二位元之值;c)判定該第二位元之該位置相關於該第一位元映像中之該第一位元;及d)產生包含第三位元之第二位元映像,該第三位元係指示其該第一位元為具有如該第二位元之相同值的該第一位元映像中之最後位元。
於範例12中,範例11之處理裝置,其中該處理器係進一步用以將與該第一位元關聯的資料寫入至該第一記憶體位置。
於範例13中,範例11之處理裝置,其中該第一位元映像包含位於該第一位元映像中之第三位置上的第五位元,其中該第五位元代表第三記憶體位置。
於範例14中,範例13之處理裝置,其中該處理器核心係進一步用以:a)判定其該第五位元之值不等於該第一位元或該第二位元之值;b)將與該第一位元關聯的資料寫入至該第一記憶體位置;及c)將與該第五位元關聯的資料寫入至該第三記憶體位置。
於範例15中,範例13之處理裝置,其中i)該些複數位元之各位元具有該第一位元映像中之位置,及ii)各位元之該些位置為依序順序。
各個實施例可具有以上所述之結構性特徵的不同組合。例如,以上所述之該些處理器及方法的所有選擇性特徵亦可針對文中所述之系統而被實施,且該些範例中之特點可被使用於一或更多實施例的任何地方。
範例16為一種方法,包括:1)產生包含複數位元之 第一位元映像,該些複數位元包含位於該第一位元映像中之第一位置上的第一位元,其中該第一位元代表第一記憶體位置;2)判定其該第一位元之值等於在該第一位置前之該第一位元映像中的第二位元之值;及3)產生包含第三位元之第二位元映像,該第三位元係指示其該第一位元為具有如該第二位元之相同值的該第一位元映像中之最後位元。
於範例17中,範例16之方法,進一步包括將與該第一位元關聯的資料寫入至該第一記憶體位置。
於範例18中,範例16之方法,進一步包括產生包含第四位元之第三位元映像,該第四位元係代表相關於該第一位元映像中之該第一位元的該第二位元之該位置。
於範例19中,範例16之方法,其中i)該些複數位元之各位元具有該第一位元映像中之位置,及ii)各位元之該些位置為依序順序。
於範例20中,範例16之方法,其中該判定其該第一位元之值等於第二位元之值進一步包括比較該第一位元之該值與該第二位元之該值。
雖然已針對有限數目的實施例來描述本發明,但那些熟悉此技藝人士將理解從這些實施例而來的各種修改及變異。後附申請專利範圍應涵蓋所有此等修改及變異而落入本發明之真實精神和範圍內。
於文中之描述中,提出了數個特定細節,諸如以下範例:特定類型的處理器和系統組態、特定硬體結構、特定 架構和微架構細節、特定暫存器組態、特定指令類型、特定形態組件、特定測量/高度、特定處理器管線級和操作,等等,以提供對本發明之透徹瞭解。然而,熟悉本技術人士將清楚瞭解:這些特定細節無須被利用來實行本發明。於其他例子中,眾所周知的組件或方法,諸如特定和替代處理器架構、針對上述演算法之特定邏輯電路/碼、特定韌體碼、特定互連操作、特定邏輯組態、特定製造技術和材料、特定編譯器實施方式、碼之演算法的特定表示、特定關機和閘通技術/邏輯以及電腦系統之其他特定操作細節尚未被詳細地描述,以免非必要地混淆本發明。
實施例係參考實施特定積體電路中(諸如計算平台或微處理器中)之介於SNC間的動態快取雜湊改變而被描述。實施例亦可應用於其他類型的積體電路及可編程邏輯裝置。例如,所揭露的實施例不限於桌上型電腦系統或可攜式電腦,諸如Intel® UltrabooksTM電腦。且亦可被使用於其他裝置中,諸如手持式裝置、平板電腦、其他薄型筆記型電腦、系統單晶片(SoC)裝置、及嵌入式應用。手持式裝置之一些範例包括行動電話、網際網路協定裝置、數位相機、個人數位助理(PDA)、及手持式PC。嵌入式應用通常包括微控制器、數位信號處理器(DSP)、系統單晶片、網路電腦(NetPC)、機上盒、網路集線器、廣域網路(WAN)開關、或者其可履行以下所教導之功能及操作的任何其他系統。已描述其系統可為任何種類的電腦或嵌入式系統。所揭露的實施例可特別地用於低端裝 置,如穿戴式裝置(例如,手錶)、電子植入物、感應和控制設施裝置、控制器、監督控制和資料獲取(SCADA)系統,等等。此外,文中所述之設備、方法、及系統不限於實體計算裝置,但亦可有關用於能量保存和效率的軟體最佳化。如於以下描述中將輕易地變得清楚明白者,文中所述之方法、設備、及系統的實施例(無論針對硬體、韌體、軟體、或其組合)對於與性能考量平衡之「綠色科技」之未來是極重要的。
雖然文中實施例係參考處理器而描述,但其他實施例可應用於其他類型的積體電路及邏輯裝置。本發明之實施例的類似技術及教導可被應用於其他類型的電路或半導體裝置,其可受益自較高的管線通量及增進的性能。本發明之實施例的教導可應用於其履行資料調處之任何處理器或機器。然而,本發明不限於其履行512位元、256位元、128位元、64位元、32位元、或16位元資料操作的處理器或機器,其中係履行資料之調處或管理。此外,文中之描述提供範例,且附圖顯示各種範例,以供闡明之目的。然而,這些範例不應被理解在限制性意義,因為其僅欲提供本發明之實施例的範例而非欲提供本發明之實施例之所有可能實施方式的窮舉列表。
雖然以下範例係描述指令處置及分佈於執行單元及邏輯電路之背景,但本發明之其他實施例可藉由機器可讀取、有形媒體上所儲存之資料或指令(其當由機器所履行時係造成機器履行與本發明之至少一實施例相符的功能) 來完成。於一實施例中,與本發明之實施例相關的功能被實施於機器可執行指令。該些指令可被用以致使通用或特殊用途處理器(其被編程以該些指令)履行本發明之步驟。本發明之實施例可被提供為電腦程式產品(或軟體),其可包括其上儲存有指令之機器或電腦可讀取媒體,其可被用以編程電腦(或其他電子裝置)來履行依據本發明之實施例的一或更多操作。替代地,本發明之實施例的操作可由含有固定功能邏輯以履行該些操作之特定硬體組件所履行,或者可由已編程的電腦組件及固定功能硬體組件之任何組合所履行。
用於編程邏輯以履行本發明之實施例的指令可被儲存於系統中之記憶體內,諸如DRAM、快取、快閃記憶體、或其他儲存。再者,該些指令可經由網路或藉由其他電腦可讀取媒體而被分佈。因此機器可讀取媒體可包括用以依可由機器(例如,電腦)所讀取之形式儲存或傳輸資訊的任何機制,但不限定於軟碟、光碟、CD、唯讀記憶體(CD-ROM)、及磁光碟、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、可抹除可編程唯讀記憶體(EPROM)、電可抹除可編程唯讀記憶體(EEPROM)、磁或光學卡、快閃記憶體、或有形、機器可讀取儲存,用於透過經電、光、聲或其他形式的傳播信號(例如,載波、紅外線信號、數位信號,等等)之網際網路的資訊之傳輸。因此,電腦可讀取媒體包括適於以可由機器(例如,電腦)所讀取之形式儲存或傳輸電子指令或資訊的任 何類型的有形機器可讀取媒體。
設計可經歷各個階段,從創造至模擬至生產。表示設計之資料可以數種方式來表示設計。首先,如可用於模擬,硬體可使用硬體描述語言或另一功能性描述語言來表示。此外,具有邏輯及/或電晶體閘之電路等級模型可於設計程序之某些階段被產生。再者,大部分設計(於某階段)達到表示硬體模型中之各個裝置的實體布局之資料的等級。於其中使用傳統半導體製造技術之情況下,表示硬體模型之資料可為指明針對用以產生積體電路之遮罩的不同遮罩層上之各個特徵的存在或缺乏之資料。於設計之任何表示中,資料可被儲存以機器可讀取媒體之任何形式。記憶體或者磁性或光學儲存(諸如碟片)可為用以儲存資訊之機器可讀取媒體,該資訊係經由光或電波(其被調變或者產生以傳輸此資訊)而被傳輸。當電載波(其係指示或攜載碼或設計)被傳輸時,至其電信號之複製、緩衝、或再傳輸被履行之程度,則新的副本被產生。因此,通訊提供者或網路提供者可於有形的、機器可讀取媒體上(至少暫時地)儲存一物件,諸如編碼入載波之資訊,實現本發明之實施例的技術。
如文中所使用之模組係指稱硬體、軟體、及/或韌體之任何組合。當作範例,模組包括硬體,諸如微控制器,其係與非暫態媒體相關以儲存適於由微控制器所執行的碼。因此,模組之參考(於一實施例中)係指稱硬體,其被明確地組態成辨識及/或執行該碼以供被保持於非暫態 媒體上。再者,於另一實施例中,模組之使用係指稱包括該碼之非暫態媒體,其係明確地適於由微控制器所執行以履行預定的操作。而如可被推斷者,於又另一實施例中,術語模組(於此範例中)可指稱微控制器與非暫態媒體之組合。其被顯示為分離之模組邊界經常共同地改變且潛在地重疊。例如,第一和第二模組可共用硬體、軟體、韌體、或其組合,而潛在地留存某些獨立的硬體、軟體、或韌體。於一實施例中,術語邏輯之使用包括硬體,諸如電晶體、暫存器、或其他硬體,諸如可編程裝置。
用語「組態成」之使用(於一實施例中)係指稱配置、結合、製造、提供銷售、進口及/或設計設備、硬體、邏輯、或元件以履行指定的或決定的工作。於此範例中,非操作中之設備或其元件仍「組態成」履行指定的工作,假如其被設計、耦合、及/或互連以履行該指定的工作。當作純粹說明性範例,邏輯閘可提供0或1於操作期間。但邏輯閘「組態成」提供致能信號給時鐘,其不包括其可提供1或0之每一潛在邏輯閘。取代地,邏輯閘係以某方式耦合以致其於操作期間1或0輸出係用以致能時鐘。再次注意:術語「組態成」之使用不要求操作,但取代地聚焦於設備、硬體、及/或元件之潛時狀態,其為當設備、硬體、及/或元件正操作時該設備、硬體、及/或元件所被設計以履行特定工作之潛時狀態。
再者,用語「用以」、「得以/用以」、及/或「可操作以」(於一實施例中)係指稱某設備、邏輯、硬體、及 /或元件,其被設計以致能用指定方式之設備、邏輯、硬體、及/或元件的使用。注意:如上所述,用以、得以、或可操作以(於一實施例中)係指稱設備、邏輯、硬體、及/或元件之潛時狀態,其中該設備、邏輯、硬體、及/或元件並未操作而被設計以致能用指定方式之設備的使用。
一值(如文中所使用者)包括數字、狀態、邏輯狀態、或二元邏輯狀態之任何已知表示。經常,邏輯位準、邏輯值、或邏輯上的值之使用亦被稱為1和0,其僅代表二元邏輯狀態。例如,1係指稱高邏輯位準而0係指稱低邏輯位準。於一實施例中,儲存單元(諸如電晶體或快取單元)得以保留單一邏輯值或多數邏輯值。然而,電腦系統中之值的其他表示已被使用。例如,十進位數「十」亦可被表示為1010之值及十六進位字母A。因此,一值包括能夠被保留於電腦系統中之資訊的任何表示。
此外,狀態可由值或值之部分所表示。當作範例,第一值(諸如邏輯一)可表示預設或初始狀態,而第二值(諸如邏輯零)可表示非預設狀態。此外,術語重設及設定(於一實施例中)係指稱預設值以及更新值或狀態,個別地。例如,預設值潛在地包括高邏輯值(亦即,重設),而更新值潛在地包括低邏輯值(亦即,設定)。注意:值之任何組合可被利用以表示任何數目的狀態。
以上所提出之方法、硬體、軟體、韌體或碼之實施例可經由指令或碼而被實施,該些指令或碼被儲存於其可由處理元件所執行之機器可存取、機器可讀取、電腦可存 取、或電腦可讀取媒體上。非暫態機器可存取/可讀取媒體包括任何機制,其係提供(亦即,儲存及/或傳輸)資訊以其可由機器(諸如電腦或電子系統)所讀取的形式。例如,非暫態機器可存取媒體包括隨機存取記憶體(RAM),諸如靜態RAM(SRAM)或動態RAM(DRAM);ROM;磁性或光學儲存媒體;快閃記憶體裝置;電儲存裝置;光學儲存裝置;音響儲存裝置;用以保持從暫時(傳播)信號(例如,載波、紅外線信號、數位信號)所接收之資訊的其他形式儲存裝置;等等,其係用以被區分自非暫態媒體(其可從該處接收資訊)。
用於程式邏輯以履行本發明之實施例的指令可被儲存於系統中之記憶體內,諸如DRAM、快取、快閃記憶體、或其他儲存。再者,該些指令可經由網路或藉由其他電腦可讀取媒體而被分佈。因此機器可讀取媒體可包括用以依可由機器(例如,電腦)所讀取之形式儲存或傳輸資訊的任何機制,但不限定於軟碟、光碟、CD、唯讀記憶體(CD-ROM)、及磁光碟、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、可抹除可編程唯讀記憶體(EPROM)、電可抹除可編程唯讀記憶體(EEPROM)、磁或光學卡、快閃記憶體、或有形、機器可讀取儲存,用於透過經電、光、聲或其他形式的傳播信號(例如,載波、紅外線信號、數位信號,等等)之網際網路的資訊之傳輸。因此,電腦可讀取媒體包括適於以可由機器(例如,電腦)所讀取之形式儲存或傳輸電子指令或資訊的任 何類型的有形機器可讀取媒體。
遍及本說明書內針對「一個實施例」或「一實施例」之參考係表示關於該實施例所描述之特定特徵、結構、或特性被包括於本發明內之至少一實施例中。因此,遍及本說明書於各處中之用語「於一個實施例中」或「於一實施例中」的出現不一定均指稱相同實施例。再者,特定特徵、結構、或特性可被結合以任何適當的方式於一或更多實施例中。
於前述說明書中,已參考其特定範例實施例而提供詳細描述。然而,將清楚明白的是:可對其進行各種修改而不背離如後附申請專利範圍中所提出之本發明的較寬廣範圍及精神。說明書及圖式因此將被視為說明性意義而非限制性意義。再者,實施例及其他範例語言之前述使用不一定指稱相同的實施例或相同的範例,而可指稱不同的或有別的實施例、以及潛在地相同的實施例。
詳細描述之某些部分係以電腦記憶體內演算法及對資料位元之操作的符號表示之方式來呈現。這些演算法描述及表示為那些熟悉資料處理技藝人士所使用的方式,以將其工作之本質傳最有效地遞給熟悉此技藝之其他人士。演算法於此(及一般地)被設想為導致所欲的結果之操作的自我符合序列。這些操作為需要物理量之物理調處的那些操作。通常,雖非必要,這些量具有電或磁信號之形式,其能夠被儲存、轉移、結合、比較、或者調處。原則上為了共同使用之目的,已證實有時候可便利地將這些信號稱 為位元、值、元件、符號、字母、術語、數字,等等。文中所述之區塊可為硬體、軟體、韌體或其組合。
然而,應瞭解:所有這些及類似用語係與適當的物理量關聯並僅為應用於這些量之便利標示。除非特別陳述,否則如從上述討論能清楚明白:應理解其遍及說明書,利用諸如「定義」、「接收」、「判定」、「發送」、「鏈結」、「關聯」、「獲得」、「鑑別」、「禁止」、「執行」、「請求」、「通訊」等等術語的討論指的是電腦系統(或類似的電子計算裝置)之動作及程序,其係將電腦系統之暫存器及記憶體內表示為物理(例如,電子)量之資料調處並轉變為計算系統記憶體或暫存器或其他此類資訊儲存、傳輸、或顯示裝置內類似的表示為物理量的其他資料。
文字「範例」或「範例性」於文中被用以表示作用為範例、例子或闡明。文中所描述為「範例」或「範例性」之任何形態或設計不一定被解讀為超越其他形態或設計之較佳的或有利的。反之,文字「範例」或「範例性」意欲以具體方式呈現觀念。如本申請案中所使用者,術語「或」係意欲表示包括的「或」而非排他的「或」。亦即,除非另有指明(或從背景可清楚明白),「X包括A或B」係意欲表示任何自然包括的排列。亦即,假如X包括A;X包括B;或X包括A與B兩者,則「X包括A或B」被滿足於前述例子之任一者下。此外,如本申請案及後附申請專利範圍中所使用之冠詞應一般地被理解為表 示「一或更多」,除非另有指明或者從背景清楚得知係有關單一形式。此外,術語「一實施例」或「一個實施例」或「一實施方式」或「一個實施方式」之使用(遍及全文)並非意欲表示相同的實施例或實施方式,除非已如此描述。同時,術語「第一」、「第二」、「第三」、「第四」等等(如文中所使用者)被表示為用以於不同元件之間區分的標示,且可不一定具有依據其數字指定之順序意義。
100‧‧‧記憶體裝置
102‧‧‧處理器
103‧‧‧暫存器
104‧‧‧SIMD暫存器
105‧‧‧快取
106‧‧‧記憶體介面

Claims (20)

  1. 一種處理裝置,包含:來源暫存器,用以儲存具有識別記憶體裝置中之記憶體位置之各元件的第一向量,其中該第一向量包含位於該第一向量中之第一位置的第一元件,該第一元件儲存識別該記憶體裝置中第一記憶體位置之第一值,及位於該第一向量中之後續位置之第二元件,該第二元件儲存識別該記憶體裝置中第二記憶體位置之第二值;用以儲存第二向量之目的地暫存器;耦合至該來源暫存器與該目的地暫存器之處理器核心,其中該處理器核心係用以履行回應於單指令多資料(SIMD)指令以產生用於散佈操作之遮罩,包含:比較該第一向量中之各元件與該第一向量中之所有先前元件,其中該第二元件之該第一值等於該第一向量中的該第一元件之該第二值;於該目的地暫存器中儲存第二向量,該第二向量具有含有對應於該第一向量中之目前元件與該第一向量中之該些先前元件之比較的位元範圍的各元件,其中,該位元範圍中的各位元被設定在當該對應比較結果導致衝突時;使用該第二向量識別該第二元件為具有與該第一元件相同值的該第一向量中之最後元件;產生具有識別遮罩位元的各元件之第三向量,其中該遮罩位元被設定在當1)該第一向量中之該對應元件不與 該第一向量中之其它元件衝突時或2)該對應元件與該第一向量中之一或更多其它元件衝突且為該第一向量之連續順序中與該一或更多其它元件衝突的最後元件時,其中該第三向量中各元件之位置映射至該第一向量中之該對應元件的相同位置;及將作為用於該散佈操作之該遮罩的該第三向量儲存以避免巷道衝突。
  2. 如申請專利範圍第1項之處理裝置,其中該第二元件位於該第一向量中之第二位置,其中該第一向量包含位於該第一向量中之第三位置上的第三元件,其中該第三元件儲存識別該記憶體裝置中第三記憶體位置的第三值,其中該第三值不等於該第二元件的該第二值,且其中該第三向量包含對應至未被設定之該第一元件的第一遮罩位元、對應至被設定之該第二元件的第二遮罩、及對應至被設定之該第三元件的第三遮罩。
  3. 如申請專利範圍第1項之處理裝置,其中該第二元件位於該第一向量中之第二位置,其中該第一向量包含位於該第一向量中之第三位置的第三元件,其中該第三元件儲存識別該記憶體裝置中第三記憶體位置之第三值,其中該第三值等於該第二元件的該第二值,且其中該第三向量包含對應至未被設定之該第一元件的第一遮罩位元、對應至未被設定之該第二元件的第二遮罩、及對應至被設定之該第三元件的第三遮罩。
  4. 如申請專利範圍第1項之處理裝置,其中該第一向 量包含:位於該第一向量中之第三位置上的第三元件,其中該第三元件代表第三記憶體位置;及位於該第一向量中之第四位置上的第四元件,其中該第四元件代表第四記憶體位置。
  5. 如申請專利範圍第4項之處理裝置,其中該處理器核心係進一步用以:判定其該第三元件之值等於該第一向量中的該第四元件之值;判定其該第四元件為具有如該第三元件之相同值的該第一向量中之最後位元,其中該第三向量包含對應至未被設定之該第三元件的第三遮罩,及對應至被設定之該第四元件的第四遮罩。
  6. 如申請專利範圍第1項之處理裝置,其中該第一向量包含:位於該第一向量中之第三位置上的第三元件,其中該第三元件代表第三記憶體位置;位於該第一向量中之第四位置上的第四元件,其中該第四元件代表第四記憶體位置;及位於該第一向量中之第五位置上的第五元件,其中該第五元件代表第五記憶體位置。
  7. 如申請專利範圍第6項之處理裝置,其中該處理器核心係進一步用以:判定其該第三元件之值等於該第一向量中的該第四元 件之值;判定其該第五元件之該值不等於該第一向量中的該些元件之任一者的值;判定其該第四元件為具有如該第三元件之相同值的該第一向量中之最後位元,其中該第三向量包含對應至未被設定之該第三元件的第三遮罩、對應至被設定之該第四元件的第四遮罩、及對應至被設定之該第五元件的第五遮罩。
  8. 如申請專利範圍第6項之處理裝置,其中該處理器核心係進一步用以:判定其該第三元件之值等於該第一向量中的該第五元件之值;判定其該第四元件之值等於該第一向量中的該第五元件之該值;判定其該第五元件為具有如該第三元件和該第四元件之相同值的該第一向量中之該最後位元,其中該第三向量包含對應至未被設定之該第三元件的第三遮罩、對應至未被設定之該第四元件的第四遮罩、及對應至被設定之該第五元件的第五遮罩。
  9. 如申請專利範圍第1項之處理裝置,其中該第一向量中之各元件具有其為依序順序之位置。
  10. 一種處理裝置,包含:用以儲存資料之暫存器;以及耦合至該暫存器之處理器核心,其中該處理器核心係 用以:產生第一位元映像,包含:位於該第一位元映像中之第一位置上的第一位元,其中該第一位元代表記憶體裝置中之第一記憶體位置;及位於該第一位元映像中之第二位置上的第二位元,其中:該第二位元代表該記憶體裝置中之第二記憶體位置,及該第一位元映像之該第一位置和該第二位置為依序順序;判定其該第二位元之值等於該第一位元映像中的該第一位元之值;產生第二位元映像,其包含代表該第一位元映像中之該第一位元的該位置之第三位元;判定其該第二位元為具有如該第一位元之相同值的該第一位元映像中之最後位元;產生包含第四位元之第三位元映像,該第四位元係指示其該第二位元為具有如該第一位元之相同值的該第一位元映像中之該最後位元;及將與該第二位元關聯的資料寫入至該第二記憶體位置,其中該第一位元映像包含位於該第一位元映像中的第三位置之第五位元,其中該第五位元代表該記憶體裝置中的第三記憶體位置; 判定其該第五位元之該值不等於該第二位元之值;及將與該第五位元關聯的資料寫入至該第二記憶體位置。
  11. 一種處理裝置,包含:處理器;及耦合至該處理器之記憶體裝置,其中該處理器係用以:儲存包含複數單元之第一位元映像,其中該些複數單元包含其代表第一記憶體位置之第一單元、代表第二記憶體位置之第二單元、及代表第三記憶體位置之第三單元;判定其該第一單元之值等於該第一位元映像中的該第二單元之值;判定其該第三單元之值不等於該些複數單元中的任何其它單元之值;判定該第一單元為該些複數單元依序順序中具有如該第二單元之相同值的最後單元;及產生第二位元映像,包含:第一單元,係指示其該第一單元為具有如該第二單元之相同值的該第一位元映像中之最後單元,及第三單元,係指示其該第三單元之該值不等於該些複數單元中任何其它單元之該值;以及產生包含單元之第三位元映像,該第三位元映像中的各單元識別遮罩位元,其中該遮罩位元被設定在當1)該 第一位元映像中的該對應單元不與該第一位元映像中的其它元件衝突時或2)該對應單元與該第一位元映像中之一或更多其它單元衝突且為該第一位元映像之連續順序中與該一或更多其它單元衝突的最後單元時,其中該第三位元映像中各單元之位置映射至該第一位元映像中之該對應單元的相同位置;以及將作為用於散佈操作之遮罩的該第三位元映像儲存以避免巷道衝突。
  12. 如申請專利範圍第11項之處理裝置,其中該處理器係進一步用以將與該第一單元關聯的資料寫入至該第一記憶體位置。
  13. 如申請專利範圍第11項之處理裝置,其中該第一位元映像包含位於該第一位元映像中之第四位置上的第四單元,其中該第四單元代表第四記憶體位置。
  14. 如申請專利範圍第13項之處理裝置,其中該處理器核心係進一步用以:判定其該第四單元之值不等於該第一單元之該值或該第二單元之該值,其中該第二位元映像包含第四單元,係指示該第四單元之該值不等於該些複數單元之其它單元的任一者之該值;將與該第一單元關聯的資料寫入至該第一記憶體位置;及將與該第三單元關聯的資料寫入至該第三記憶體位置。
  15. 如申請專利範圍第13項之處理裝置,其中:該些複數單元之各單元具有該第一位元映像中之位置,及各單元之該些位置為依序順序。
  16. 一種處理方法,包含:儲存包含複數單元之第一位元映像,該些複數單元包含位於該第一位元映像中之第一位置上的第一單元,其中該第一單元代表第一記憶體位置,第二單元代表第二記憶體位置,且第三單元代表第三記憶體位置;判定其該第一單元之值等於在該第一位置前之該第一位元映像中的第二單元之值;判定其該第三單元之值不等於該些複數單元中任何其它單元之值;產生第二位元映像,包含:第一單元,係指示其該第一單元為具有如該第二單元之相同值的該第一位元映像中之最後單元,及第三單元,係指示其該第三單元之該值不等於該些複數單元中任何其它單元之該值;以及產生包含單元之第三位元映像,該第三位元映像中的各單元識別遮罩位元,其中該遮罩位元被設定在當1)該第一位元映像中的該對應單元不與該第一位元映像中的其它元件衝突時或2)該對應單元與該第一位元映像中之一或更多其它單元衝突且為該第一位元映像之連續順序中與該一或更多其它單元衝突的最後單元時,其中該第三位元 映像中各單元之位置映射至該第一位元映像中之該對應單元的相同位置;以及將作為用於散佈操作之遮罩的該第三位元映像儲存以避免巷道衝突。
  17. 如申請專利範圍第16項之方法,進一步包含將與該第一單元關聯的資料寫入至該第一記憶體位置。
  18. 如申請專利範圍第16項之方法,進一步包含產生包含一單元之第三位元映像,該單元係代表相關於該第一位元映像中之該第一單元的該第二單元之該位置。
  19. 如申請專利範圍第16項之方法,其中:該些複數單元之各單元具有該第一位元映像中之位置,及各單元之該些位置為依序順序。
  20. 如申請專利範圍第16項之方法,其中該判定其該第一單元之該值等於該第二單元之該值進一步包含比較該第一單元之該值與該第二單元之該值。
TW105138473A 2015-12-24 2016-11-23 衝突罩生成 TWI751990B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
WOPCT/CN2015/098655 2015-12-24
PCT/CN2015/098655 WO2017107125A1 (en) 2015-12-24 2015-12-24 Conflict mask generation
US201615301224A 2016-09-30 2016-09-30
US15/301,224 2016-09-30

Publications (2)

Publication Number Publication Date
TW201732609A TW201732609A (zh) 2017-09-16
TWI751990B true TWI751990B (zh) 2022-01-11

Family

ID=60479808

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105138473A TWI751990B (zh) 2015-12-24 2016-11-23 衝突罩生成

Country Status (1)

Country Link
TW (1) TWI751990B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5940625A (en) * 1996-09-03 1999-08-17 Cray Research, Inc. Density dependent vector mask operation control apparatus and method
US20050114632A1 (en) * 2003-11-21 2005-05-26 Intel Corporation Method and apparatus for data speculation in an out-of-order processor
TW201202930A (en) * 2010-04-30 2012-01-16 Microsoft Corp Memory usage scanning
US20140189307A1 (en) * 2012-12-29 2014-07-03 Robert Valentine Methods, apparatus, instructions, and logic to provide vector address conflict resolution with vector population count functionality

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5940625A (en) * 1996-09-03 1999-08-17 Cray Research, Inc. Density dependent vector mask operation control apparatus and method
US20050114632A1 (en) * 2003-11-21 2005-05-26 Intel Corporation Method and apparatus for data speculation in an out-of-order processor
TW201202930A (en) * 2010-04-30 2012-01-16 Microsoft Corp Memory usage scanning
US20140189307A1 (en) * 2012-12-29 2014-07-03 Robert Valentine Methods, apparatus, instructions, and logic to provide vector address conflict resolution with vector population count functionality

Also Published As

Publication number Publication date
TW201732609A (zh) 2017-09-16

Similar Documents

Publication Publication Date Title
CN108475193B (zh) 用于字节排序指令和用于四位组排序的处理器、方法和系统
US10635447B2 (en) Scatter reduction instruction
CN108475199B (zh) 用于执行密钥值查找指令的处理设备
US10216516B2 (en) Fused adjacent memory stores
US20170177543A1 (en) Aggregate scatter instructions
US10019262B2 (en) Vector store/load instructions for array of structures
US10691454B2 (en) Conflict mask generation
US10261904B2 (en) Memory sequencing with coherent and non-coherent sub-systems
TW201734766A (zh) 使用處理器指令前綴的二進制轉譯支援
TWI733714B (zh) 用以執行共軛置換指令的處理裝置
US10318427B2 (en) Resolving memory accesses crossing cache line boundaries
US9875187B2 (en) Interruption of a page miss handler
TWI751990B (zh) 衝突罩生成
TWI724066B (zh) 分散縮減指令