TWI748173B - Semiconductor structure and method for semiconductor processing - Google Patents
Semiconductor structure and method for semiconductor processing Download PDFInfo
- Publication number
- TWI748173B TWI748173B TW108110570A TW108110570A TWI748173B TW I748173 B TWI748173 B TW I748173B TW 108110570 A TW108110570 A TW 108110570A TW 108110570 A TW108110570 A TW 108110570A TW I748173 B TWI748173 B TW I748173B
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric layer
- conductive
- layer
- dielectric
- semiconductor structure
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 156
- 239000004065 semiconductor Substances 0.000 title claims description 43
- 239000000758 substrate Substances 0.000 claims abstract description 40
- 230000007423 decrease Effects 0.000 claims abstract description 6
- 230000008569 process Effects 0.000 claims description 120
- 239000000463 material Substances 0.000 claims description 105
- 239000004744 fabric Substances 0.000 claims description 47
- 239000003989 dielectric material Substances 0.000 claims description 35
- 238000005530 etching Methods 0.000 claims description 29
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 22
- 238000000151 deposition Methods 0.000 claims description 22
- 229910052710 silicon Inorganic materials 0.000 claims description 22
- 239000010703 silicon Substances 0.000 claims description 22
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 19
- 239000004020 conductor Substances 0.000 claims description 18
- 238000004519 manufacturing process Methods 0.000 claims description 18
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 13
- 230000007935 neutral effect Effects 0.000 claims description 10
- 229910052757 nitrogen Inorganic materials 0.000 claims description 10
- 229910052732 germanium Inorganic materials 0.000 claims description 8
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 8
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 7
- 230000004888 barrier function Effects 0.000 abstract description 23
- 239000007943 implant Substances 0.000 abstract description 7
- 239000010410 layer Substances 0.000 description 211
- 239000011229 interlayer Substances 0.000 description 84
- 238000002513 implantation Methods 0.000 description 39
- 239000000126 substance Substances 0.000 description 30
- 125000006850 spacer group Chemical group 0.000 description 17
- 238000005498 polishing Methods 0.000 description 14
- -1 silicon nitride) Chemical class 0.000 description 12
- 230000006835 compression Effects 0.000 description 10
- 238000007906 compression Methods 0.000 description 10
- 238000002955 isolation Methods 0.000 description 10
- 239000002002 slurry Substances 0.000 description 10
- 238000010884 ion-beam technique Methods 0.000 description 9
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 8
- 150000001875 compounds Chemical class 0.000 description 7
- 230000005669 field effect Effects 0.000 description 7
- 239000010949 copper Substances 0.000 description 6
- 239000010931 gold Substances 0.000 description 6
- 238000000206 photolithography Methods 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 239000005368 silicate glass Substances 0.000 description 6
- 239000012790 adhesive layer Substances 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 229910017052 cobalt Inorganic materials 0.000 description 5
- 239000010941 cobalt Substances 0.000 description 5
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 5
- 239000013078 crystal Substances 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 4
- 239000005380 borophosphosilicate glass Substances 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000009713 electroplating Methods 0.000 description 4
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 4
- 229910052737 gold Inorganic materials 0.000 description 4
- 239000011810 insulating material Substances 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 239000005360 phosphosilicate glass Substances 0.000 description 4
- 238000007517 polishing process Methods 0.000 description 4
- 229910052709 silver Inorganic materials 0.000 description 4
- 239000004332 silver Substances 0.000 description 4
- 239000011800 void material Substances 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 239000002131 composite material Substances 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 239000011777 magnesium Substances 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- 150000004760 silicates Chemical class 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 2
- 229910052788 barium Inorganic materials 0.000 description 2
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 229910052746 lanthanum Inorganic materials 0.000 description 2
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 2
- 229910052749 magnesium Inorganic materials 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910052914 metal silicate Inorganic materials 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- JMANVNJQNLATNU-UHFFFAOYSA-N oxalonitrile Chemical compound N#CC#N JMANVNJQNLATNU-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 230000000149 penetrating effect Effects 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 239000002210 silicon-based material Substances 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- IHLNQRLYBMPPKZ-UHFFFAOYSA-N [P].[C].[Si] Chemical compound [P].[C].[Si] IHLNQRLYBMPPKZ-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- HIVGXUNKSAJJDN-UHFFFAOYSA-N [Si].[P] Chemical compound [Si].[P] HIVGXUNKSAJJDN-UHFFFAOYSA-N 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000005388 borosilicate glass Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000011231 conductive filler Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000002305 electric material Substances 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000003112 inhibitor Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/7684—Smoothing; Planarisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L2029/7858—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/3115—Doping the insulating layers
- H01L21/31155—Doping the insulating layers by ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
本發明實施例是關於半導體製造技術,特別是有關於半導體結構及其製造方法。The embodiments of the present invention are related to semiconductor manufacturing technology, in particular to semiconductor structures and manufacturing methods thereof.
半導體積體電路(integrated circuit, IC)產業已經歷快速成長。積體電路材料及設計之技術的進步造就積體電路世代的產生,每一世代的電路比前一世代更小且更複雜。在積體電路的發展過程中,當幾何尺寸(亦即,製程所能製作的最小元件(或線))縮小時,功能密度(亦即,單位晶片面積的內連裝置數目)普遍增加。這種微縮化製程普遍提供了增加生產效率並降低相關成本的好處。The semiconductor integrated circuit (IC) industry has experienced rapid growth. The advancement of integrated circuit materials and design technology has created generations of integrated circuits, each generation of circuits being smaller and more complex than the previous generation. In the development of integrated circuits, when the geometric size (that is, the smallest component (or line) that can be produced by the process) shrinks, the functional density (that is, the number of interconnected devices per chip area) generally increases. This miniaturization process generally provides the benefits of increasing production efficiency and reducing related costs.
伴隨著裝置的微縮化,製造商開始使用新且不同的材料及/或材料組合以促進裝置的微縮化。獨自微縮化及與新且不同的材料組合的微縮化也帶來了較大幾何尺寸的前幾世代所未呈現的挑戰。With the miniaturization of devices, manufacturers have begun to use new and different materials and/or material combinations to promote the miniaturization of devices. The miniaturization alone and the miniaturization in combination with new and different materials have also brought challenges that were not present in the previous generations with larger geometric dimensions.
本發明實施例提供一種半導體結構。此半導體結構包括位於基板之上的介電層,以及穿過上述介電層設置的導電部件。上述介電層具有靠近上述基板的下表面及遠離上述基板的頂表面。上述導電部件直接接觸上述介電層,且上述介電層包括一佈植物質。上述介電層中的佈植物質的濃度在接近上述介電層的頂表面處具有峰值濃度,且上述佈植物質的濃度在朝向上述介電層的下表面的方向上自峰值濃度減小。The embodiment of the present invention provides a semiconductor structure. The semiconductor structure includes a dielectric layer on the substrate, and conductive components disposed through the dielectric layer. The dielectric layer has a lower surface close to the substrate and a top surface away from the substrate. The conductive component directly contacts the dielectric layer, and the dielectric layer includes a cloth plant material. The concentration of the cloth material in the dielectric layer has a peak concentration near the top surface of the dielectric layer, and the concentration of the cloth material decreases from the peak concentration in the direction toward the lower surface of the dielectric layer.
本發明實施例提供一種半導體結構的製造方法。此方法包括在介電層中沉積導電部件。上述導電部件直接接觸上述介電層。此方法更包括,在沉積上述導電部件之後,佈植一佈植物質至上述介電層中,以及在佈植上述佈植物質之後,藉由第一平坦化製程來去除一部分之導電部件。The embodiment of the present invention provides a method for manufacturing a semiconductor structure. This method includes depositing conductive features in a dielectric layer. The conductive member directly contacts the dielectric layer. The method further includes, after depositing the conductive component, planting a cloth plant material into the dielectric layer, and after planting the cloth plant material, removing a part of the conductive component by a first planarization process.
本發明實施例提供另一種半導體結構的製造方法。此方法包括在基板之上沉積介電材料,其中上述基板具有導電材料、在上述介電材料中形成開口,以露出上述導電材料、在上述開口中沉積導電部件,且上述導電部件直接接觸上述導電材料、進行第一佈植製程,以在上述介電材料中佈植一佈植物質、以及在進行上述第一佈植製程之後,進行第一平坦化製程,以去除部份之上述導電部件。The embodiment of the present invention provides another method for manufacturing a semiconductor structure. The method includes depositing a dielectric material on a substrate, wherein the substrate has a conductive material, an opening is formed in the dielectric material to expose the conductive material, and a conductive component is deposited in the opening, and the conductive component directly contacts the conductive material. Material, perform a first planting process to plant a plant material in the dielectric material, and after performing the first planting process, perform a first planarization process to remove part of the conductive components.
以下的揭示內容提供許多不同的實施例或範例,以展示本揭露的不同特徵。以下將揭示本說明書各部件及其排列方式之特定範例,用以簡化本揭露敘述。當然,這些特定範例並非用於限定本揭露。例如,若是本說明書以下的發明內容敘述了將形成第一部件於第二部件之上或上方,即表示其包括了所形成之第一及第二部件是直接接觸的實施例,亦包括了尚可將附加的部件形成於上述第一及第二部件之間,則第一及第二部件為未直接接觸的實施例。此外,本揭露說明中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述配置之間的關係。The following disclosure provides many different embodiments or examples to demonstrate different features of the present disclosure. The following will disclose specific examples of the components and their arrangement in this specification to simplify the description of this disclosure. Of course, these specific examples are not used to limit this disclosure. For example, if the following invention content of this specification describes that the first part is formed on or above the second part, it means that it includes an embodiment in which the formed first and second parts are in direct contact, and also includes Additional components can be formed between the above-mentioned first and second components, and the first and second components are embodiments that are not in direct contact. In addition, the various examples in this disclosure may use repeated reference symbols and/or words. The purpose of these repeated symbols or words is for simplification and clarity, and is not used to limit the relationship between the various embodiments and/or the configurations.
再者,為了方便描述圖式中一元件或部件與另一(些)元件或部件的關係,可使用空間相對用語,例如「在…之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖式所繪示之方位外,空間相對用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如,旋轉90度或者其他方位),則其中所使用的空間相對形容詞亦將依轉向後的方位來解釋。Furthermore, in order to facilitate the description of the relationship between one element or component and another element or component(s) in the diagram, spatial relative terms can be used, such as "below", "below", "lower", "above" , "Upper" and the like. In addition to the orientation shown in the diagram, the relative terms of space also cover different orientations of the device in use or operation. When the device is turned in different directions (for example, rotated by 90 degrees or other directions), the spatially relative adjectives used therein will also be interpreted according to the turned position.
總體而言,本發明實施例提供用於在半導體裝置中形成導電部件的方法,以及其形成的導電部件。具體而言,一些實施例提供用於在層間介電質(interlayer dielectric)中形成導電插塞(plug)的方法,以與位於上述層間介電質之下的導電結構連接。上述方法包括使用導電填充材料填充穿過層間介電質的開口,而沒有使用黏著層(adhesion layer)或沒有使用阻障層(barrier layer),並且藉由佈植上述層間介電質來消除上述導電填充材料以及層間介電質之間的空隙(gap)及裂縫(crack)。上述佈植可以在上述導電填充材料及層間介電質之間創造出壓應力(compression stress),以閉合上述材料之間的空隙及裂縫,因此,防止了在後續平坦化製程期間(例如化學機械研磨(chemical mechanical polishing, CMP)製程),位於導電填充材料之下的導電結構的損失。可以在任何合適情況中使用此處之實施例以去除兩材料之間的空隙。In general, the embodiments of the present invention provide a method for forming a conductive member in a semiconductor device, and a conductive member formed by the method. Specifically, some embodiments provide a method for forming a conductive plug in an interlayer dielectric to connect with a conductive structure under the interlayer dielectric. The above method includes filling the opening through the interlayer dielectric with a conductive filling material without using an adhesion layer or a barrier layer, and removing the above by implanting the interlayer dielectric. Gaps and cracks between conductive filling materials and interlayer dielectrics. The implantation can create compression stress between the conductive filling material and the interlayer dielectric to close the gaps and cracks between the materials, thus preventing the subsequent planarization process (such as chemical mechanical Polishing (chemical mechanical polishing, CMP) process), the loss of the conductive structure under the conductive filling material. The embodiments herein can be used in any suitable situation to remove voids between two materials.
本發明實施例中描述的示例實施例係在後端(Back End of the Line, BEOL)及/或中端(Middle End of the Line, MEOL)製程中形成導電部件的背景下描述。本揭露中描述的實施例係在形成導電部件至鰭式場效電晶體(Fin Field Effect Transistor, FinFET)(例如,至鰭式場效電晶體的閘極結構)的背景下描述。其他實施例可被實施在其他背景中,例如利用不同的裝置,例如平面式場效電晶體(planar FET)、垂直全繞式閘極(vertical gate all around FETs, VGAA)場效電晶體、水平全繞式閘極(horizontal gate all around FETs, HGAA)場效電晶體、雙極性電晶體(bipolar junction transistors, BJTs)、二極體、電容器、電感器、電阻器等等。在一些實施例中,上述導電部件可以位於後端製程中的金屬化間介電質(intermetallization dielectric)中。本揭露的一些面向的實施方式可被使用於其他製程中及/或於其他裝置中。The exemplary embodiments described in the embodiments of the present invention are described in the context of forming conductive components in a Back End of the Line (BEOL) and/or Middle End of the Line (MEOL) process. The embodiments described in this disclosure are described in the context of forming a conductive component to a Fin Field Effect Transistor (Fin Field Effect Transistor, FinFET) (for example, to a gate structure of a Fin Field Effect Transistor). Other embodiments can be implemented in other contexts, such as using different devices, such as planar FETs, vertical gate all around FETs (VGAA) field effect transistors, and horizontal FETs. Horizontal gate all around FETs (HGAA) field effect transistors, bipolar junction transistors (BJTs), diodes, capacitors, inductors, resistors, etc. In some embodiments, the above-mentioned conductive component may be located in an intermetallization dielectric in the back-end process. Some aspects of the present disclosure can be used in other manufacturing processes and/or in other devices.
此處描述示例方法及結構的一些變化。本領域具有通常知識者將容易理解在其他實施例的範圍內可以做其他的修改。雖然討論的一些方法實施例以特定順序進行,各式其他方法實施例可以另一合乎邏輯的順序進行,且可包括少於或多於此處討論的步驟。在一些圖式中,其中所示的一些組件或部件的元件符號可被省略,以避免與其他組件或部件混淆;此係為了便於描繪此些圖式。Some changes to the example method and structure are described here. Those with ordinary knowledge in the art will easily understand that other modifications can be made within the scope of other embodiments. Although some of the method embodiments discussed are performed in a specific order, various other method embodiments can be performed in another logical order, and may include fewer or more steps than those discussed herein. In some drawings, the symbol of some components or parts shown therein may be omitted to avoid confusion with other components or parts; this is for the convenience of depicting these drawings.
第1圖係根據一些實施例,繪示出在用於形成導電部件的示例方法期間之一階段下的中間結構的三維視圖。第2至9圖係根據一些實施例,繪示出在用於形成導電部件的示例方法期間之相應階段下的相應中間結構的剖面示意圖。Figure 1 is a three-dimensional view of an intermediate structure at a stage during an example method for forming a conductive component, according to some embodiments. FIGS. 2-9 are schematic cross-sectional diagrams illustrating corresponding intermediate structures at corresponding stages during an exemplary method for forming a conductive member according to some embodiments.
如下所述,在鰭式場效電晶體的實施方式中使用第1圖的中間結構。可在其他示例實施例中實施其他結構。上述中間結構包括形成在半導體基板42上的第一及第二鰭片46,在相鄰鰭片46之間的半導體基板42上具有相應的隔離區44。上述半導體基板42可為或包括塊狀(bulk)半導體基板、絕緣體上覆半導體(semiconductor-on-insulator, SOI)基板、或類似基板,其可為摻雜(例如,使用p-型或n-型摻質(dopant))或未摻雜的。在一些實施例中,上述半導體基板42之半導體材料可包括例如矽(silicon, Si)或鍺(germanium, Ge)的元素半導體;化合物(compound)半導體;合金半導體;或上述之組合。As described below, the intermediate structure of FIG. 1 is used in the embodiment of the fin-type field effect transistor. Other structures can be implemented in other example embodiments. The aforementioned intermediate structure includes first and
在上述半導體基板42上形成上述鰭片46,例如藉由在半導體基板42中蝕刻溝槽以形成鰭片46。可藉由任何合適方法在上述半導體基板42中圖案化上述鰭片46。舉例來說,可使用一或多道光微影製程來圖案化上述鰭片46,包括雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般來說,雙重圖案化或多重圖案化製程結合了光微影及自對準(self-aligned)製程,相較於例如使用單一、直接光微影製程所獲得的節距,這樣可以創造出具有更小的節距的圖案。舉例來說,在一些實施例中,形成犧牲層於基板之上,且使用光微影製程圖案化此犧牲層。使用自對準製程沿著圖案化的犧牲層形成間隔物。接著去除此犧牲層,且可使用餘下的間隔物來圖案化鰭片46。The
形成隔離區44,每個隔離區44位於對應的溝槽中。上述隔離區44可包括或為絕緣材料,例如氧化物(例如氧化矽)、氮化物(nitride)、類似材料、或上述之組合,且可以使用適當沉積製程來沉積上述絕緣材料。可以在沉積之後凹蝕(recess)上述絕緣材料,以形成上述隔離區44。凹蝕此絕緣材料使得鰭片46自相鄰隔離區44之間突出,其從而可界定至少一部份的鰭片46作為半導體基板42上的主動區。此外,上述隔離區44的頂表面可具有如圖所繪示的平坦(flat)表面、凸(convex)面、凹(concave)面(例如碟狀(dishing))、或上述之組合,其可由蝕刻製程所導致。本領域具有通常知識者將容易理解關於前面敘述的製程僅為可如何形成鰭片46之範例。在其他範例中,可以藉由其他製程形成上述鰭片46,並且可以包括異質磊晶(heteroepitaxial)及/或同質磊晶(homoepitaxial)結構。
在第1圖顯示的實施例中,沿著上述鰭片46的相應側壁及在鰭片46之上形成虛置閘極堆疊。如此處所述,上述虛置閘極堆疊用於置換閘極製程。上述虛置閘極堆疊垂直於相應鰭片46的縱向方向縱向延伸。上述虛置閘極堆疊包括沿著鰭片46且位於鰭片46上的界面介電質48、位於上述界面介電質48之上的虛置閘極50、以及位於上述虛置閘極50之上的遮罩52。In the embodiment shown in FIG. 1, a dummy gate stack is formed along the corresponding sidewalls of the
上述界面介電質48可包括或為氧化矽(silicon oxide)、氮化矽(silicon nitride)、類似材料、或上述之多層膜。上述虛置閘極50可包括或為矽(例如,多晶矽(polysilicon))或其他材料。上述遮罩52可包括或為氮化矽、氮氧化矽(silicon oxynitride)、氮碳化矽(silicon carbon nitride)、類似材料、或上述之組合。可以依序沉積或形成用於虛置閘極堆疊的界面介電質48、虛置閘極50、及遮罩52的膜層,例如藉由任意容許沉積技術、且接著將這些膜層圖案化為虛置閘極堆疊,例如使用光微影技術及一或多道蝕刻製程。The above-mentioned
第1圖更繪示出用於後續圖式中的參考剖面。剖面A-A位於沿著例如相對源極/汲極區之間的鰭片46中的通道的平面中。第2至9圖繪示出在示例方法中的不同階段製程下,對應於剖面A-A的剖面示意圖。第2圖繪示出第1圖之中間結構在剖面A-A處的剖面示意圖。Figure 1 further depicts the reference section used in subsequent drawings. The cross-section A-A lies in a plane along the channel in the
第3圖繪示出閘極間隔物54及磊晶源極/汲極區56、接觸蝕刻終止層(contact etch stop layer, CESL)60、以及第一層間介電質(interlayer dielectric, ILD)62的形成。沿著虛置閘極堆疊的側壁(例如,上述界面介電質48、虛置閘極50、及遮罩52的側壁)且在鰭片46之上形成上述閘極間隔物54。舉例來說,可藉由順應性地(conformally)沉積用於閘極間隔物54的一或多個膜層且非等向性蝕刻此些一或多個膜層以形成閘極間隔物54。上述用於閘極間隔物54的一或多個膜層可包括或為氮化矽、氮氧化矽、碳氮化矽、類似材料、上述之多層、或上述之組合。Figure 3 illustrates the
在形成上述閘極間隔物54之後,藉由蝕刻製程來形成凹槽於位於虛置閘極堆疊兩側的鰭片46中(例如,使用上述虛置閘極堆疊及閘極間隔物54作為遮罩)。藉由適當磊晶成長或沉積製程在凹槽中形成上述磊晶源極/汲極區56。上述磊晶源極/汲極區56可包括或為矽鍺(silicon germanium)、碳化矽(silicon carbide)、磷化矽(silicon phosphorus)、碳磷化矽(silicon carbon phosphorus)、純的或大致上純的鍺、三五族化合物半導體、二六族化合物半導體、或類似材料。在一些實施例中,上述凹蝕及磊晶成長可被省略,且可以使用上述虛置閘極堆疊及閘極間隔物54作為遮罩,藉由將摻質佈植至上述鰭片46中來形成上述磊晶源極/汲極區56。After the
在上述磊晶源極/汲極區56之後,藉由適當沉積製程將上述接觸蝕刻終止層60順應性地地沉積在磊晶源極/汲極區56的表面上、閘極間隔物54的側壁及頂表面上、遮罩52的頂表面上、及隔離區44的頂表面上。一般而言,蝕刻終止層(ESL)可提供一種機制(mechanism)以在形成例如接觸件(contact)或通孔(via)時停止蝕刻製程。可由與鄰近的膜層或組件具有不同蝕刻選擇性的介電材料來形成蝕刻終止層。上述接觸蝕刻終止層60可包括或為氮化矽、碳氮化矽、碳氧化矽、氮化碳、類似材料、或上述之組合。After the epitaxial source/
接著,藉由適當沉積製程,在上述接觸蝕刻終止層60上沉積上述第一層間介電質62。上述第一層間介電質62可包括或為二氧化矽(silicon dioxide)、低介電常數(low-K)介電材料(例如,介電常數低於二氧化矽的材料)、氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、硼矽酸鹽玻璃(borosilicate glass, BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass, USG)、摻雜氟的矽酸鹽玻璃(fluorinated silicate glass, FSG)、有機矽酸鹽玻璃(organosilicate glasses, OSG)、SiOxCy、碳矽材料、上述之化合物(compound)、上述之複合物(composite)、類似材料、或上述之組合。Then, by a proper deposition process, the
在第4圖中,可進行例如化學機械研磨的平坦化製程,以使上述第一層間介電質62及接觸蝕刻終止層60的頂表面與上述虛置閘極50的頂表面齊平。藉由一或多道蝕刻製程去除上述虛置閘極50。可在虛置閘極堆疊被去除所形成的凹槽中形成置換閘極結構。如所繪示的,上述置換閘極結構包括界面介電質70、閘極介電層72、一或多個選擇性順形層74、以及閘極導電填充材料76。沿著通道區在鰭片46的側壁及頂表面上形成上述界面介電質70。舉例來說,上述界面介電質70可以是界面介電質48(如果沒有去除)、藉由上述鰭片46的熱或化學氧化所形成的氧化物(例如,氧化矽)、及/或氧化物(例如,氧化矽)、氮化物(例如,氮化矽)、及/或其他介電層。In FIG. 4, a planarization process such as chemical mechanical polishing can be performed to make the top surface of the
可在虛置閘極堆疊被去除所形成的凹槽中(例如,隔離區44的頂表面上、在界面介電質70上、以及閘極間隔物54的側壁)及在第一層間介電質62、接觸蝕刻終止60、及閘極間隔物54的頂表面上順應性地沉積上述閘極介電層72。上述閘極介電層72可以為或包括氧化矽、氮化矽、高介電常數介電材料、上述之多層膜、或其他介電材料。高介電常數介電材料可包括鉿(hafnium, Hf)、鋁(aluminum, Al)、鋯(zirconium, Zr)、鑭(lanthanum, La)、鎂(magnesium, Mg)、鋇(barium, Ba)、鈦(titanium, Ti)、鉛(lead, Pb)、上述之多層膜、或上述之組合的金屬氧化物或金屬矽酸鹽(metal silicate)。It can be in the groove formed by removing the dummy gate stack (for example, on the top surface of the
接著,可在閘極介電層72上順應性地(且依序地,如果多於一個)沉積上述一或多個選擇性順形層74。上述一或多個選擇性順形層74可包括一或多個阻障及/或封蓋(capping)層以及一或多個功函數調整層。上述一或多個阻障及/或封蓋層可以包括鉭(tantalum)及/或鈦(titanium)的氮化物、氮矽化物、氮碳化物、及/或氮鋁化物;鎢(tungsten)的氮化物、氮碳化物、及/或碳化物;類似材料;或上述之組合。上述一或多個功函數調整層可包括或為鈦及/或鉭的氮化物、矽氮化物、碳氮化物、鋁氮化物、鋁氧化物、及/或鋁碳化物;鎢的氮化物、氮碳化物、及/或碳化物;鈷(cobalt);鉑(platinum);類似材料;或上述之組合。Then, one or more selective
在上述一或多個選擇性順形層74(如果有實施)、及/或上述閘極介電層72之上形成上述閘極導電填充材料76。上述閘極導電填充材料76可填充虛置閘極堆疊被去除所形成的凹槽的剩餘部分。上述閘極導電填充材料76可為或包括含金屬材料,例如鎢(tungsten, W)、鈷(cobalt, Co)、鋁、釕(ruthenium)、銅(copper)、上述之多層膜、上述之組合、或類似材料。藉由例如化學機械研磨來去除位於上述第一層間介電質62、接觸蝕刻終止層60、及閘極間隔物54之頂表面上方的部分之閘極導電填充材料76、一或多個選擇性順形層74、及閘極介電層72。由此可形成如第4圖所繪示的包括閘極導電填充材料76、一或多個選擇性順形層74、閘極介電層72、及界面介電質70的置換閘極結構。The gate conductive filling
在第5圖中,在上述第一層間介電質62、接觸蝕刻終止層60、閘極間隔物54、及置換閘極結構之上沉積蝕刻終止層(etch stop layer, ESL)78。上述蝕刻終止層78可包括或為氮化矽、碳氮化矽、氮化碳、類似材料、或上述之組合。在一些實施例中,上述蝕刻終止層78具有範圍在約20埃(Å)至約500埃之厚度,舉例來說,約200埃。In FIG. 5, an etch stop layer (ESL) 78 is deposited on the above-mentioned
在上述蝕刻終止層78之上沉積第二層間介電層80。上述第二層間介電層80可包括或為二氧化矽(silicon dioxide)、低介電常數(low-K)介電材料、氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、硼矽酸鹽玻璃(borosilicate glass, BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass, USG)、摻雜氟的矽酸鹽玻璃(fluorinated silicate glass, FSG)、有機矽酸鹽玻璃(organosilicate glasses, OSG)、SiOxCy、碳矽材料、上述之化合物(compound)、上述之複合物(composite)、類似材料、或上述之組合。在一些實施例中,上述第二層間介電層80具有範圍在約20埃至約500埃之厚度,舉例來說,約350埃。在一些實施例中,可能不會實施上述蝕刻終止層78,並且上述第二層間介電層80可以直接沉積在上述第一層間介電質62、接觸蝕刻終止層60、閘極間隔物54、及置換閘極結構之上。A second
接著,穿過上述第二層間介電質80及蝕刻終止層78形成開口82,以露出至少一部分的置換閘極結構。可以使用上述開口82來圖案化上述第二層間介電質80及蝕刻終止層78,舉例來說,使用光微影或一或多道蝕刻製程。Next, an
在第5圖中,在上述開口82中形成導電部件84。上述導電部件84直接形成在開口82中,以與上述置換閘極結構連接,而沒有在他們之間使用任何黏著層及/或阻障層。上述導電部件84係成長在上述閘極導電填充材料76之頂表面上,以由下而上的(bottom-up)方式自底部逐漸填充上述開口82。在填充上述開口82之後,上述導電部件84「溢」出開口82,形成過填充部分84o。上述過填充部分84o位於上述第二層間介電質80的頂表面上方。上述過填充部分84o一般具有比開口82更大的直徑。由下而上的形成使得上述導電部件84及閘極導電填充材料76之間能夠直接連接,這可以減少連接電阻。此由下而上的填充方式也可以減少非預期的缺陷,例如空孔(void)或封口(seam)。舉例來說,由於可以藉由由下而上的形成來減少上述開口82早期閉合的可能性,因此可以避免空孔或封口。In FIG. 5, a
在一些實施例中,可以藉由化學氣相沉積(chemical vapor deposition, CVD)、選擇性原子層沉積(atomic layer deposition, ALD)、無極電鍍沉積(electroless deposition, ELD)、電鍍(electroplating)、物理氣相沉積(physical vapor deposition, PVD)、或其他沉積技術來沉積上述導電部件84。在一些實施例中,可藉由物理氣相沉積濺鍍(sputtering)來實現上述導電部件84的由下而上的形成。在其他實施例中,可藉由在導電表面之上進行化學氣相沉積成長時,在介電表面上使用自對準單層膜(self-alignment monolayer, SAM)抑制劑,來實現上述導電部件84的由下而上的形成。在一些實施例中,上述導電部件84可以為或包括鎢(tungsten, W)、鈷(cobalt, Co)、銅(copper, Cu)、釕(ruthenium, Ru)、鋁(aluminum, Al)、金(gold, Au)、銀(silver, Ag)、上述之合金、類似材料、或上述之組合。In some embodiments, chemical vapor deposition (CVD), selective atomic layer deposition (atomic layer deposition, ALD), electroless deposition (ELD), electroplating (electroplating), physical Vapor deposition (physical vapor deposition, PVD) or other deposition techniques are used to deposit the above-mentioned
第10圖為第6圖的局部放大圖,顯示出上述導電部件84周圍的細節。由於上述導電部件84及第二層間介電質80之間沒有任何黏著層或阻障層,空隙86可以存在在上述導電部件84及第二層間介電質80之間。此空隙86可能在後續製程中導致不想要的行為。舉例來說,來自後續製程的製程化學物質可穿透上述空隙86,並且與其下的材料交互作用。上述導電材料(例如銅或鈷)可能在酸性環境中受到化學攻擊而被侵蝕,並且降低裝置的電性能。舉例來說,在後續化學機械研磨製程中,上述空隙86可能會讓研磨漿料(polishing slurry)滲透至其下膜層,例如上述閘極導電填充材料76。上述研磨漿料可能與閘極導電填充材料76反應,造成閘極導電填充材料76的損失。同樣地,上述空隙86亦可能將其下的膜層暴露至後續製程中的蝕刻化學物質、電漿製程環境。在一些實施例中,可藉由一或多道佈植製程來減少或閉合上述空隙86。Fig. 10 is a partial enlarged view of Fig. 6, showing details around the
一般而言,在一些實施例中,首先在沒有任何黏著層或阻障層的層間介電層中形成導電部件,接著進行佈植製程,以在上述導電部件及層間介電層之間施加壓力,以閉合由於沒有上述黏著層或沒有上述阻障層所造成的任何空隙。Generally speaking, in some embodiments, a conductive component is first formed in the interlayer dielectric layer without any adhesion layer or barrier layer, and then an implantation process is performed to apply pressure between the conductive component and the interlayer dielectric layer. , To close any gaps caused by the absence of the above-mentioned adhesive layer or the absence of the above-mentioned barrier layer.
第7圖示意性地展示用於消除或減少上述導電部件84及其周圍介電材料(例如,上述第二層間介電質80及蝕刻終止層78)之間的空隙86的佈植製程。在一些實施例中,在形成上述導電部件之後,藉由佈植製程將中性元素(neutral element)的一或多種物質(species)的離子束88朝向上述介電材料(例如,上述第二層間介電質80及蝕刻終止層78)投射。在一些實施例中,將上述中性元素佈植至上述第二層間介電質80及蝕刻終止層78,以修飾物理性質,例如體積及應力,但並未顯著地改變。以所需的深度以及所需的濃度來佈植上述中性元素的一或多種物質,以閉合自上述導電部件84之頂表面至在其之下的膜層的路徑。在一些實施例中,上述中性元素的一或多種物質包括鍺(germanium, Ge)、矽(silicon, Si)、氮(nitrogen, N)、或比其他佈植材料具有更大原子體積的其他元素。FIG. 7 schematically shows an implantation process for eliminating or reducing the
在一些實施例中,根據設計(例如,上述第二層間介電質80的原始厚度及最終厚度),以範圍在約10keV至約80keV的能量水平來進行上述佈植製程。在其他參數不變的狀況下,較高的能量水平導致較深的佈植峰值(peak)。在一些實施例中,以範圍在約5x1013 counts/cm2 (次數/平方公分)至約5x1016 counts/cm2 的劑量水平來進行上述佈植製程,這可以取決於待閉合的空隙的尺寸。較高的劑量可對應至上述介電層中較大的擴張,以封閉較大的空隙。在一些實施例中,以範圍在約-100℃至約450℃的溫度來進行上述佈植製程。可選地,在佈植製程之後進行退火製程,以調整經佈植膜層中的晶體結構,並減少由於佈植製程所造成的經佈植膜層中的傷害。In some embodiments, according to the design (for example, the original thickness and final thickness of the second interlayer dielectric 80), the implantation process is performed at an energy level ranging from about 10 keV to about 80 keV. When other parameters remain unchanged, a higher energy level results in a deeper implantation peak. In some embodiments, the implantation process is performed at a dose level ranging from about 5x10 13 counts/cm 2 (times/cm 2) to about 5x10 16 counts/cm 2 , which may depend on the size of the gap to be closed . A higher dose can correspond to a larger expansion in the above-mentioned dielectric layer to close larger gaps. In some embodiments, the above-mentioned implantation process is performed at a temperature ranging from about -100°C to about 450°C. Optionally, an annealing process is performed after the implantation process to adjust the crystal structure in the implanted film layer and reduce the damage in the implanted film layer caused by the implantation process.
第11圖為第7圖的局部放大圖,顯示出在佈植製程之後,上述導電部件84周圍的細節。佈植在經佈植第二層間介電質80i及經佈植蝕刻終止層78i中的物質導致經佈植第二層間介電質80i及經佈植蝕刻終止層78i擴張。上述擴張可以發生在所有的方向。如第11圖所示,上述擴張在(i)導電部件84及(ii)經佈植第二層間介電質80i或經佈植蝕刻終止層78i之間的界面92處誘發壓縮,以閉合上述空隙86。上述擴張可以沿著z方向發生,其沿著深度的方向。在一些實施例中,可以測量沿著z方向的擴張,以指示擴張的總量,從而測定上述導電部件84及上述界電層之間的壓縮。FIG. 11 is a partial enlarged view of FIG. 7, showing the details around the
濃度輪廓94a-96e為在Ge佈植的一些範例中,沿著經佈植第二層間介電質80i及經佈植蝕刻終止層78i的深度的佈植物質濃度輪廓。上述濃度輪廓94a-94d是使用相同劑量及增加功率水平來進行佈植製程的輪廓。點96a-96d(又稱為濃度輪廓96a-96d)為對應上述濃度輪廓94a-94d的峰值濃度點。濃度輪廓94a-94d顯示,當劑量保持定值(constant)時,上述峰值濃度點隨著功率水平的增加而加深。濃度輪廓94e是使用與濃度輪廓94d中相同功率水平及較低劑量來進行佈植製程的輪廓。濃度輪廓94e及94d大致上具有相同的形狀。The concentration profiles 94a-96e are the plant material concentration profiles along the depth of the implanted
上述佈植部值濃度在峰值濃度點96a-96e(又稱為濃度輪廓96a-96e)處最高,其中朝向上述導電部件84的誘發壓縮亦可能是最高的。線98指出後續化學機械研磨製程終止的深度水平。位於線98之下的部分第二層間介電質80保留在裝置中,而位於線98上方的部分第二層間介電質80在上述製程期間被去除。在一些實施例中,設計佈植製程,以使峰值濃度點位於上述線98上方的深度水平。上述配置可以確保第二層間介電質80與化學機械研磨漿料交互作用的部分具有朝向導電部件84的高壓縮,以切斷上述漿料至其下膜層的路徑。The concentration of the implanted part value is the highest at the
相較於第二層間介電質80,具有較緻密的晶體結構的導電部件84較難被佈植物質穿透。如此一來,相較於在第二層間介電質80中,上述佈植物質在導電部件84中被集中在較淺的深度。在一些實施例中,在上述導電部件84中的佈植物質主要位於線98上方,且因此將藉由上述平坦化製程去除。Compared with the
在一些實施例中,上述離子束88可以以一角度導向基板,以將物質導向被上述導電部件84的過填充部分84o覆蓋的區域。In some embodiments, the
在第8圖中,在上述導電部件84及未被導電部件84覆蓋的經佈植第二層間介電層80i的剩餘部分之上形成阻障層100。上述阻障層100可以為或包括氮化鈦(titanium nitride)、氧化鈦(titanium oxide)、氮化鉭(tantalum nitride)、氧化鉭(tantalum oxide)、類似材料、或上述之組合,且可藉由原子層沉積、化學氣相沉積、或其他沉積技術沉積。藉著在上述阻障層100之上形成毯覆(blanket)導電層102。上述毯覆導電層102可以填滿上述第二層間介電層80中的其他凹槽或開口。在一些實施例中,可以藉由化學氣相沉積、原子層沉積、無極電鍍沉積(ELD)、物理氣相沉積(physical vapor deposition, PVD)、電鍍(electroplating)、或其他沉積技術來沉積上述導電層102(又稱為毯覆導電層102)。在一些實施例中,上述導電層102可以為或包括鎢(tungsten, W)、鈷(cobalt, Co)、銅(copper, Cu)、釕(ruthenium, Ru)、鋁(aluminum, Al)、金(gold, Au)、銀(silver, Ag)、上述之合金、類似材料、或上述之組合。在一些實施例中,上述導電層102及上述導電部件84可以包括相同的材料。上述毯覆導電層102亦使上述基板的表面處於化學機械研磨製程的條件下。In FIG. 8, a
在第9圖中,進行例如化學機械平坦化的平坦化製程,以去除過量的導電層102、阻障層100、經佈植第二層間介電層80i、以及導電部件84。在一些實施例中,在上述平坦化製程之後,上述經佈植第二層間介電層80i具有厚度範圍介於約20埃及約500埃之間的厚度,舉例來說,約50埃。由於上述經佈植第二層間介電層80i及上述經佈植蝕刻終止層78i朝向導電部件84壓縮,可以防止上述化學機械研磨漿料穿透至導電部件84周圍的空隙,從而使其下的膜層不受損傷。In FIG. 9, a planarization process such as chemical mechanical planarization is performed to remove the excess
第12圖為第9圖的局部放大圖,顯示出在上述平坦化製程之後,鄰接上述導電部件84的部件。濃度輪廓104a-104e為在上述平坦化製程之後,在經佈植第二層間介電質80i及經佈植蝕刻終止層78i中的佈植物質濃度輪廓。在一些實施例中,上述經佈植第二層間介電質80i及經佈植蝕刻終止層78i具有隨著深度減小的佈植物質濃度輪廓。具體而言,在上述平坦化製程之後,在剩餘經佈植第二層間介電質80i及經佈植蝕刻終止層78i中的佈植物質濃度輪廓自佈植物質的峰值濃度減小,峰值濃度靠近剩餘之經佈植第二層間介電質80i的頂表面80t,以朝向基板中之其下膜層(例如,經佈植第二層間介電質80i的下表面80l及經佈植蝕刻終止層78i的下表面78l)的方向減小。上述經佈植第二層間介電質80i的表面遠離上述基板。在一些實施例中,上述經佈植第二層間介電質80i具有佈植物質濃度,例如Ge濃度,範圍在約8x1018
atoms/cm3
至1x1021
atoms/cm3
。在一些實施例中,上述經佈植蝕刻終止層78i具有佈植物質濃度,例如Ge濃度,範圍在約2x1018
atoms/cm3
至6x1020
atoms/cm3
。實驗指出,在第二層間介電質80的絕緣功能中,第二層間介電質80中的佈植物質的存在通常不具有可偵測作用。在上述導電部件84中的任何剩餘佈植物質亦通常不會影響導電部件84的導電度。Fig. 12 is a partial enlarged view of Fig. 9 showing the components adjacent to the
第13至16圖係根據一些實施例,繪示出在用於形成導電部件的另一示例方法期間之相應階段下的相應中間結構的剖面示意圖。在進行如下所述關於第13圖的製程之前,先進行前述關於第1至6圖的製程。第13至16圖繪示出在示例方法中的不同階段製程下,對應於剖面A-A的剖面示意圖。13 to 16 are schematic cross-sectional views illustrating corresponding intermediate structures at corresponding stages during another exemplary method for forming conductive components according to some embodiments. Before proceeding with the process described in Figure 13 as described below, perform the process described in Figures 1 to 6 as described above. FIGS. 13 to 16 show schematic cross-sectional views corresponding to the cross-section A-A at different stages of the process in the exemplary method.
一般而言,在一些實施例中,首先在沒有任何黏著層或沒有任何阻障層的層間介電層中形成導電部件、接著進行第一佈植製程以在上述導電部件及層間介電層之間的淺深度處施加壓力,以閉合任何空隙、接著進行第一平坦化製程,以去除上述導電部件的過填充部分、進行第二佈植製程,以在剩餘導電部件及層間介電層之間施加壓力,以閉合任何空隙、且接著進行第二平坦化製程,以去除上述導電部件及層間介電層的任何過量部分。Generally speaking, in some embodiments, a conductive component is first formed in the interlayer dielectric layer without any adhesion layer or without any barrier layer, and then the first implantation process is performed to form the conductive component and the interlayer dielectric layer. Pressure is applied at a shallow depth between the gaps to close any gaps, then a first planarization process is performed to remove the overfilled part of the above-mentioned conductive components, and a second implantation process is performed to between the remaining conductive components and the interlayer dielectric layer. Pressure is applied to close any gaps, and then a second planarization process is performed to remove any excess portions of the aforementioned conductive features and interlayer dielectric layer.
在第13圖中,在上述導電部件84如第2-6圖所示的那樣形成之後,進行第一佈植製程。上述第一佈植製程相似於第7圖所述的佈植製程,其不同之處在於,上述第一佈植製程被配置為具有較淺的濃度峰值點,以在去除上述佈植導電部件84的過填充部分84o時,防止損傷其下膜層。In Fig. 13, after the
在一些實施例中,在形成上述導電部件84之後,藉由佈植製程將中性元素的一或多種物質的離子束106朝向上述介電材料(例如,上述第二層間介電質80及蝕刻終止層78)投射。以所需的深度以及所需的濃度來佈植上述中性元素的一或多種物質,以閉合自上述導電部件84之頂表面至在其之下的膜層的路徑。在一些實施例中,上述中性元素的一或多種物質包括鍺(germanium, Ge)、矽(silicon, Si)、氮(nitrogen, N)、或比其他佈植材料具有更大原子體積的其他元素。進行上述第一佈植製程的能量水平、劑量、以及角度使得上述緊鄰過填充部分84o下方的第二層間介電層80之間的空隙可被閉合。In some embodiments, after the
由於上述過填充部分84o一般具有比開口82中的部分更大的直徑,並且上述導電部件84相較於上述第二層間介電層80通常具有更緻密的晶體結構,因此上述過填充部分84o可以像傘一樣作用,以防止佈植物質抵達位於過填充部分84o下方的第二層間介電層80。在一些實施例中,上述離子束106可以以一角度導向基板,以抵達被上述過填充部分84o屏蔽的第二層間介電層80。在第13圖中,相對於軸向108以角度110引導上述離子束106,上述軸向108垂直於上述基板之頂表面。在操作期間,旋轉上述基板42,例如圍繞著上述軸向108。當上述基板42圍繞軸向108旋轉時,可以以角度110的離子束106來佈植上述導電部件84周圍的過填充部分84o之下的第二層間介電層80。在一些實施例中,上述角度110範圍在約大於0度至約45度。Since the overfilled portion 84o generally has a larger diameter than the portion in the
在一些實施例中,以範圍在約5keV至約40keV的能量水平來進行上述第一佈植製程。較高的能量水平可以對應於較深的佈植峰值。In some embodiments, the first implantation process is performed at an energy level ranging from about 5 keV to about 40 keV. Higher energy levels can correspond to deeper implantation peaks.
在一些實施例中,以範圍在約5x1013 counts/cm2 至約5x1016 counts/cm2 的劑量水平來進行上述第一佈植製程,這可以取決於待閉合的空隙的尺寸。較高的劑量可對應至上述介電層中較大的擴張,以封閉較大的空隙。In some embodiments, the first implantation process is performed at a dose level ranging from about 5×10 13 counts/cm 2 to about 5× 10 16 counts/cm 2 , which may depend on the size of the gap to be closed. A higher dose can correspond to a larger expansion in the above-mentioned dielectric layer to close larger gaps.
在一些實施例中,以範圍在約-100℃至約450℃的溫度來進行上述第一佈植製程。可選地,在上述第一佈植製程之後進行退火製程,以調整經佈植膜層中的晶體結構,並減少由於佈植製程所造成的經佈植膜層中的傷害。In some embodiments, the first planting process is performed at a temperature ranging from about -100°C to about 450°C. Optionally, an annealing process is performed after the above-mentioned first implantation process to adjust the crystal structure in the implanted film layer and reduce the damage in the implanted film layer caused by the implantation process.
第17圖為第13圖的局部放大圖,顯示出在第一佈植製程之後,上述導電部件84周圍的細節。濃度輪廓112為沿著經佈植第二層間介電層80i的深度的佈植物質濃度輪廓。點114為上述濃度輪廓112的峰值濃度點。在一些實施例中,上述峰值濃度點位於上述第二層間介電層80之頂表面下方的距離116處。在一些實施例中,上述距離116位於範圍在大於約0埃至約500埃的深度。上述第二層間介電層80及導電部件84之間的最大壓力可以發生在上述峰值濃度點附近。上述峰值濃度點附近的壓縮可以切斷穿過空隙86至其下膜層的路徑。當在上述峰值濃度點上方研磨時,化學機械研磨漿料可能不能夠穿透空隙86以抵達其下膜層。FIG. 17 is a partial enlarged view of FIG. 13, showing the details around the
在第14圖中,相似於第8圖,在上述導電部件84及未被導電部件84覆蓋的經佈植第二層間介電層80i的剩餘部分之上形成阻障層100,且接著在上述阻障層100之上形成毯覆導電層102。In Fig. 14, similar to Fig. 8, a
在第15圖中,進行例如化學機械研磨的第一平坦化製程,以去除過量的導電層102、阻障層100、經佈植第二層間介電層80i的過填充部分84o、以及導電部件84。由於在上述第一佈植製程之後,可以閉合位於過填充部分84o下方、穿過空隙86至其下膜層的路徑,其可以防止上述化學機械研磨漿料穿透至導電部件84周圍的空隙,從而使其下膜層在上述第一平坦化製程中不受損傷。In Figure 15, a first planarization process such as chemical mechanical polishing is performed to remove the excess
在第15圖中,進行第二佈植製程,以消除或減少在上述導電部件84及周圍介電材料(例如,上述第二層間介電層80及蝕刻終止層78)之間的空隙86。上述第二佈植製程相似於第7圖所述的佈植製程,除了可能處於較低的能量水平,因為在去除過填充部分84o的情況下,佈植物質可以更容易的穿透至上述介電材料中。In FIG. 15, a second implantation process is performed to eliminate or reduce the
將如前述的中性元素的一或多種物質的離子束118朝向上述介電材料(例如,上述第二層間介電質80及蝕刻終止層78)投射。在一些實施例中,根據設計(例如,上述第二層間介電質80的原始厚度及最終厚度),以範圍在約7keV至約56keV的能量水平來進行上述第二佈植製程。在一些實施例中,以範圍在約5x1013
counts/cm2
至約5x1016
counts/cm2
的劑量水平來進行上述第二佈植製程,這可以取決於待閉合的空隙的尺寸。在一些實施例中,以範圍在約-100℃至約450℃的溫度來進行上述第二佈植製程。可選地,在上述第二佈植製程之後進行退火製程,以調整經佈植膜層中的晶體結構,並減少由於佈植製程所造成的經佈植膜層中的傷害。The
第18圖為第16圖的局部放大圖,顯示出在第二佈植製程之後,上述導電部件84周圍的細節。佈植在經佈植第二層間介電質80i及經佈植蝕刻終止層78i中的物質導致經佈植第二層間介電質80i及經佈植蝕刻終止層78i擴張。上述擴張可以發生在所有的方向。如第18圖所示,上述擴張在(i)導電部件84及(ii)經佈植第二層間介電質80i或經佈植蝕刻終止層78i之間的界面92處誘發壓縮,以閉合上述空隙86。上述擴張可以沿著z方向發生。在一些實施例中,可以測量沿著z方向的擴張,以指示擴張的總量,從而測定上述導電部件84及上述界電層之間的壓縮。Fig. 18 is a partial enlarged view of Fig. 16, showing details around the
根據一些實施例,濃度輪廓120是沿著經佈植第二層間介電質80i以及經佈植蝕刻終止層78i的深度的示例佈植物質濃度輪廓。點122為峰值濃度點,其中佈植物質濃度為最高,且其中朝向上述導電部件84的誘發壓縮可能是最高的。線98指出後續化學機械研磨製程終止的深度水平。位於線98之下的部分第二層間介電質80保留在裝置中,而位於線98上方的部分第二層間介電質80在上述製程期間被去除。在一些實施例中,設計佈植製程,以使峰值濃度點位於上述線98上方的深度水平。上述配置可以確保第二層間介電質80與化學機械研磨漿料交互作用的部分具有朝向導電部件84的高壓縮,以切斷上述漿料至其下膜層的路徑。According to some embodiments, the
相似於第9圖中所述之平坦化製程,在上述第二佈植製程之後,進行例如化學機械平坦化的平坦化製程,以去除過量的導電層102、阻障層100、經佈植第二層間介電層80i、以及導電部件84。Similar to the planarization process described in Figure 9, after the second implantation process, a planarization process such as chemical mechanical planarization is performed to remove the excess
雖然本發明實施例係在形成導電部件至閘極導電填充材料的背景下討論,但實施例可以用於在沒有黏著層及沒有阻障層的介電層中形成導電部件的任何情況中,例如在形成接觸件至鰭式場效電晶體裝置中的主動區的情況中、在層間金屬化介電層中形成金屬插塞的狀況中、或類似情況。Although the embodiments of the present invention are discussed in the context of forming conductive components to gate conductive filling materials, the embodiments can be used in any case where conductive components are formed in a dielectric layer without an adhesion layer and no barrier layer, such as In the case of forming a contact to the active region in the fin-type field effect transistor device, in the case of forming a metal plug in the interlayer metallization dielectric layer, or the like.
本發明實施例提供用於在沒有黏著層或沒有阻障層的介電層中形成導電部件的方法,以及由此形成的裝置。藉由不使用上述黏著層或阻障層,可以降低上述導電部件及位於介電層之下的導電材料之間的電阻。在形成上述導電部件之後,可以對上述介電層進行一或多道佈植,以閉合上述導電部件及介電層之間的空隙,上述空隙可能是由於沒有上述黏著層或沒有上述阻障層所造成的。上述佈植製程可以在後續製程中防止上述介電層之下的膜層及導電部件暴露至製程環境,例如化學機械研磨漿料、蝕刻化學物質、及用於蝕刻、沉積或清潔的電漿。The embodiment of the present invention provides a method for forming a conductive component in a dielectric layer without an adhesive layer or a barrier layer, and a device formed thereby. By not using the adhesive layer or barrier layer, the resistance between the conductive component and the conductive material under the dielectric layer can be reduced. After forming the above-mentioned conductive component, the above-mentioned dielectric layer can be implanted in one or more passes to close the gap between the above-mentioned conductive component and the dielectric layer. The above-mentioned gap may be due to the absence of the above-mentioned adhesive layer or the absence of the above-mentioned barrier layer. Caused by. The implantation process can prevent the film layer and the conductive components under the dielectric layer from being exposed to the process environment in the subsequent process, such as chemical mechanical polishing slurry, etching chemicals, and plasma for etching, deposition or cleaning.
一些實施例提供一半導體結構,包括位於基板之上的介電層,以及穿過上述介電層設置的導電部件。上述介電層具有靠近上述基板的下表面及遠離上述基板的頂表面。上述導電部件直接接觸上述介電層,且上述介電層包括一佈植物質。上述介電層中的佈植物質的濃度在接近上述介電層的頂表面處具有峰值濃度,且上述佈植物質的濃度在朝向上述介電層的下表面的方向上自峰值濃度減小。在一實施例中,上述佈植物質包括鍺(Ge)、矽(Si)、及氮(N)至少其中一者。在一實施例中,上述佈植物質之峰值濃度範圍在約8x1018 atoms/cm3 至1x1021 atoms/cm3 。在一實施例中,更包括蝕刻終止層,其中上述介電層設置在上述蝕刻終止層之上,且上述導電部件穿過上述蝕刻終止層設置。在一實施例中,上述蝕刻終止層包括上述佈植物質,上述蝕刻終止層中的佈植物質的濃度範圍在約2x1018 atoms/cm3 至約6x1020 atoms/cm3 。在一實施例中,上述介電層包括氧化矽(silicon oxide)、氮氧化矽(silicon oxynitride)、碳氧化矽(silicon oxycarbide)、或上述之組合。Some embodiments provide a semiconductor structure including a dielectric layer on a substrate, and conductive components disposed through the dielectric layer. The dielectric layer has a lower surface close to the substrate and a top surface away from the substrate. The conductive component directly contacts the dielectric layer, and the dielectric layer includes a cloth plant material. The concentration of the cloth material in the dielectric layer has a peak concentration near the top surface of the dielectric layer, and the concentration of the cloth material decreases from the peak concentration in the direction toward the lower surface of the dielectric layer. In one embodiment, the aforementioned cloth plant material includes at least one of germanium (Ge), silicon (Si), and nitrogen (N). In one embodiment, the peak concentration of the above-mentioned cloth plant material ranges from about 8× 10 18 atoms/cm 3 to 1 ×10 21 atoms/cm 3 . In one embodiment, it further includes an etch stop layer, wherein the dielectric layer is disposed on the etch stop layer, and the conductive component is disposed through the etch stop layer. In one embodiment, the etching stop layer includes the cloth plant material, and the concentration of the cloth plant material in the etching stop layer ranges from about 2×10 18 atoms/cm 3 to about 6× 10 20 atoms/cm 3 . In one embodiment, the above-mentioned dielectric layer includes silicon oxide, silicon oxynitride, silicon oxycarbide, or a combination thereof.
一些實施例提供一半導體結構的製造方法。此方法包括在介電層中沉積導電部件。上述導電部件直接接觸上述介電層。此方法更包括,在沉積上述導電部件之後,佈植一佈植物質至上述介電層中,以及在佈植上述佈植物質之後,藉由第一平坦化製程來去除一部分之導電部件。在一實施例中,上述佈植物質包括鍺(Ge)、矽(Si)、及氮(N)至少其中一者。在一實施例中,佈植上述佈植物質的步驟包括擴張上述介電層,上述經擴張的介電層對上述導電部件施加壓縮力。在一實施例中,上述介電層中之佈植物質的峰值濃度點位於藉由上述第一平坦化製程去除的一部分之介電層中。在一實施例中,更包括穿過上述介電層形成開口,以露出位於上述介電層之下的膜層中的導電材料,其中沉積上述導電部件包括在上述開口中以由下而上的方式來成長上述導電部件。在一實施例中,更包括在去除上述部分之導電部件之後,佈植上述另一佈植物質至上述介電層中,以及在佈植另一佈植物質之後,藉由第二平坦化製程來去除另一部分之上述導電部件及介電層。在一實施例中,佈植上述佈植物質至上述介電層中是從與垂直於介電層之表面的軸向成一角度進行的,上述角度大於零。Some embodiments provide a method of manufacturing a semiconductor structure. This method includes depositing conductive features in a dielectric layer. The conductive member directly contacts the dielectric layer. The method further includes, after depositing the conductive component, planting a cloth plant material into the dielectric layer, and after planting the cloth plant material, removing a part of the conductive component by a first planarization process. In one embodiment, the aforementioned cloth plant material includes at least one of germanium (Ge), silicon (Si), and nitrogen (N). In one embodiment, the step of planting the cloth plant material includes expanding the dielectric layer, and the expanded dielectric layer exerts a compressive force on the conductive component. In one embodiment, the peak concentration point of the cloth material in the dielectric layer is located in a part of the dielectric layer removed by the first planarization process. In one embodiment, the method further includes forming an opening through the dielectric layer to expose the conductive material in the film layer under the dielectric layer, wherein depositing the conductive component includes depositing the conductive component in the opening from bottom to top Way to grow the above-mentioned conductive parts. In one embodiment, after removing the conductive parts of the above-mentioned part, planting the another cloth material into the dielectric layer, and after planting the other cloth material, by a second planarization process To remove another part of the above-mentioned conductive component and dielectric layer. In one embodiment, the planting of the cloth plant material into the dielectric layer is performed at an angle with the axis perpendicular to the surface of the dielectric layer, and the angle is greater than zero.
一些實施例提供一半導體結構的製造方法。此方法包括在基板之上沉積介電材料,其中上述基板具有導電材料、在上述介電材料中形成開口,以露出上述導電材料、在上述開口中沉積導電部件,且上述導電部件直接接觸上述導電材料、進行第一佈植製程,以在上述介電材料中佈植一佈植物質、以及在進行上述第一佈植製程之後,進行第一平坦化製程,以去除部份之上述導電部件。在一實施例中,沉積上述導電部件的步驟包括在上述開口中以由下而上的方式來成長上述導電部件。在一實施例中,上述介電材料包括蝕刻終止層以及位於上述蝕刻終止層上方的層間介電層。在一實施例中,佈植上述佈植物質的步驟包括在上述介電材料中佈植一中性元素來擴張上述介電材料,以在上述導電部件周圍施加壓力。在一實施例中,進行上述第一佈植製程的步驟包括相對於垂直於上述介電材料之表面的軸向,以非零度角將佈植物質導向上述介電材料,上述介電材料之表面遠離上述基板。在一實施例中,沉積上述導電部件的步驟在上述介電材料上方形成過填充部分,且進行上述第一平坦化製程以去除上述導電材料的上述過填充部分。在一實施例中,更包括在進行上述第一平坦化製程之後,進行第二佈植製程,以在上述介電材料中佈植另一物質,以及在進行上述第二佈植製程之後,進行第二平坦化製程,以去除部份之上述介電材料及部份之上述導電材料。Some embodiments provide a method of manufacturing a semiconductor structure. The method includes depositing a dielectric material on a substrate, wherein the substrate has a conductive material, an opening is formed in the dielectric material to expose the conductive material, and a conductive component is deposited in the opening, and the conductive component directly contacts the conductive material. Material, perform a first planting process to plant a plant material in the dielectric material, and after performing the first planting process, perform a first planarization process to remove part of the conductive components. In one embodiment, the step of depositing the conductive component includes growing the conductive component in the opening in a bottom-up manner. In one embodiment, the dielectric material includes an etch stop layer and an interlayer dielectric layer located above the etch stop layer. In one embodiment, the step of planting the plant material includes planting a neutral element in the dielectric material to expand the dielectric material so as to apply pressure around the conductive component. In one embodiment, the step of performing the first planting process includes guiding the plant material to the dielectric material at a non-zero angle relative to the axial direction perpendicular to the surface of the dielectric material, and the surface of the dielectric material Stay away from the above-mentioned substrates. In one embodiment, the step of depositing the conductive component forms an overfilled portion on the dielectric material, and the first planarization process is performed to remove the overfilled portion of the conductive material. In one embodiment, it further includes performing a second implantation process after performing the first planarization process to implant another substance in the dielectric material, and performing the second implantation process after performing the second implantation process. The second planarization process is to remove part of the above-mentioned dielectric material and part of the above-mentioned conductive material.
以上概略說明了本揭露數個實施例的特徵,使所屬技術領域內具有通常知識者對於本揭露可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構或製程並未脫離本揭露之精神及保護範圍內,且可在不脫離本揭露之精神及範圍內,當可作更動、替代與潤飾。The above briefly describes the features of the several embodiments of the present disclosure, so that those with ordinary knowledge in the technical field can understand the present disclosure more easily. Anyone with ordinary knowledge in the relevant technical field should understand that this specification can easily be used as a basis for modification or design of other structures or processes to perform the same purpose and/or obtain the same advantages as the embodiments of the present disclosure. Anyone with ordinary knowledge in the technical field can also understand that the structure or manufacturing process equivalent to the above does not deviate from the spirit and scope of the disclosure, and can be changed or substituted without departing from the spirit and scope of the disclosure. And retouch.
42‧‧‧半導體基板44‧‧‧隔離區46‧‧‧鰭片48‧‧‧界面介電質50‧‧‧虛置閘極52‧‧‧遮罩54‧‧‧閘極間隔物56‧‧‧磊晶源極/汲極區60‧‧‧接觸蝕刻終止層62‧‧‧第一層間介電質70‧‧‧界面介電質72‧‧‧閘極介電層74‧‧‧一或多個選擇性順形層76‧‧‧閘極導電填充材料78‧‧‧蝕刻終止層78i‧‧‧經佈植蝕刻終止層78l‧‧‧下表面80‧‧‧第二層間介電層80i‧‧‧經佈植第二層間介電質80t‧‧‧頂表面80l‧‧‧下表面82‧‧‧開口84‧‧‧導電部件84o‧‧‧過填充部分86‧‧‧空隙88、106、118‧‧‧離子束92‧‧‧界面94a-94e、104a-104e、112、120‧‧‧濃度輪廓96a-96e、114、122‧‧‧點98‧‧‧線100‧‧‧阻障層102‧‧‧毯覆導電層108‧‧‧軸向110‧‧‧角度116‧‧‧距離42‧‧
以下將配合所附圖式詳述本揭露之實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。 第1圖係根據一些實施例,繪示出在用於形成導電部件的示例方法期間之一階段下的中間結構的三維視圖。 第2至9圖係根據一些實施例,繪示出在用於形成導電部件的示例方法期間之相應階段下的相應中間結構的剖面示意圖。 第10圖為第6圖的局部放大圖。 第11圖為第7圖的局部放大圖。 第12圖為第9圖的局部放大圖。 第13至16圖係根據一些實施例,繪示出在用於形成導電部件的另一示例方法期間之相應階段下的相應中間結構的剖面示意圖。 第17圖為第13圖的局部放大圖。 第18圖為第16圖的局部放大圖。The embodiments of the present disclosure will be described in detail below in conjunction with the accompanying drawings. It should be noted that, according to standard practices in the industry, the various features are not drawn to scale and are only used for illustration and illustration. In fact, it is possible to arbitrarily enlarge or reduce the size of the element to clearly show the features of the present disclosure. Figure 1 is a three-dimensional view of an intermediate structure at a stage during an example method for forming a conductive component, according to some embodiments. FIGS. 2-9 are schematic cross-sectional diagrams illustrating corresponding intermediate structures at corresponding stages during an exemplary method for forming a conductive member according to some embodiments. Figure 10 is a partial enlarged view of Figure 6. Figure 11 is a partial enlarged view of Figure 7. Figure 12 is a partial enlarged view of Figure 9. 13 to 16 are schematic cross-sectional views illustrating corresponding intermediate structures at corresponding stages during another exemplary method for forming conductive components according to some embodiments. Figure 17 is a partial enlarged view of Figure 13. Figure 18 is a partial enlarged view of Figure 16.
72‧‧‧閘極介電層 72‧‧‧Gate Dielectric Layer
74‧‧‧一或多個選擇性順形層 74‧‧‧One or more selective conformal layers
76‧‧‧閘極導電填充材料 76‧‧‧Gate conductive filling material
78‧‧‧蝕刻終止層 78‧‧‧Etching stop layer
80‧‧‧第二層間介電層 80‧‧‧Second interlayer dielectric layer
84‧‧‧導電部件 84‧‧‧Conductive parts
84o‧‧‧過填充部分 84o‧‧‧Overfilling part
86‧‧‧空隙 86‧‧‧Gap
106‧‧‧離子束 106‧‧‧Ion beam
112‧‧‧濃度輪廓 112‧‧‧Concentration profile
114‧‧‧點 114‧‧‧points
116‧‧‧距離 116‧‧‧Distance
Claims (14)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/993,768 | 2018-05-31 | ||
US15/993,768 US10643892B2 (en) | 2018-05-31 | 2018-05-31 | Metal loss prevention using implantation |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202004865A TW202004865A (en) | 2020-01-16 |
TWI748173B true TWI748173B (en) | 2021-12-01 |
Family
ID=68694256
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108110570A TWI748173B (en) | 2018-05-31 | 2019-03-27 | Semiconductor structure and method for semiconductor processing |
Country Status (3)
Country | Link |
---|---|
US (4) | US10643892B2 (en) |
CN (1) | CN110556360B (en) |
TW (1) | TWI748173B (en) |
Families Citing this family (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10643892B2 (en) | 2018-05-31 | 2020-05-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal loss prevention using implantation |
US11328990B2 (en) * | 2019-09-27 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via structure having a metal hump for low interface resistance |
US11791204B2 (en) * | 2020-04-21 | 2023-10-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with connecting structure having a doped layer and method for forming the same |
TWI784450B (en) | 2020-04-28 | 2022-11-21 | 台灣積體電路製造股份有限公司 | Semiconductor device and method for forming the same |
US11837603B2 (en) * | 2021-01-22 | 2023-12-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extended side contacts for transistors and methods forming same |
US11854868B2 (en) | 2021-03-30 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Scalable patterning through layer expansion process and resulting structures |
US11695042B2 (en) | 2021-04-08 | 2023-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor contacts and methods of forming the same |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100059889A1 (en) * | 2006-12-20 | 2010-03-11 | Nxp, B.V. | Adhesion of diffusion barrier on copper-containing interconnect element |
US20160141394A1 (en) * | 2013-11-27 | 2016-05-19 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and method of making |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010171081A (en) * | 2009-01-20 | 2010-08-05 | Toshiba Corp | Semiconductor device and manufacturing method thereof |
US8513143B2 (en) * | 2011-08-18 | 2013-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method of manufacturing |
US9142517B2 (en) * | 2012-06-05 | 2015-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid bonding mechanisms for semiconductor wafers |
US10192985B2 (en) * | 2015-07-21 | 2019-01-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET with doped isolation insulating layer |
US10643892B2 (en) * | 2018-05-31 | 2020-05-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal loss prevention using implantation |
-
2018
- 2018-05-31 US US15/993,768 patent/US10643892B2/en active Active
-
2019
- 2019-03-20 CN CN201910211336.5A patent/CN110556360B/en active Active
- 2019-03-27 TW TW108110570A patent/TWI748173B/en active
- 2019-08-30 US US16/556,360 patent/US11211289B2/en active Active
-
2021
- 2021-12-27 US US17/646,024 patent/US11710659B2/en active Active
-
2023
- 2023-06-07 US US18/330,466 patent/US12068195B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100059889A1 (en) * | 2006-12-20 | 2010-03-11 | Nxp, B.V. | Adhesion of diffusion barrier on copper-containing interconnect element |
US20160141394A1 (en) * | 2013-11-27 | 2016-05-19 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and method of making |
Also Published As
Publication number | Publication date |
---|---|
US20190385909A1 (en) | 2019-12-19 |
US10643892B2 (en) | 2020-05-05 |
CN110556360A (en) | 2019-12-10 |
US20220122884A1 (en) | 2022-04-21 |
TW202004865A (en) | 2020-01-16 |
CN110556360B (en) | 2021-09-24 |
US20230317519A1 (en) | 2023-10-05 |
US11710659B2 (en) | 2023-07-25 |
US20190371664A1 (en) | 2019-12-05 |
US11211289B2 (en) | 2021-12-28 |
US12068195B2 (en) | 2024-08-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI748173B (en) | Semiconductor structure and method for semiconductor processing | |
US10811509B2 (en) | Multi-gate device and method of fabrication thereof | |
US10269628B2 (en) | FinFET low resistivity contact formation method | |
KR101812851B1 (en) | Devices including gate spacer with gap or void and methods of forming the same | |
TWI713152B (en) | Semiconductor devices and methods for forming the same | |
TWI671903B (en) | Semiconductor device structure and method of forming the same | |
US8187962B2 (en) | Self aligned silicided contacts | |
TWI646647B (en) | Semiconductor devices and methods for fabricating the same | |
US10510867B2 (en) | FinFETs and methods of forming the same | |
TW202027145A (en) | Methods for manufacturing semiconductor devices | |
TWI830794B (en) | Semiconductor device and method of forming the same | |
US11462614B2 (en) | Semiconductor devices and methods of manufacturing | |
US11508822B2 (en) | Source/drain via having reduced resistance | |
US20200258999A1 (en) | Formation method of isolation feature of semiconductor device structure | |
US10847634B2 (en) | Field effect transistor and method of forming the same | |
TWI821698B (en) | Semiconductor device and method of manufacturing the same | |
TWI820775B (en) | Semiconductor device structure and methods of forming the same | |
US20220406655A1 (en) | Semiconductor Devices and Methods of Manufacture | |
US20230137528A1 (en) | Multigate Device Structure with Stepwise Isolation Features and Method Making the Same | |
US20240021673A1 (en) | Semiconductor device and manufacturing method thereof |