TWI720604B - Semiconductor devices and methods for fabricating the same - Google Patents

Semiconductor devices and methods for fabricating the same Download PDF

Info

Publication number
TWI720604B
TWI720604B TW108131464A TW108131464A TWI720604B TW I720604 B TWI720604 B TW I720604B TW 108131464 A TW108131464 A TW 108131464A TW 108131464 A TW108131464 A TW 108131464A TW I720604 B TWI720604 B TW I720604B
Authority
TW
Taiwan
Prior art keywords
fin
drain
source
epitaxial source
type epitaxial
Prior art date
Application number
TW108131464A
Other languages
Chinese (zh)
Other versions
TW202032636A (en
Inventor
吳以雯
李振銘
楊復凱
王美勻
林俊安
呂偉元
王冠人
鵬 王
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/551,546 external-priority patent/US11222951B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202032636A publication Critical patent/TW202032636A/en
Application granted granted Critical
Publication of TWI720604B publication Critical patent/TWI720604B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02647Lateral overgrowth
    • H01L21/0265Pendeoepitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

A method of semiconductor fabrication includes providing a semiconductor structure having a substrate and first, second, third, and fourth fins above the substrate. The method further includes forming an n-type epitaxial source/drain (S/D) feature on the first and second fins, forming a p-type epitaxial S/D feature on the third and fourth fins, and performing a selective etch process on the semiconductor structure to remove upper portions of the n-type epitaxial S/D feature and the p-type epitaxial S/D feature such that more is removed from the n-type epitaxial S/D feature than the p-type epitaxial S/D feature.

Description

半導體裝置及其製造方法Semiconductor device and manufacturing method thereof

本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其製造方法。The embodiments of the present invention are related to semiconductor technology, and particularly related to semiconductor devices and manufacturing methods thereof.

半導體積體電路(integrated circuit,IC)工業已經歷了快速成長。在積體電路材料和設計上的技術進步產生了數代積體電路,每一代都比前一代具有更小且更複雜的電路。在積體電路的發展史中,功能密度(即每一晶片區互連的裝置數目)增加,同時幾何尺寸(即製造過程中所產生的最小的組件(或線路))縮小。此微縮化製程一般透過增加生產效率及降低相關成本來提供優點。此微縮化也增加了加工及製造積體電路的複雜性,且為了實現這些進步,需要在積體電路的加工和製造中進行相似的發展。The semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in integrated circuit materials and design have produced several generations of integrated circuits, each of which has smaller and more complex circuits than the previous generation. In the history of the development of integrated circuits, functional density (that is, the number of interconnected devices per chip area) has increased, while geometric size (that is, the smallest component (or circuit) produced during the manufacturing process) has shrunk. This miniaturization process generally provides advantages by increasing production efficiency and reducing related costs. This miniaturization has also increased the complexity of processing and manufacturing integrated circuits, and in order to realize these advances, similar developments in the processing and manufacturing of integrated circuits are required.

舉例來說,當形成鰭式場效電晶體(fin field effect transistor,FINFET)的源極/汲極(source/drain,S/D)部件時,源極/汲極部件的一部分磊晶成長於鰭式場效電晶體的源極/汲極區中。在不同鰭上的多個磊晶源極/汲極部件可在其成長期間合併以形成合併的源極/汲極部件。如此一來,單一接觸部件可形成於合併的源極/汲極部件上以控制多個鰭的源極/汲極。雖然合併的源極/汲極部件為有用的積體電路結構,但是合併的源極/汲極部件的形狀輪廓通常難以控制。雖然現有的源極/汲極形成製程通常已滿足其預期目的,但是現有的源極/汲極形成製程在各方面不完全令人滿意(例如實現用於n型或p型鰭式場效電晶體的不同形狀輪廓)。For example, when forming the source/drain (S/D) components of a fin field effect transistor (FINFET), part of the source/drain components is epitaxially grown on the fin In the source/drain region of a FET. Multiple epitaxial source/drain features on different fins can be combined during their growth to form a combined source/drain feature. In this way, a single contact feature can be formed on the combined source/drain feature to control the source/drain of multiple fins. Although the combined source/drain component is a useful integrated circuit structure, the shape and profile of the combined source/drain component are often difficult to control. Although the existing source/drain formation process usually meets its intended purpose, the existing source/drain formation process is not completely satisfactory in all respects (for example, it can be used for n-type or p-type fin field effect transistors). Of different shapes and contours).

在一些實施例中,提供半導體裝置的製造方法,此方法包含提供半導體結構,半導體結構具有:基底;以及第一鰭、第二鰭、第三鰭和第四鰭,位於基底上方;在第一鰭和第二鰭上形成n型磊晶源極/汲極部件;在第三鰭和第四鰭上形成p型磊晶源極/汲極部件;以及對半導體結構進行選擇性蝕刻製程以移除n型磊晶源極/汲極部件和p型磊晶源極/汲極部件的上部,使得n型磊晶源極/汲極部件的移除部分比p型磊晶源極/汲極部件的移除部分更多。In some embodiments, a method of manufacturing a semiconductor device is provided. The method includes providing a semiconductor structure, the semiconductor structure having: a substrate; and a first fin, a second fin, a third fin, and a fourth fin located above the substrate; N-type epitaxy source/drain features are formed on the fin and the second fin; p-type epitaxy source/drain features are formed on the third and fourth fin; and a selective etching process is performed on the semiconductor structure to remove Except for the upper part of the n-type epitaxial source/drain part and the p-type epitaxial source/drain part, the n-type epitaxial source/drain part is removed more than the p-type epitaxial source/drain part There are more parts to remove.

在一些其他實施例中,提供半導體裝置的製造方法,此方法包含提供具有基底及在基底之上的第一鰭和第二鰭的半導體結構;移除第一鰭和第二鰭的上部,而保留第一鰭和第二鰭的下部;在第一鰭和第二鰭的下部上成長第一磊晶源極/汲極部件和第二磊晶源極/汲極部件,使得第一磊晶源極/汲極部件和第二磊晶源極/汲極部件合併,進而形成有著可控制的合併高度的合併源極/汲極部件;在合併源極/汲極部件中形成凹陷溝槽;以及以源極/汲極接點填充凹陷溝槽,源極/汲極接點電性連接合併源極/汲極部件。In some other embodiments, a method of manufacturing a semiconductor device is provided. The method includes providing a semiconductor structure having a substrate and a first fin and a second fin on the substrate; removing the upper portion of the first fin and the second fin, and Keep the lower part of the first fin and the second fin; grow the first epitaxial source/drain part and the second epitaxial source/drain part on the lower part of the first fin and the second fin, so that the first epitaxial The source/drain component and the second epitaxial source/drain component are combined to form a combined source/drain component with a controllable combined height; a recessed trench is formed in the combined source/drain component; And filling the recessed trench with the source/drain contacts, the source/drain contacts are electrically connected to merge the source/drain components.

在另外一些實施例中,提供半導體裝置,半導體裝置包含基底;第一鰭、第二鰭、第三鰭和第四鰭,從基底突出;n型磊晶源極/汲極部件,設置於第一鰭和第二鰭上;第一源極/汲極接點,設置於n型磊晶源極/汲極部件上;p型磊晶源極/汲極部件,設置於第三鰭和第四鰭上;第二源極/汲極接點,設置於p型磊晶源極/汲極部件上,其中第一源極/汲極接點的底表面低於第二源極/汲極接點的底表面。In some other embodiments, a semiconductor device is provided. The semiconductor device includes a substrate; a first fin, a second fin, a third fin, and a fourth fin protruding from the substrate; A fin and a second fin; the first source/drain contact is arranged on the n-type epitaxial source/drain part; the p-type epitaxial source/drain part is arranged on the third fin and the second fin On four fins; the second source/drain contact is arranged on the p-type epitaxial source/drain component, wherein the bottom surface of the first source/drain contact is lower than the second source/drain The bottom surface of the contact.

要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。It should be understood that the following disclosure provides many different embodiments or examples to implement different components of the provided body. Specific examples of each component and its arrangement are described below in order to simplify the description of the disclosure. Of course, these are only examples and are not intended to limit the present invention. For example, the following disclosure describes that a first part is formed on or above a second part, which means that it includes an embodiment in which the formed first part and the second part are in direct contact, and also includes This is an embodiment in which an additional component can be formed between the first component and the second component, and the first component and the second component may not be in direct contact. In addition, different examples in the disclosure may use repeated reference symbols and/or words. These repeated symbols or words are used for the purpose of simplification and clarity, and are not used to limit the relationship between the various embodiments and/or the appearance structure.

再者,以下的一部件形成於另一部件上、連接至另一部件及/或耦接至另一部件的情況可包含形成部件為直接接觸的實施例,也可包含形成額外部件插入這些部件之間的實施例,使得這些部件可能不直接接觸。此外,為了方便描述圖式中一部件與另一部件的關係,可使用空間相關用語,例如“下方”、“上方”、“水平”、“垂直”、“在…之上”、“上方”、“在...之下”、“下方”、“上”、“下”、“頂部”、“底部”等以及前述之衍生字(例如“水平地”、“垂直地”、“向上地”等)。空間相關用語旨在涵蓋包含部件的裝置的不同方位。再者,當用“大約”、“近似”及類似術語描述數字或數字範圍時,此術語目的在涵蓋合理範圍中的數字,例如在所描述的數字的+/- 10%之內,或本領域技術人員可理解的其他數值。舉例來說,術語“約5nm”涵蓋4.5nm至5.5nm的尺寸範圍。Furthermore, the following cases where a component is formed on another component, connected to another component and/or coupled to another component may include an embodiment in which the component is formed in direct contact, or may include forming an additional component and inserting these components Between the embodiments, these components may not be in direct contact. In addition, in order to facilitate the description of the relationship between one component and another component in the diagram, space-related terms can be used, such as "below", "above", "horizontal", "vertical", "above", "above" , "Below", "below", "above", "below", "top", "bottom", etc. and derivatives of the foregoing (such as "horizontally", "vertically", "upwardly "Wait). Spatially related terms are intended to cover different orientations of devices containing components. Furthermore, when "about", "approximately" and similar terms are used to describe numbers or ranges of numbers, the purpose of this term is to cover numbers within a reasonable range, such as within +/- 10% of the number described, or Other numerical values understandable by those skilled in the art. For example, the term "about 5 nm" encompasses the size range of 4.5 nm to 5.5 nm.

在半導體電晶體裝置製造期間,由於多個磊晶源極/汲極部件在其成長期間合併,因此有時難以精準控制合併的源極/汲極部件的高度(被稱為“合併高度”(merge height,MH))。由於源極/汲極接觸部件在合併的源極/汲極部件之上,因此合併高度可影響源極/汲極接觸部件與附近的金屬閘極結構之間的電容(C)。再者,當蝕刻用於沉積源極/汲極接觸部件的接觸孔時,有時難以同時最佳化用於n型鰭式場效電晶體和p型鰭式場效電晶體的接觸孔輪廓。取決於合併的源極/汲極部件是用於n型鰭式場效電晶體或p型鰭式場效電晶體,其理想的接觸孔輪廓也不同。舉例來說,對於n型鰭式場效電晶體,在合併的源極/汲極部件上的較深的接觸凹口可降低接觸部件與合併的源極/汲極部件之間的接觸電阻(R)。對於p型鰭式場效電晶體,較深的接觸凹口可不利地增加接觸電阻。 During the manufacturing of semiconductor transistor devices, since multiple epitaxial source/drain components are merged during their growth, it is sometimes difficult to precisely control the height of the combined source/drain components (referred to as "merging height" ( merge height, MH)). Since the source/drain contact feature is above the combined source/drain feature, the combined height can affect the capacitance (C) between the source/drain contact feature and the nearby metal gate structure. Furthermore, when etching the contact holes used to deposit the source/drain contact features, it is sometimes difficult to optimize the contact hole profile for both the n-type fin field effect transistor and the p-type fin field effect transistor at the same time. Depending on whether the combined source/drain components are used for n-type fin field effect transistors or p-type fin field effect transistors, the ideal contact hole profile is also different. For example, for an n-type fin field effect transistor, a deeper contact recess on the combined source/drain component can reduce the contact resistance between the contact component and the combined source/drain component (R ). For p-type fin field effect transistors, a deeper contact notch can disadvantageously increase the contact resistance.

本發明實施例提供具有用於降低電阻和電容的最佳化形狀輪廓的磊晶結構的形成方法。依據一些實施例,合併的源極/汲極部件可形成有著升高的合併高度(MH)。升高的合併高度縮減源極/汲極部件與附近的金屬閘極的重疊區域,因此降低了其間的電容(C)。升高的合併高度透過多種技術實現。作為第一範例,在形成合併的源極/汲極部件之前,部分蝕刻兩個下方鰭以提供用於磊晶成長源極/汲極部件的空間。在此部分蝕刻製程期間,維持較高的剩下鰭側壁高度會增加合併高度。鰭側壁高度以及因此升高的合併高度可比傳統製造過程大約3-15nm的範圍中,或是鰭間距的約0.1-0.5倍。作為第二範例,可調整源極/汲極部件的磊晶成長條件,以延遲在鰭上的個別源極/汲極部件的合併。以下結合圖式描述實施例的細節。 The embodiment of the present invention provides a method for forming an epitaxial structure having an optimized shape profile for reducing resistance and capacitance. According to some embodiments, the merged source/drain features may be formed with an elevated merge height (MH). The increased merging height reduces the overlap area between the source/drain components and the nearby metal gate, thus reducing the capacitance (C) therebetween. The increased combined height is achieved through multiple technologies. As a first example, before forming the combined source/drain features, the two lower fins are partially etched to provide space for epitaxial growth of the source/drain features. During this part of the etching process, maintaining a higher height of the remaining fin sidewalls will increase the combined height. The height of the sidewalls of the fins and therefore the increased combined height may be in the range of about 3-15 nm compared to the conventional manufacturing process, or about 0.1-0.5 times the fin pitch. As a second example, the epitaxial growth conditions of the source/drain features can be adjusted to delay the merging of the individual source/drain features on the fin. The details of the embodiments are described below in conjunction with the drawings.

第1圖顯示依據本發明一些實施例之形成半導體裝置100(此後也被簡稱為裝置)的方法10的流程圖。方法10僅為範例,且不意圖將本發明實施例限制在申請專利範圍中明確記載的範圍之外。可在方法10之前、期間及之後進行額外的操作,且對於此方法的其他實施例,可取代、消除或移動所描述的一些操作。以下結合其他圖式描述方法10,其他圖式顯示在方法10的中間步驟期間半導體裝置100的各個三維視圖和剖面示意圖。特別來說,第2A圖顯示半導體裝置100的三維視圖。第2B、3A和4圖顯示沿第2A圖的線AA的半導體裝置100的 剖面示意圖。第2C和3B圖顯示沿第2A圖的線BB的半導體裝置100的剖面示意圖。第5和7圖顯示沿線AA的半導體裝置100的放大視圖,但是有著額外的合併磊晶源極/汲極部件。第6A-6E圖顯示第5圖經過凹口形成製程的局部視圖。 FIG. 1 shows a flowchart of a method 10 of forming a semiconductor device 100 (hereinafter also referred to simply as a device) according to some embodiments of the present invention. Method 10 is only an example, and it is not intended to limit the embodiments of the present invention to the scope clearly stated in the scope of the patent application. Additional operations may be performed before, during, and after method 10, and for other embodiments of this method, some of the operations described may be replaced, eliminated, or moved. The method 10 is described below in conjunction with other figures. The other figures show various three-dimensional views and cross-sectional schematic diagrams of the semiconductor device 100 during the intermediate steps of the method 10. In particular, FIG. 2A shows a three-dimensional view of the semiconductor device 100. Figures 2B, 3A, and 4 show the semiconductor device 100 along the line AA of Figure 2A Schematic cross-section. 2C and 3B show schematic cross-sectional views of the semiconductor device 100 along the line BB of FIG. 2A. Figures 5 and 7 show enlarged views of the semiconductor device 100 along line AA, but with additional combined epitaxial source/drain components. Figures 6A-6E show a partial view of Figure 5 through the notch forming process.

半導體裝置100可為積體電路(IC)或積體電路的一部分的加工期間製造的中間裝置,其可包括靜態隨機存取記憶體(static random-access memory,SRAM)及/或其他邏輯電路、被動組件(例如電阻、電容、電感)和主動組件(例如p型場效電晶體(PFETs)、n型場效電晶體(NFETs)、鰭式場效電晶體(FINFETs)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors,MOSFET)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極性電晶體、高壓電晶體、高頻電晶體及/或其他記憶體單元)。本發明實施例不限於任何特定數量的裝置或裝置區或任何特定的裝置配置。舉例來說,雖然顯示的半導體裝置100為三維場效電晶體裝置(例如鰭式場效電晶體或閘極環繞(gate-all-around,GAA)電晶體),但是本揭露也可提供用於製造平面場效電晶體裝置的實施例。 The semiconductor device 100 may be an integrated circuit (IC) or an intermediate device manufactured during the processing of a part of the integrated circuit, which may include static random-access memory (SRAM) and/or other logic circuits, Passive components (e.g. resistors, capacitors, inductors) and active components (e.g. p-type field effect transistors (PFETs), n-type field effect transistors (NFETs), fin field effect transistors (FINFETs), metal oxide semiconductor field effect Transistors (metal-oxide semiconductor field effect transistors, MOSFET), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high-voltage transistors, high-frequency transistors and/or others Memory unit). The embodiments of the present invention are not limited to any specific number of devices or device regions or any specific device configuration. For example, although the semiconductor device 100 shown is a three-dimensional field-effect transistor device (such as a fin-type field-effect transistor or a gate-all-around (GAA) transistor), the present disclosure may also provide for manufacturing An embodiment of a planar field effect transistor device.

請參照第1和2A-2C圖,方法10在操作12提供(或提供有著)開始的半導體裝置100,半導體裝置100包含從基底102突出且透過隔離結構104隔開的一個或多個半導體鰭106(有時被簡稱為“鰭”)。虛設閘極堆疊物107設置於基底102上方且與半導體鰭106相交。半導體裝置100可包含其他組件,例如設置於虛設閘極堆疊物107的側壁上的閘極間隙壁(未顯示)、設置於虛設閘極堆疊物107上方的各種硬遮罩層(以下詳細討論)、阻障層、其他合適層或前述之組合。 Referring to FIGS. 1 and 2A-2C, the method 10 provides (or provides) a semiconductor device 100 starting at operation 12. The semiconductor device 100 includes one or more semiconductor fins 106 protruding from the substrate 102 and separated by the isolation structure 104 (Sometimes referred to as "fin"). The dummy gate stack 107 is disposed above the substrate 102 and intersects the semiconductor fin 106. The semiconductor device 100 may include other components, such as gate spacers (not shown) disposed on the sidewalls of the dummy gate stack 107, various hard mask layers disposed on the dummy gate stack 107 (discussed in detail below) , Barrier layer, other suitable layers or a combination of the foregoing.

基底102可包括元素(單一元素)半導體(例如矽、鍺及/或其他合適的材料)、化合物半導體(例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化 銦及/或其他合適的材料)、合金半導體(例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP及/或其他合適的材料)。基底102可為具有一致成分的單一層材料。或者,基底102可包含具有適用於積體電路裝置製造的相似或不同成分的多個材料層。在一範例中,基底102可為具有矽層形成於氧化矽層上的絕緣層覆矽(silicon-on-insulator,SOI)基底。在另一範例中,基底102可包含導電層、半導體層、其他層或前述之組合。 The substrate 102 may include elemental (single element) semiconductors (e.g., silicon, germanium and/or other suitable materials), compound semiconductors (e.g., silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, antimony Indium and/or other suitable materials), alloy semiconductors (such as SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP and/or other suitable materials). The substrate 102 may be a single layer of material with uniform composition. Alternatively, the substrate 102 may include multiple material layers with similar or different compositions suitable for the manufacture of integrated circuit devices. In one example, the substrate 102 may be a silicon-on-insulator (SOI) substrate with a silicon layer formed on a silicon oxide layer. In another example, the substrate 102 may include a conductive layer, a semiconductor layer, other layers, or a combination of the foregoing.

在基底102包含場效電晶體的一些實施例中,各種摻雜區(例如源極/汲極區)設置於基底102中或基底102上。取決於設計需求,摻雜區可摻雜p型雜質(例如磷或砷)及/或n型雜質(例如硼或BF2)。摻雜區可直接形成於基底102上、p型井結構中、n型井結構中、雙井結構中或使用凸起結構。摻雜區可透過佈植摻雜原子、原位摻雜磊晶成長及/或其他合適技術形成。 In some embodiments where the substrate 102 includes a field-effect transistor, various doped regions (such as source/drain regions) are disposed in or on the substrate 102. Depending on design requirements, the doped region may be doped with p-type impurities (such as phosphorus or arsenic) and/or n-type impurities (such as boron or BF 2 ). The doped region may be directly formed on the substrate 102, in a p-type well structure, in an n-type well structure, in a dual-well structure, or use a raised structure. The doped region can be formed by implanting dopant atoms, in-situ doped epitaxial growth, and/or other suitable techniques.

半導體鰭106可適用於提供n型場效電晶體或p型場效電晶體。在一些實施例中,本文顯示的半導體鰭106可適用於提供相似類型的鰭式場效電晶體,即皆為n型或皆為p型。或者,本文顯示的半導體鰭106可適用於提供相反類型的鰭式場效電晶體,即n型和p型。此配置為顯示目的,但不限於此。半導體鰭106可透過使用合適的製程(包含光微影和蝕刻製程)製造。光微影製程可包含在基底102上方形成光阻層(光阻)、將光阻曝光於圖案、進行曝光後烘烤製程以及將光阻顯影,以形成包含光阻的遮罩元件(未顯示)。接著,在基底102中蝕刻凹口時使用遮罩元件,在基底102上留下半導體鰭106。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程。 The semiconductor fin 106 may be suitable for providing an n-type field effect transistor or a p-type field effect transistor. In some embodiments, the semiconductor fin 106 shown herein can be adapted to provide similar types of fin field effect transistors, that is, all n-type or all p-type. Alternatively, the semiconductor fin 106 shown herein may be adapted to provide opposite types of fin field effect transistors, namely n-type and p-type. This configuration is for display purposes, but not limited to this. The semiconductor fin 106 can be manufactured by using a suitable process (including photolithography and etching processes). The photolithography process may include forming a photoresist layer (photoresist) on the substrate 102, exposing the photoresist to a pattern, performing a post-exposure baking process, and developing the photoresist to form a mask element (not shown) containing photoresist ). Next, a mask element is used when etching the notch in the substrate 102, leaving the semiconductor fin 106 on the substrate 102. The etching process may include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes.

用於形成半導體鰭106的許多其他方法實施例可為合適的。舉例來說,半導體鰭106可透過使用雙重圖案化或多重圖案化製程來圖案化。一般來 說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物或心軸(mandrel)將鰭圖案化。在一些實施例中,在形成半導體鰭106之後,半導體鰭106具有高度(以符號F_H標記於第2C圖)在約50至約90nm之間。此鰭高度對於裝置效能和直流/交流(direct current/alternating current,DC/AC)平衡很重要。 Many other method embodiments for forming the semiconductor fin 106 may be suitable. For example, the semiconductor fin 106 can be patterned by using a double patterning or multiple patterning process. Usually In other words, the double patterning or multiple patterning process combines photolithography and self-alignment processes to create a pattern with a smaller pitch. For example, this pattern has a larger pitch than can be obtained using a single direct photolithography process. Small patterns. For example, in one embodiment, the sacrificial layer is formed on the substrate and patterned by using a photolithography process. The spacer is formed beside the patterned sacrificial layer by using a self-aligned process. Next, the sacrificial layer is removed, and the remaining spacers or mandrels can then be used to pattern the fins. In some embodiments, after the semiconductor fin 106 is formed, the semiconductor fin 106 has a height (marked with the symbol F_H in FIG. 2C) between about 50 to about 90 nm. The height of the fin is important for device performance and direct current/alternating current (DC/AC) balance.

每個半導體鰭106包含通道區106b和兩個源極/汲極區106a將通道區106b夾設於之間。源極/汲極區106a用於作為場效電晶體的源極和汲極,而位於虛設閘極堆疊物107下方的通道區106b用於作為連接源極和汲極的通道區。在一些實施例中,方法10可包含在半導體鰭106的源極/汲極區106a中形成輕摻雜汲極(lightly doped drain,LDD)部件。 Each semiconductor fin 106 includes a channel region 106b and two source/drain regions 106a sandwiching the channel region 106b therebetween. The source/drain region 106a is used as the source and drain of the field effect transistor, and the channel region 106b under the dummy gate stack 107 is used as the channel region connecting the source and drain. In some embodiments, the method 10 may include forming a lightly doped drain (LDD) feature in the source/drain region 106a of the semiconductor fin 106.

隔離結構104可包含氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、低介電常數介電材料及/或其他合適的材料。隔離結構104可包含淺溝槽隔離(shallow trench isolation,STI)部件。在一實施例中,隔離結構104透過在形成半導體鰭106期間在基底102中蝕刻溝槽來形成。接著,透過沉積製程以上述的隔離材料填充溝槽,接著進行化學機械平坦化(chemical mechanical planarization,CMP)製程。可使用其他隔離結構例如場氧化物、矽局部氧化(local oxidation of silicon,LOCOS)及/或其他合適的結構作為隔離結構104。或者,隔離結構104可包含多層結構,例如具有一個或多個熱氧化襯墊層。隔離結構104可透過任何合適的方法沉積,例如化學氣相沉積 (chemical vapor deposition,CVD)、可流動化學氣相沉積(flowable CVD,FCVD)、旋塗玻璃(spin-on-glass,SOG)、其他合適的方法或前述之組合。隔離結構104可透過在半導體鰭106上方沉積介電層作為間隔層,之後將介電層凹陷使得隔離結構104的頂表面在半導體鰭106的頂表面下方來形成。 The isolation structure 104 may include silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), low-k dielectric materials, and/or other suitable materials. The isolation structure 104 may include shallow trench isolation (STI) features. In one embodiment, the isolation structure 104 is formed by etching trenches in the substrate 102 during the formation of the semiconductor fin 106. Then, the trench is filled with the above-mentioned isolation material through a deposition process, and then a chemical mechanical planarization (CMP) process is performed. Other isolation structures such as field oxide, local oxidation of silicon (LOCOS) and/or other suitable structures can be used as the isolation structure 104. Alternatively, the isolation structure 104 may include a multilayer structure, for example, having one or more thermal oxide liner layers. The isolation structure 104 can be deposited by any suitable method, such as chemical vapor deposition (chemical vapor deposition, CVD), flowable CVD (FCVD), spin-on-glass (SOG), other suitable methods, or a combination of the foregoing. The isolation structure 104 can be formed by depositing a dielectric layer above the semiconductor fin 106 as a spacer layer, and then recessing the dielectric layer so that the top surface of the isolation structure 104 is below the top surface of the semiconductor fin 106.

在一些實施例中,虛設閘極堆疊物107作為後續形成高介電常數閘極結構(high-k metal gate structure,HKMG)(“high-k”代表介電常數大於二氧化矽的介電常數,二氧化矽的介電常數約3.9)的佔位物。虛設閘極堆疊物107可包含設置於通道區106b上方的氧化層108。氧化層108可透過任何合適的方法形成,其可包含沉積和蝕刻。氧化層108可包括氧化矽或高介電常數氧化物(具有介電常數大於氧化矽的介電常數),例如Hf氧化物、Ta氧化物、Ti氧化物、Zr氧化物、Al氧化物或前述之組合。氧化層108可形成為具有厚度幾埃(Å)至幾十埃。 In some embodiments, the dummy gate stack 107 serves as the subsequent formation of a high-k metal gate structure (HKMG) ("high-k" represents a dielectric constant greater than that of silicon dioxide , The dielectric constant of silicon dioxide is about 3.9) placeholder. The dummy gate stack 107 may include an oxide layer 108 disposed above the channel region 106b. The oxide layer 108 may be formed by any suitable method, which may include deposition and etching. The oxide layer 108 may include silicon oxide or high dielectric constant oxide (having a dielectric constant greater than that of silicon oxide), such as Hf oxide, Ta oxide, Ti oxide, Zr oxide, Al oxide or the foregoing的组合。 The combination. The oxide layer 108 may be formed to have a thickness of several angstroms (Å) to several tens of angstroms.

虛設閘極堆疊物107可包含虛設閘極電極110。在一些實施例中,虛設閘極電極110包含多晶矽。在顯示的實施例中,請參照第2C圖,虛設閘極堆疊物107也包含設置於虛設閘極電極110上方的硬遮罩層112及/或設置於硬遮罩層112上方的硬遮罩層114。側壁間隙壁113形成於虛設閘極電極110的側壁上。如以下將詳細討論,在製造半導體裝置100的其他組件(例如壘晶源極/汲極部件250)之後的閘極取代製程期間,以高介電常數閘極結構取代虛設閘極堆疊物107。硬遮罩層112和114可各包含任何合適的介電材料,例如半導體氧化物及/或半導體氮化物。在一範例中,硬遮罩層112包含氮碳化矽,且硬遮罩層114包含氧化矽。虛設閘極堆疊物107的各個材料層可透過合適的製程形成,例如化學氣相沉積(CVD)、物理氣相沉積(physical vapor depositon,PVD)、原子層沉積(atomic layer deposition)、化學氧化、其他合適的製程或前述之組合。 The dummy gate stack 107 may include a dummy gate electrode 110. In some embodiments, the dummy gate electrode 110 includes polysilicon. In the embodiment shown, referring to FIG. 2C, the dummy gate stack 107 also includes a hard mask layer 112 disposed above the dummy gate electrode 110 and/or a hard mask disposed above the hard mask layer 112层114. The sidewall spacer 113 is formed on the sidewall of the dummy gate electrode 110. As will be discussed in detail below, during the gate replacement process after manufacturing other components of the semiconductor device 100 (such as the barrier source/drain component 250), the dummy gate stack 107 is replaced with a high-k gate structure. The hard mask layers 112 and 114 may each include any suitable dielectric material, such as semiconductor oxide and/or semiconductor nitride. In one example, the hard mask layer 112 includes silicon carbide nitride, and the hard mask layer 114 includes silicon oxide. The various material layers of the dummy gate stack 107 can be formed by a suitable process, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (atomic layer deposition), chemical oxidation, Other suitable processes or combinations of the foregoing.

請參照第1和3A-3B圖,方法10在操作14例如透過移除半導體鰭106的上部而在源極/汲極區106a中保留半導體鰭106的下部來縮短源極/汲極區106a的部分。在許多實施例中,方法10使用合適的蝕刻製程,例如乾蝕刻製程、濕蝕刻製程或反應性離子蝕刻製程。在一些實施例中,方法10選擇性地移除半導體鰭106而不蝕刻或大致不蝕刻例如虛設閘極堆疊物107的其他組件。在操作14的蝕刻製程可使用包含含溴氣體(例如HBr及/或CHBr3)、含氟氣體(例如CF4、SF6、CH2F2、CHF3及/或C2F6)、其他合適的氣體或前述之組合的蝕刻劑的乾蝕刻製程。移除半導體鰭106的程度可透過調整蝕刻製程的條件(例如壓力、溫度、持續時間)來控制。在一些實施例中,蝕刻氣體使用包含HBr和CF4的蝕刻劑,壓力在約5與約30mTorr之間,且溫度在約30與約60℃之間。在一些實施例中,蝕刻持續約5至約10秒。在一些實施例中,如第3B圖所示,蝕刻製程在操作14移除半導體鰭106的上部,使得半導體鰭106的剩下高度(以FSW_H標註於第3B圖中,其中FSW代表鰭側壁)在半導體鰭106原始高度(即F_H)的約30%與約40%之間。如以下所述,保留此相對高的剩下鰭側壁高度有助於增加合併磊晶源極/汲極部件的合併高度。 Please refer to FIGS. 1 and 3A-3B. In operation 14, the method 10 shortens the source/drain region 106a by removing the upper part of the semiconductor fin 106 while retaining the lower part of the semiconductor fin 106 in the source/drain region 106a. section. In many embodiments, the method 10 uses a suitable etching process, such as a dry etching process, a wet etching process, or a reactive ion etching process. In some embodiments, the method 10 selectively removes the semiconductor fin 106 without etching or substantially without etching other components such as the dummy gate stack 107. The etching process in operation 14 may include bromine-containing gas (such as HBr and/or CHBr 3 ), fluorine-containing gas (such as CF 4 , SF 6 , CH 2 F 2 , CHF 3 and/or C 2 F 6 ), and others Dry etching process with suitable gas or a combination of the aforementioned etchant. The degree of removing the semiconductor fin 106 can be controlled by adjusting the conditions of the etching process (such as pressure, temperature, and duration). In some embodiments, the etching gas uses an etchant containing HBr and CF 4 , the pressure is between about 5 and about 30 mTorr, and the temperature is between about 30 and about 60°C. In some embodiments, the etching lasts about 5 to about 10 seconds. In some embodiments, as shown in FIG. 3B, the etching process removes the upper portion of the semiconductor fin 106 in operation 14 so that the remaining height of the semiconductor fin 106 (marked as FSW_H in FIG. 3B, where FSW represents the sidewall of the fin) Between about 30% and about 40% of the original height of the semiconductor fin 106 (ie F_H). As described below, retaining this relatively high remaining fin sidewall height helps increase the combined height of the combined epitaxial source/drain features.

在縮短源極/汲極區106a之後,方法10進行至在縮短的源極/汲極區106a上形成磊晶源極/汲極部件。第4圖顯示聚焦在成長於半導體鰭106上的兩個磊晶源極/汲極部件120A和120B且最終合併為一個合併磊晶源極/汲極部件120的簡化視圖。請參照第1和4圖,方法10在操作16在位於虛設閘極堆疊物107的同一側的兩個源極/汲極區106a上成長磊晶源極/汲極部件120A和120B。磊晶源極/汲極部件120A和120B一開始個別成長於個別鰭上,且最終在特定高度(被稱為合併高度(MH))合併在一起,以形成合併磊晶源極/汲極部件120。 After shortening the source/drain region 106a, method 10 proceeds to forming epitaxial source/drain features on the shortened source/drain region 106a. FIG. 4 shows a simplified view focusing on two epitaxial source/drain components 120A and 120B grown on the semiconductor fin 106 and finally merged into one combined epitaxial source/drain component 120. Referring to FIGS. 1 and 4, in method 10, in operation 16, epitaxial source/drain features 120A and 120B are grown on two source/drain regions 106a located on the same side of the dummy gate stack 107. The epitaxial source/drain components 120A and 120B are initially grown on individual fins individually, and finally merged together at a specific height (called merge height (MH)) to form merged epitaxial source/drain components 120.

本發明實施例使得合併磊晶源極/汲極部件120實現用於降低電阻和電容的最佳化形狀輪廓。在一些實施例中,透過控制成長條件及透過增加剩下的鰭高度(FSW_H)為約3至約15nm,合併磊晶源極/汲極部件120可具有升高的合併高度(MH)例如比使用其他技術形成的合併磊晶源極/汲極部件更高約2至約10nm。此升高的合併高度縮小合併磊晶源極/汲極部件120與附近金屬閘極(將形成作為取代虛設閘極堆疊物107)的重疊區域,且因此降低其間的電容(C)。 The embodiment of the present invention enables the combined epitaxy source/drain component 120 to achieve an optimized shape profile for reducing resistance and capacitance. In some embodiments, by controlling the growth conditions and by increasing the remaining fin height (FSW_H) from about 3 to about 15 nm, the combined epitaxial source/drain component 120 may have an increased combined height (MH) such as ratio The combined epitaxy source/drain features formed using other technologies are about 2 to about 10 nm taller. This increased merging height shrinks the overlapping area of the merged epitaxy source/drain feature 120 and the nearby metal gate (which will be formed as a replacement dummy gate stack 107), and thus reduces the capacitance (C) therebetween.

合併磊晶源極/汲極部件120的升高的合併高度可透過多種技術達成。在一範例中(如上述參考操作14),在形成合併磊晶源極/汲極部件120之前,部分蝕刻兩個下方的半導體鰭106以提供用於磊晶成長源極/汲極部件的空間。在部分蝕刻製程期間,保留較高的剩下鰭側壁高度(例如鰭高度的約30%至約40%)有助於增加合併磊晶源極/汲極部件120的合併高度。 The increased combined height of the combined epitaxial source/drain component 120 can be achieved through a variety of technologies. In an example (as in reference operation 14 above), before forming the combined epitaxial source/drain feature 120, the two underlying semiconductor fins 106 are partially etched to provide space for epitaxial growth of the source/drain feature . During the partial etching process, retaining a high remaining fin sidewall height (for example, about 30% to about 40% of the fin height) helps to increase the combined height of the combined epitaxial source/drain features 120.

在另一範例中,可調整源極/汲極部件的磊晶成長條件以延遲在半導體鰭106上的個別磊晶源極/汲極部件120A和120B的合併。在一實施例中,合併磊晶源極/汲極部件120具有多層半導體材料,多層半導體材料包含第一層(L1)(有時被稱為第一磊晶層)(未顯示)、第二層(L2-1)(有時被稱為第二磊晶層)(未顯示)和第三層(L2-2)(有時被稱為第三磊晶層)。改變這些層的形成條件可導致可控制的合併高度。在一些實施例中,第一層L1沉積於源極/汲極區106a的頂表面和側壁表面上。再者,第二層L2-1環繞第一層L1。在第4圖顯示的實施例中,磊晶源極/汲極部件120A和120B的第一層L1不合併,但是磊晶源極/汲極部件120A和120B的第二層L2-1橫向合併(即彼此接觸)。為了形成第4圖所示的結構,在成長第三層L2-2之前,操作16等到第二層L2-1橫向合併。可以注意的是,取決於兩個半導體鰭106之間的橫向距離(沿“x方向”)和磊晶成長的控制,第一層L1和第二 層L2-1可形成具有不同的合併輪廓。 In another example, the epitaxial growth conditions of the source/drain features can be adjusted to delay the merging of the individual epitaxial source/drain features 120A and 120B on the semiconductor fin 106. In one embodiment, the combined epitaxial source/drain component 120 has multiple layers of semiconductor material, and the multiple layers of semiconductor material include a first layer (L1) (sometimes referred to as a first epitaxial layer) (not shown), and a second Layer (L2-1) (sometimes referred to as the second epitaxial layer) (not shown) and the third layer (L2-2) (sometimes referred to as the third epitaxial layer). Changing the formation conditions of these layers can result in a controllable merging height. In some embodiments, the first layer L1 is deposited on the top surface and the sidewall surface of the source/drain region 106a. Furthermore, the second layer L2-1 surrounds the first layer L1. In the embodiment shown in Figure 4, the first layer L1 of the epitaxial source/drain features 120A and 120B is not merged, but the second layer L2-1 of the epitaxial source/drain features 120A and 120B is merged laterally (Ie touching each other). In order to form the structure shown in FIG. 4, before the third layer L2-2 is grown, operation 16 waits until the second layer L2-1 is merged laterally. It can be noted that, depending on the lateral distance (along the "x direction") between the two semiconductor fins 106 and the control of epitaxial growth, the first layer L1 and the second layer L1 The layer L2-1 can be formed with different merged profiles.

在各種實施例中,不同的磊晶層可包括相同或不同的半導體材料,例如矽、鍺、矽鍺、一個或多個第III-V族材料、化合物半導體或合金半導體。在一實施例中,半導體鰭106包括矽,且磊晶層包括矽鍺。磊晶成長製程可為有著矽基前驅物的低壓化學氣相沉積製程、選擇性磊晶成長(selective epitaxial growth,SEG)製程或循環沉積蝕刻(cyclic deposition and etching,CDE)製程。舉例來說,可以有著矽烷(SiH4)和二氯矽烷(dichlorosilane,DCS)氣體的低壓化學氣相沉積成長矽結晶。可控制的合併高度可取決於矽烷(SiH4)和二氯矽烷(DCS)氣體之間的比例,其用於形成第二層L2-1。在一些實施例中,可控制的合併高度不小於半導體鰭106的高度(F_H)的約55%。 In various embodiments, the different epitaxial layers may include the same or different semiconductor materials, such as silicon, germanium, silicon germanium, one or more group III-V materials, compound semiconductors, or alloy semiconductors. In one embodiment, the semiconductor fin 106 includes silicon, and the epitaxial layer includes silicon germanium. The epitaxial growth process can be a low-pressure chemical vapor deposition process with a silicon-based precursor, a selective epitaxial growth (SEG) process, or a cyclic deposition and etching (CDE) process. For example, silicon crystals can be grown by low-pressure chemical vapor deposition with silane (SiH 4) and dichlorosilane (DCS) gases. The controllable merging height may depend on the ratio between silane (SiH 4 ) and dichlorosilane (DCS) gases, which are used to form the second layer L2-1. In some embodiments, the controllable combined height is not less than about 55% of the height (F_H) of the semiconductor fin 106.

在一些實施例中,合併磊晶源極/汲極部件120包含:成長於第一和第二半導體鰭106的下部上的半導體材料的第一層(L1)、成長於第一層L1上的半導體材料的第二層(L2-1)和成長於第二層L2-1上的半導體材料的第三層(L2-2)。在一實施例中,第一半導體鰭106上的第一層L1不與第二半導體鰭106上的第一層L1合併,而第一半導體鰭106上的第二層L2-1與第二半導體鰭106上的第二層L2-1在可控制的合併高度處合併。可控制的合併高度取決於用以形成第二層L2-1的矽烷(SiH4)和二氯矽烷(DCS)氣體之間的比例。在一些實施例中,矽烷(SiH4)和二氯矽烷(DCS)氣體之間的比例可在約1:15至約1:50。在一實施例中,可控制的合併高度不小於第一半導體鰭和第二半導體鰭高度的約55%。在一實施例中,第三層L2-2在第一半導體鰭和第二半導體鰭上具有大致順應性的厚度。 In some embodiments, the combined epitaxial source/drain component 120 includes: a first layer (L1) of semiconductor material grown on the lower portion of the first and second semiconductor fins 106, and a first layer (L1) grown on the first layer L1 The second layer (L2-1) of semiconductor material and the third layer (L2-2) of semiconductor material grown on the second layer L2-1. In an embodiment, the first layer L1 on the first semiconductor fin 106 is not merged with the first layer L1 on the second semiconductor fin 106, and the second layer L2-1 on the first semiconductor fin 106 and the second semiconductor fin 106 The second layer L2-1 on the fin 106 merges at a controllable merge height. The controllable merging height depends on the ratio between the silane (SiH 4 ) and dichlorosilane (DCS) gases used to form the second layer L2-1. In some embodiments, the ratio between silane (SiH 4 ) and dichlorosilane (DCS) gas may be about 1:15 to about 1:50. In an embodiment, the controllable combined height is not less than about 55% of the height of the first semiconductor fin and the second semiconductor fin. In an embodiment, the third layer L2-2 has a substantially compliant thickness on the first semiconductor fin and the second semiconductor fin.

在形成合併磊晶源極/汲極部件120之後,方法10進行至在合併磊晶源極/汲極部件120上形成用於在合併磊晶源極/汲極部件120上形成源極/汲極 接點的凹口。如上所述,取決於合併的源極/汲極部件為用於n型鰭式場效電晶體或p型鰭式場效電晶體,其理想的接觸孔輪廓為不同的。舉例來說,對於n型鰭式場效電晶體,在合併的源極/汲極部件上的較深的接觸凹口可降低接觸部件與合併的源極/汲極部件之間的接觸電阻(R)。對於p型鰭式場效電晶體,較深的接觸凹口可不利地增加接觸電阻。如以下所述,本發明實施例允許用於n型鰭式場效電晶體或p型鰭式場效電晶體的最佳化凹口輪廓。為了展示用於n型鰭式場效電晶體或p型鰭式場效電晶體的兩種不同輪廓,第5圖顯示兩個合併磊晶源極/汲極部件的剖面示意圖,其包含n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140,除非另有說明,否則n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140相似於合併磊晶源極/汲極部件120。 After the combined epitaxial source/drain feature 120 is formed, the method 10 proceeds to forming on the combined epitaxial source/drain feature 120 for forming the source/drain feature on the combined epitaxial source/drain feature 120 pole The notch of the contact. As described above, depending on the combination of source/drain components for n-type fin field effect transistors or p-type fin field effect transistors, the ideal contact hole profile is different. For example, for an n-type fin field effect transistor, a deeper contact recess on the combined source/drain component can reduce the contact resistance between the contact component and the combined source/drain component (R ). For p-type fin field effect transistors, a deeper contact notch can disadvantageously increase the contact resistance. As described below, the embodiments of the present invention allow an optimized notch profile for n-type fin field effect transistors or p-type fin field effect transistors. In order to show two different profiles for n-type fin field effect transistors or p-type fin field effect transistors, Figure 5 shows a cross-sectional schematic diagram of two combined epitaxy source/drain components, which includes n-type combined epitaxy The source/drain component 130 and the p-type combined epitaxial source/drain component 140, unless otherwise specified, the n-type combined epitaxial source/drain component 130 and the p-type combined epitaxial source/drain component 130 The pole component 140 is similar to the combined epitaxial source/drain component 120.

請參照第1和5圖,方法10在操作18將n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140凹陷,以在其中形成凹陷溝槽。依據一些實施例,將每個凹口輪廓最佳化,以降低接觸部件與磊晶源極/汲極部件之間的接觸電阻。取決於磊晶源極/汲極部件為用於n型鰭式場效電晶體或p型鰭式場效電晶體,可形成不同的凹口輪廓。如第5圖所示,對於n型合併磊晶源極/汲極部件130,形成相對深的接觸凹口132(有時被稱為凹陷溝槽,或簡稱為凹口)。凹口132足夠深以確保移除設置於n型合併磊晶源極/汲極部件130上的所有底部氮化矽(SiN),因為任何剩下的氮化矽可增加接觸電阻。凹口132沒有深到將蝕刻通過n型合併磊晶源極/汲極部件130。對於p型合併磊晶源極/汲極部件140,形成相對淺的接觸凹口142(有時被稱為凹陷溝槽,或簡稱為凹口),使得源極/汲極接點可直接接觸具有較高Ge及/或B濃度的源極/汲極的區域。凹口132可具有深度132a在約15-20nm的範圍中,凹口142可具有深度142a在約5-10nm的範圍中。在一些 實施例中,使用本文描述的選擇性蝕刻製程從n型場效電晶體裝置移除的材料量可為從p型場效電晶體裝置移除的材料量的1.5-2.5倍。 Referring to FIGS. 1 and 5, the method 10 recesses the n-type combined epitaxial source/drain feature 130 and the p-type combined epitaxial source/drain feature 140 in operation 18 to form a recessed trench therein. According to some embodiments, the contour of each notch is optimized to reduce the contact resistance between the contact feature and the epitaxial source/drain feature. Depending on whether the epitaxial source/drain components are used for n-type fin field effect transistors or p-type fin field effect transistors, different notch profiles can be formed. As shown in FIG. 5, for the n-type combined epitaxial source/drain component 130, a relatively deep contact recess 132 (sometimes called a recessed trench, or a recess for short) is formed. The recess 132 is deep enough to ensure that all bottom silicon nitride (SiN) disposed on the n-type combined epitaxial source/drain feature 130 is removed, because any remaining silicon nitride can increase contact resistance. The notch 132 is not deep enough to etch through the n-type combined epitaxial source/drain feature 130. For the p-type combined epitaxy source/drain component 140, a relatively shallow contact notch 142 (sometimes called a recessed trench, or notch for short) is formed so that the source/drain contact can directly contact Source/drain regions with higher Ge and/or B concentration. The notch 132 may have a depth 132a in the range of about 15-20 nm, and the notch 142 may have a depth 142a in the range of about 5-10 nm. In some In an embodiment, the amount of material removed from the n-type field-effect transistor device using the selective etching process described herein may be 1.5-2.5 times the amount of material removed from the p-type field-effect transistor device.

請參照第6A-6E圖,在操作18,凹口132和142的深度的差異可透過調整蝕刻條件以達到源極/汲極部件的不同蝕刻速率來實現。在一實施例中,n型合併磊晶源極/汲極部件130包含矽摻雜磷但沒有矽鍺,且p型合併磊晶源極/汲極部件140包含矽鍺。可對半導體結構進行選擇性蝕刻製程以移除n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140的上部,其中n型合併磊晶源極/汲極部件130的移除部分比p型合併磊晶源極/汲極部件140的移除部分更厚(例如在磊晶源極/汲極部件上的對應點厚1.5倍至2.5倍)。第6A圖顯示在應用選擇性蝕刻製程之前的n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140。 Please refer to FIGS. 6A-6E. In operation 18, the difference in the depth of the notches 132 and 142 can be achieved by adjusting the etching conditions to achieve different etching rates of the source/drain components. In one embodiment, the n-type combined epitaxy source/drain feature 130 includes silicon-doped phosphorus but no silicon germanium, and the p-type combined epitaxy source/drain feature 140 includes silicon germanium. A selective etching process can be performed on the semiconductor structure to remove the upper part of the n-type combined epitaxial source/drain feature 130 and the p-type combined epitaxial source/drain feature 140, where the n-type combined epitaxial source/drain feature The removed portion of the pole component 130 is thicker than the removed portion of the p-type combined epitaxial source/drain component 140 (for example, the corresponding point on the epitaxial source/drain component is 1.5 times to 2.5 times thicker). FIG. 6A shows the n-type combined epitaxial source/drain feature 130 and the p-type combined epitaxial source/drain feature 140 before applying the selective etching process.

在顯示的實施例中,選擇性蝕刻製程包含複數個循環,其中每個循環包括使用氣體混合物對半導體結構進行第一乾蝕刻製程,如第6B-6D圖所示。如第6B圖所示,n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140的頂表面接觸蝕刻氣體。在一些實施例中,蝕刻氣體為包含氟甲烷(fluoromethane,CH3F)、氫(H2)和硫化羰(carbonyl sulfide,COS)的混合物。作為氣體組件之間的比例的範例,可為約1份的氟甲烷(CH3F)、約10至20份的氫(H2)以及約0.5至約1.5份的硫化羰(COS)。在第一乾蝕刻製程中,氣體混合物與p型磊晶源極/汲極部件中的矽鍺反應,進而形成包含硫化鍺(GeS或GeS2)的聚合物層150。第一乾蝕刻製程移除n型磊晶源極/汲極部件的第一厚度和p型磊晶源極/汲極部件的第二厚度。由於在p型磊晶源極/汲極部件上存在聚合物層150,第二厚度(其可接近0)可比第一厚度更小(例如小於約1nm)。如第6B圖所示,第一乾蝕刻 製程也在n型磊晶源極/汲極部件和p型磊晶源極/汲極部件上形成氟化碳(CxFy)作為副產物。在一實施例中,在沖洗前的氟化碳層160的厚度等於或小於約5nm。因此,如第6C圖所示,選擇性蝕刻製程的每個循環也包含使用包含二亞胺(N2H2)的氣體對半導體結構進行第二乾蝕刻製程,以移除在第一乾蝕刻製程中形成的氟化碳。在一實施例中,在蝕刻之後的硫化鍺(GeS或GeS2)層的厚度等於或小於約1nm。在選擇性蝕刻製程的多個循環之後,如第6D圖所示,可蝕刻n型合併磊晶源極/汲極部件130比p型合併磊晶源極/汲極部件140更多。如第6E圖所示,可進行濕蝕刻製程以移除在每個循環中的第一乾蝕刻製程中形成的GeS/GeS2,以形成p型磊晶源極/汲極部件。 In the illustrated embodiment, the selective etching process includes a plurality of cycles, wherein each cycle includes a first dry etching process of the semiconductor structure using a gas mixture, as shown in FIGS. 6B-6D. As shown in FIG. 6B, the top surfaces of the n-type combined epitaxial source/drain feature 130 and the p-type combined epitaxial source/drain feature 140 are in contact with the etching gas. In some embodiments, the etching gas is a mixture containing fluoromethane (CH 3 F), hydrogen (H 2 ), and carbonyl sulfide (COS). As an example of the ratio between the gas components, it may be about 1 part of fluoromethane (CH 3 F), about 10 to 20 parts of hydrogen (H 2 ), and about 0.5 to about 1.5 parts of carbonyl sulfide (COS). In the first dry etching process, the gas mixture reacts with the silicon germanium in the p-type epitaxial source/drain components to form a polymer layer 150 containing germanium sulfide (GeS or GeS 2 ). The first dry etching process removes the first thickness of the n-type epitaxial source/drain feature and the second thickness of the p-type epitaxial source/drain feature. Due to the presence of the polymer layer 150 on the p-type epitaxial source/drain features, the second thickness (which may be close to 0) may be smaller than the first thickness (for example, less than about 1 nm). As shown in FIG. 6B, the first dry etching process also forms carbon fluoride (C x F y ) as a by-product on the n-type epitaxial source/drain features and the p-type epitaxial source/drain features. In one embodiment, the thickness of the carbon fluoride layer 160 before washing is equal to or less than about 5 nm. Therefore, as shown in FIG. 6C, each cycle of the selective etching process also includes performing a second dry etching process on the semiconductor structure using a gas containing diimide (N 2 H 2) to remove the first dry etching process. Carbon fluoride formed during the manufacturing process. In one embodiment, the thickness of the germanium sulfide (GeS or GeS 2 ) layer after etching is equal to or less than about 1 nm. After multiple cycles of the selective etching process, as shown in FIG. 6D, more n-type combined epitaxial source/drain features 130 can be etched than p-type combined epitaxial source/drain features 140. As shown in FIG. 6E, a wet etching process may be performed to remove the GeS/GeS 2 formed in the first dry etching process in each cycle to form p-type epitaxial source/drain features.

在一實施例中,選擇性蝕刻製程的複數個循環在溫度20-60℃之間以及壓力10-30mTorr之間進行。在一實施例中,在選擇性蝕刻製程的每個循環期間,移除n型磊晶源極/汲極部件的第一厚度比移除p型磊晶源極/汲極部件的第二厚度更厚至少1nm。在一實施例中,n型磊晶源極/汲極部件的移除部分的厚度為p型磊晶源極/汲極部件的移除部分的厚度的約1.5倍至約2.5倍。 In one embodiment, the multiple cycles of the selective etching process are performed at a temperature between 20-60° C. and a pressure between 10-30 mTorr. In one embodiment, during each cycle of the selective etching process, the first thickness of the n-type epitaxial source/drain feature is removed than the second thickness of the p-type epitaxial source/drain feature is removed At least 1nm thicker. In one embodiment, the thickness of the removed portion of the n-type epitaxial source/drain component is about 1.5 times to about 2.5 times the thickness of the removed portion of the p-type epitaxial source/drain component.

在一實施例中,形成凹口132(或142)的步驟包括蝕刻合併的源極/汲極部件以形成中間溝槽,在中間溝槽中及上方沉積氮化矽(例如Si3N4)間隙壁部件,使用非等向性蝕刻移除氮化矽間隙壁部件的底部,而保留氮化矽間隙壁部件的側壁部分,及進一步在中間溝槽中蝕刻合併的源極/汲極部件,進而形成凹口。 In one embodiment, the step of forming the recess 132 (or 142) includes etching the combined source/drain features to form an intermediate trench, and depositing silicon nitride (such as Si 3 N 4 ) in and above the intermediate trench For the spacer part, use anisotropic etching to remove the bottom of the silicon nitride spacer part, while leaving the sidewall part of the silicon nitride spacer part, and further etch the combined source/drain part in the middle trench, In turn, a notch is formed.

請參照第5圖,方法10也在n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140的表面上形成矽化物或鍺矽化物。舉例來說,可透過在n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140上方沉積金屬 層,將金屬層退火使得金屬層與n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140中的矽反應以形成金屬矽化物,且之後移除未反應的金屬層來形成矽化物(例如矽化鎳或矽化鈦)。在其他實施例中,方法10不形成矽化物,且方法10在蝕刻接觸孔之後形成源極/汲極接點,如以下所述。 Referring to FIG. 5, the method 10 also forms silicide or germanium silicide on the surface of the n-type combined epitaxial source/drain feature 130 and the p-type combined epitaxial source/drain feature 140. For example, metal can be deposited on the n-type combined epitaxial source/drain feature 130 and the p-type combined epitaxial source/drain feature 140 Layer, the metal layer is annealed so that the metal layer reacts with the silicon in the n-type combined epitaxial source/drain part 130 and the p-type combined epitaxial source/drain part 140 to form a metal silicide, and then remove the silicon The reacted metal layer forms a silicide (such as nickel silicide or titanium silicide). In other embodiments, method 10 does not form silicides, and method 10 forms source/drain contacts after etching the contact holes, as described below.

請參照第7圖,方法10在操作20在n型合併磊晶源極/汲極部件130和p型合併磊晶源極/汲極部件140上方分別形成源極/汲極接點134和144。如第7圖所示,由於在n型合併磊晶源極/汲極部件130上的凹口132比在p型合併磊晶源極/汲極部件140上的凹口142更深,源極/汲極接點134的底表面低於源極/汲極接點144的底表面。源極/汲極接點134在n型合併磊晶源極/汲極部件130中可具有深度134a在約15-20nm的範圍中。源極/汲極接點144在p型合併磊晶源極/汲極部件140中可具有深度144a在約5-10nm的範圍中。每個源極/汲極接點可包含一個或多個導電層,且可使用任何合適的方法形成,例如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍及/或其他合適的製程。在一些實施例中,每個源極/汲極接點包含晶種金屬層和填充金屬層。在各種實施例中,晶種金屬層包含鈷(Co)、鎢(W)、釕(Ru)、鎳(Ni)、其他合適的金屬或前述之組合。填充金屬層可包含銅(Cu)、鎢(W)、鋁(Al)、鈷(Co)、其他合適的材料或前述之組合。 Referring to FIG. 7, in method 10, in operation 20, source/drain contacts 134 and 144 are formed on the n-type combined epitaxial source/drain component 130 and the p-type combined epitaxial source/drain component 140, respectively. . As shown in Figure 7, since the notch 132 on the n-type combined epitaxial source/drain part 130 is deeper than the notch 142 on the p-type combined epitaxial source/drain part 140, the source/drain part The bottom surface of the drain contact 134 is lower than the bottom surface of the source/drain contact 144. The source/drain contact 134 in the n-type combined epitaxial source/drain component 130 may have a depth 134a in the range of about 15-20 nm. The source/drain contact 144 in the p-type combined epitaxial source/drain component 140 may have a depth 144a in the range of about 5-10 nm. Each source/drain contact can include one or more conductive layers, and can be formed using any suitable method, such as atomic layer deposition, chemical vapor deposition, physical vapor deposition, electroplating, and/or other suitable processes . In some embodiments, each source/drain contact includes a seed metal layer and a filler metal layer. In various embodiments, the seed metal layer includes cobalt (Co), tungsten (W), ruthenium (Ru), nickel (Ni), other suitable metals, or a combination of the foregoing. The filling metal layer may include copper (Cu), tungsten (W), aluminum (Al), cobalt (Co), other suitable materials, or a combination of the foregoing.

請參照第1圖,方法10進行至操作22可進行額外的加工步驟。舉例來說,額外的垂直互連部件(例如導通孔)、水平互連部件(例如導線)及/或多層互連部件(例如金屬層和層間介電質)可形成於半導體裝置100上方。各種互連部件可應用各種導電材料,其包含銅(Cu)、鎢(W)、鈷(Co)、鋁(Al)、鈦(Ti)、鉭(Ta)、鉑(Pt)、鉬(Mo)、銀(Ag)、金(Au)、錳(Mn)、鋯(Zr)、釕(Ru)、前述對應的合金、金屬矽化物、其他合適的材料或前述之組合。金屬矽化物可包含矽化鎳、矽化鈷、矽化鎢、矽化鉭、矽化鈦、矽化鉑、矽化鉺、矽化鈀、其他合適的金屬矽化物或前述之組合。Please refer to FIG. 1, the method 10 proceeds to operation 22 to perform additional processing steps. For example, additional vertical interconnection features (such as vias), horizontal interconnection features (such as wires), and/or multilayer interconnection features (such as metal layers and interlayer dielectrics) may be formed over the semiconductor device 100. Various conductive materials can be applied to various interconnection components, including copper (Cu), tungsten (W), cobalt (Co), aluminum (Al), titanium (Ti), tantalum (Ta), platinum (Pt), molybdenum (Mo) ), silver (Ag), gold (Au), manganese (Mn), zirconium (Zr), ruthenium (Ru), the aforementioned corresponding alloys, metal silicides, other suitable materials or a combination of the foregoing. The metal silicide may include nickel silicide, cobalt silicide, tungsten silicide, tantalum silicide, titanium silicide, platinum silicide, erbium silicide, palladium silicide, other suitable metal silicides, or a combination of the foregoing.

雖然不意圖限制,但是本發明的一個或多個實施例為半導體裝置及其形成提供許多好處。本發明實施例提供形成合併磊晶源極/汲極部件有著最佳化輪廓的方法。本發明實施例包含形成有著可控制合併高度和凹口深度的合併磊晶源極/汲極部件。因此,揭露的磊晶源極/汲極部件降低了與上方的源極/汲極接點的接觸電阻和與附近的金屬閘極結構的電容。Although not intended to be limiting, one or more embodiments of the present invention provide many benefits for semiconductor devices and their formation. The embodiment of the present invention provides a method for forming a combined epitaxial source/drain component with an optimized profile. Embodiments of the present invention include forming merged epitaxial source/drain components with controllable merge height and notch depth. Therefore, the disclosed epitaxial source/drain component reduces the contact resistance with the upper source/drain contact and the capacitance with the nearby metal gate structure.

依據一範例,半導體裝置的製造方法包含提供半導體結構,半導體結構具有基底以及位於基底上方的第一鰭、第二鰭、第三鰭和第四鰭。此方法更包含在第一鰭和第二鰭上形成n型磊晶源極/汲極(S/D)部件,在第三鰭和第四鰭上形成p型磊晶源極/汲極部件,以及對半導體結構進行選擇性蝕刻製程以移除n型磊晶源極/汲極部件和p型磊晶源極/汲極部件的上部,使得n型磊晶源極/汲極部件的移除部分比p型磊晶源極/汲極部件的移除部分更多。According to an example, a method of manufacturing a semiconductor device includes providing a semiconductor structure having a substrate and a first fin, a second fin, a third fin, and a fourth fin located above the substrate. The method further includes forming n-type epitaxial source/drain (S/D) features on the first and second fins, and forming p-type epitaxial source/drain features on the third and fourth fins , And perform a selective etching process on the semiconductor structure to remove the upper part of the n-type epitaxial source/drain components and the p-type epitaxial source/drain components, so that the n-type epitaxial source/drain components are moved The removed part is more than the removed part of the p-type epitaxial source/drain component.

在一些其他實施例中,其中選擇性蝕刻製程包含複數個循環,其中每個循環包含:使用第一蝕刻氣體對半導體結構進行第一乾蝕刻製程;使用不同於第一蝕刻氣體的第二蝕刻氣體對半導體結構進行第二乾蝕刻製程;以及進行濕蝕刻製程以移除在第一乾蝕刻製程中形成的聚合物層。In some other embodiments, the selective etching process includes a plurality of cycles, and each cycle includes: using a first etching gas to perform a first dry etching process on the semiconductor structure; using a second etching gas different from the first etching gas A second dry etching process is performed on the semiconductor structure; and a wet etching process is performed to remove the polymer layer formed in the first dry etching process.

在一些其他實施例中,其中第一蝕刻氣體為包含氟甲烷、氫和硫化羰的氣體混合物,其中氣體混合物與第一乾蝕刻製程中的p型磊晶源極/汲極部件中的矽鍺反應,進而形成包含硫化矽的聚合物層。In some other embodiments, the first etching gas is a gas mixture containing fluoromethane, hydrogen, and carbonyl sulfide, and the gas mixture is combined with the silicon germanium in the p-type epitaxial source/drain component in the first dry etching process. The reaction further forms a polymer layer containing silicon sulfide.

在一些其他實施例中,其中第一乾蝕刻製程移除n型磊晶源極/汲極部件的第一厚度,第一乾蝕刻製程移除p型磊晶源極/汲極部件的第二厚度,由於聚合物層在p型磊晶源極/汲極部件上,因此第二厚度小於第一厚度,且其中第一乾蝕刻製程也在n型磊晶源極/汲極部件和p型磊晶源極/汲極部件上形成氟化碳作為副產物。In some other embodiments, the first dry etching process removes the first thickness of the n-type epitaxial source/drain feature, and the first dry etching process removes the second thickness of the p-type epitaxial source/drain feature Thickness. Since the polymer layer is on the p-type epitaxial source/drain part, the second thickness is smaller than the first thickness, and the first dry etching process is also used for the n-type epitaxial source/drain part and the p-type epitaxial source/drain part. Carbon fluoride is formed as a by-product on the epitaxial source/drain components.

在一些其他實施例中,其中第二蝕刻氣體包含二亞胺,二亞胺被配置為移除在第一乾蝕刻製程中形成的氟化碳。In some other embodiments, where the second etching gas includes diimine, the diimine is configured to remove carbon fluoride formed in the first dry etching process.

在一些其他實施例中,其中濕蝕刻製程從p型磊晶源極/汲極部件移除在每個循環中的第一乾蝕刻製程中形成的硫化矽。In some other embodiments, the wet etching process removes the silicon sulfide formed in the first dry etching process in each cycle from the p-type epitaxial source/drain features.

在一些其他實施例中,其中選擇性蝕刻製程的複數個循環在溫度20-60°C之間以及壓力10-30mTorr之間進行。In some other embodiments, the multiple cycles of the selective etching process are performed at a temperature between 20-60° C. and a pressure between 10-30 mTorr.

在一些其他實施例中,其中在選擇性蝕刻製程的每個循環期間,n型磊晶源極/汲極部件的第一厚度至少比p型磊晶源極/汲極部件的第二厚度大至少1nm。In some other embodiments, during each cycle of the selective etching process, the first thickness of the n-type epitaxial source/drain feature is at least greater than the second thickness of the p-type epitaxial source/drain feature At least 1nm.

在一些其他實施例中,其中n型磊晶源極/汲極部件的移除部分在厚度上為p型磊晶源極/汲極部件的移除部分的約1.5至約2.5倍。In some other embodiments, the thickness of the removed portion of the n-type epitaxial source/drain component is about 1.5 to about 2.5 times the thickness of the removed portion of the p-type epitaxial source/drain component.

在一些其他實施例中,其中形成n型磊晶源極/汲極部件包含矽摻雜磷,但不包含矽鍺,且其中形成p型磊晶源極/汲極部件包含矽鍺。In some other embodiments, the formation of the n-type epitaxial source/drain features includes silicon-doped phosphorous, but does not include silicon germanium, and the formation of the p-type epitaxial source/drain features includes silicon germanium.

依據一範例,一方法包含提供具有基底及在基底之上的第一鰭和第二鰭的半導體結構,移除第一鰭和第二鰭的上部,而保留第一鰭和第二鰭的下部,在第一鰭和第二鰭的下部上成長第一磊晶源極/汲極(S/D)部件和第二磊晶源極/汲極部件,使得第一磊晶源極/汲極部件和第二磊晶源極/汲極部件合併,進而形成有著可控制的合併高度的合併源極/汲極部件,在合併源極/汲極部件中形成凹陷溝槽,以及以源極/汲極接點填充凹陷溝槽,源極/汲極接點電性連接合併源極/汲極部件。According to an example, a method includes providing a semiconductor structure having a substrate and a first fin and a second fin on the substrate, removing the upper part of the first fin and the second fin, and leaving the lower part of the first fin and the second fin , The first epitaxial source/drain (S/D) part and the second epitaxial source/drain part are grown on the lower part of the first fin and the second fin, so that the first epitaxial source/drain part The component and the second epitaxial source/drain component are merged to form a merged source/drain component with a controllable merge height, a recessed trench is formed in the merged source/drain component, and the source/drain component is combined with the source/drain component. The drain contact fills the recessed trench, and the source/drain contact is electrically connected to merge the source/drain component.

在一些其他實施例中,其中第一鰭和第二鰭的下部剩下的高度為第一鰭和第二鰭的高度的約30至約40%。In some other embodiments, the remaining height of the lower portion of the first fin and the second fin is about 30 to about 40% of the height of the first fin and the second fin.

在一些其他實施例中,其中合併源極/汲極部件包含:第一半導體材料層成長於第一鰭和第二鰭的下部上;第二半導體材料層成長於第一半導體材料層上;以及第三半導體材料層成長於第二半導體材料層上。In some other embodiments, the merging of the source/drain features includes: the first semiconductor material layer is grown on the lower portion of the first fin and the second fin; the second semiconductor material layer is grown on the first semiconductor material layer; and The third semiconductor material layer is grown on the second semiconductor material layer.

在一些其他實施例中,其中在第一鰭上的第一半導體材料層不與第二鰭上的第二半導體材料層合併,且其中在第一鰭上的第二半導體材料層與在第二鰭上的第二半導體材料層在可控制的合併高度處合併。In some other embodiments, wherein the first semiconductor material layer on the first fin is not merged with the second semiconductor material layer on the second fin, and wherein the second semiconductor material layer on the first fin and the second semiconductor material layer on the second fin The second semiconductor material layer on the fin merges at a controllable merge height.

在一些其他實施例中,其中可控制的合併高度取決於用於形成第二半導體材料層的矽烷氣體和二氯矽烷氣體之間的比例。In some other embodiments, the controllable merging height depends on the ratio between the silane gas and the dichlorosilane gas used to form the second semiconductor material layer.

在一些其他實施例中,其中可控制的合併高度在等於或大於第一鰭和第二鰭的高度的約55%的位置。In some other embodiments, the controllable combined height is at a position equal to or greater than about 55% of the height of the first fin and the second fin.

在一些其他實施例中,其中第三半導體材料層在第一鰭和第二鰭上方具有大致順應性的厚度。In some other embodiments, wherein the third semiconductor material layer has a substantially compliant thickness above the first fin and the second fin.

在一些其他實施例中,其中形成凹陷溝槽的步驟包含:蝕刻合併源極/汲極部件以形成中間溝槽;在中間溝槽中及中間溝槽上方沉積氮化矽間隙壁部件;使用非等向性蝕刻移除氮化矽間隙壁部件的底部,而保留氮化矽間隙壁部件的側壁部分;以及在中間溝槽中進一步蝕刻合併源極/汲極部件,進而形成凹陷溝槽。In some other embodiments, the step of forming a recessed trench includes: etching and combining source/drain features to form an intermediate trench; depositing silicon nitride spacer features in and above the intermediate trench; Isotropic etching removes the bottom of the silicon nitride spacer part, while leaving the sidewall part of the silicon nitride spacer part; and further etches and merges the source/drain parts in the middle trench to form a recessed trench.

依據一範例,半導體裝置包含基底,第一鰭、第二鰭、第三鰭和第四鰭從基底突出;n型磊晶源極/汲極(S/D)部件設置於第一鰭和第二鰭上,第一源極/汲極接點設置於n型磊晶源極/汲極部件上,p型磊晶源極/汲極部件設置於第三鰭和第四鰭上,第二源極/汲極接點設置於p型磊晶源極/汲極部件上,其中第一源極/汲極接點的底表面低於第二源極/汲極接點的底表面。According to an example, the semiconductor device includes a substrate, and a first fin, a second fin, a third fin, and a fourth fin protrude from the substrate; n-type epitaxial source/drain (S/D) components are disposed on the first fin and the second fin. On the two fins, the first source/drain contact is disposed on the n-type epitaxial source/drain component, the p-type epitaxial source/drain component is disposed on the third fin and the fourth fin, and the second The source/drain contact is disposed on the p-type epitaxial source/drain component, wherein the bottom surface of the first source/drain contact is lower than the bottom surface of the second source/drain contact.

在一些其他實施例中,其中n型磊晶源極/汲極部件包含矽摻雜磷,但不包含矽鍺,且其中p型磊晶源極/汲極部件包含矽鍺。In some other embodiments, the n-type epitaxial source/drain features include silicon-doped phosphorous but not silicon germanium, and the p-type epitaxial source/drain features include silicon germanium.

前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。The foregoing text summarizes the features of many embodiments, so that those skilled in the art can better understand the embodiments of the present invention from various aspects. Those skilled in the art should understand, and can easily design or modify other processes and structures based on the embodiments of the present invention, so as to achieve the same purpose and/or achieve the same purpose as the embodiments described herein. The same advantages. Those skilled in the art should also understand that these equivalent structures do not depart from the spirit and scope of the present invention. Without departing from the spirit and scope of the invention, various changes, substitutions or modifications can be made to the embodiments of the invention.

10:方法 12、14、16、18、20、22:操作 100:半導體裝置 102:基底 104:隔離結構 106:半導體鰭 106a:源極/汲極區 106b:通道區 107:虛設閘極堆疊物 108:氧化層 110:虛設閘極電極 112、114:硬遮罩層 113:側壁間隙壁 120:合併磊晶源極/汲極部件 120A、120B:磊晶源極/汲極部件 130:n型合併磊晶源極/汲極部件 140:p型合併磊晶源極/汲極部件 132、142:凹口 134、144:源極/汲極接點 132a、134a、142a、144a:深度 150:聚合物層 160:氟化碳層 L2-2:第三層 F_H、FSW_H:高度 MH:合併高度 10: method 12, 14, 16, 18, 20, 22: operation 100: Semiconductor device 102: Base 104: Isolation structure 106: Semiconductor Fin 106a: source/drain region 106b: Passage area 107: Dummy Gate Stack 108: oxide layer 110: dummy gate electrode 112, 114: Hard mask layer 113: Sidewall spacer 120: Merging epitaxial source/drain components 120A, 120B: epitaxy source/drain components 130: n-type combined epitaxy source/drain components 140: p-type combined epitaxial source/drain components 132, 142: Notch 134, 144: source/drain contacts 132a, 134a, 142a, 144a: depth 150: polymer layer 160: Fluorinated carbon layer L2-2: third layer F_H, FSW_H: height MH: combined height

根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖為依據本發明各種方面之形成半導體裝置的方法的流程圖。 第2A圖顯示依據一些實施例之在製造的中間階段的半導體裝置的一部分的三維視圖。 第2B、3A和4圖為依據第1圖的方法的實施例的製造的中間階段,依據一些實施例之沿第2A圖的線“A-A”的半導體裝置的一部分的剖面示意圖。 第2C和3B圖為依據第1圖的方法的實施例的製造的中間階段,依據一些實施例之沿第2A圖的線“B-B”的半導體裝置的一部分的剖面示意圖。 第5和7圖為依據第1圖的方法的實施例的製造的中間階段,依據一些實施例之沿第2A圖的線“A-A”的半導體裝置的一部分(有著額外的合併磊晶源極/汲極部件)的剖面示意圖。 第6A-6E圖顯示第5圖的半導體裝置經過凹口形成製程的局部視圖。The embodiments of the present invention can be better understood according to the following detailed description and the accompanying drawings. It should be noted that, according to the standard practice of this industry, the various components in the illustration are not necessarily drawn to scale. In fact, it is possible to arbitrarily enlarge or reduce the size of various components to make a clear description. FIG. 1 is a flowchart of a method of forming a semiconductor device according to various aspects of the present invention. Figure 2A shows a three-dimensional view of a portion of the semiconductor device in an intermediate stage of manufacturing according to some embodiments. FIGS. 2B, 3A, and 4 are schematic cross-sectional views of a part of the semiconductor device along the line "A-A" of FIG. 2A according to some embodiments in the intermediate stages of manufacturing according to the embodiment of the method of FIG. 1. FIGS. 2C and 3B are schematic cross-sectional views of a part of the semiconductor device along the line "B-B" of FIG. 2A according to some embodiments in an intermediate stage of manufacturing according to the embodiment of the method of FIG. 1. FIG. Figures 5 and 7 are intermediate stages of manufacturing according to the embodiment of the method of Figure 1, according to some embodiments along the line "AA" of Figure 2A part of the semiconductor device (with additional merged epitaxy source/ Drain component) is a schematic cross-sectional view. FIGS. 6A-6E show partial views of the semiconductor device of FIG. 5 through a notch forming process.

10:方法 10: method

12、14、16、18、20、22:操作 12, 14, 16, 18, 20, 22: operation

Claims (14)

一種半導體裝置的製造方法,包括:提供一半導體結構,該半導體結構具有:一基底;以及一第一鰭、一第二鰭、一第三鰭和一第四鰭,位於該基底上方;在該第一鰭和該第二鰭上形成一n型磊晶源極/汲極部件;在該第三鰭和該第四鰭上形成一p型磊晶源極/汲極部件;以及對該半導體結構進行一選擇性蝕刻製程以移除該n型磊晶源極/汲極部件和該p型磊晶源極/汲極部件的上部,使得該n型磊晶源極/汲極部件的移除部分比該p型磊晶源極/汲極部件的移除部分更多。 A method of manufacturing a semiconductor device includes: providing a semiconductor structure having: a substrate; and a first fin, a second fin, a third fin, and a fourth fin located above the substrate; An n-type epitaxial source/drain part is formed on the first fin and the second fin; a p-type epitaxial source/drain part is formed on the third fin and the fourth fin; and the semiconductor The structure undergoes a selective etching process to remove the n-type epitaxial source/drain component and the upper part of the p-type epitaxial source/drain component, so that the n-type epitaxial source/drain component is moved The removed part is more than the removed part of the p-type epitaxial source/drain component. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該選擇性蝕刻製程包含複數個循環,其中每個循環包括:使用一第一蝕刻氣體對該半導體結構進行一第一乾蝕刻製程;使用不同於該第一蝕刻氣體的一第二蝕刻氣體對該半導體結構進行一第二乾蝕刻製程;以及進行一濕蝕刻製程以移除在該第一乾蝕刻製程中形成的一聚合物層。 The method for manufacturing a semiconductor device according to claim 1, wherein the selective etching process includes a plurality of cycles, and each cycle includes: using a first etching gas to perform a first dry etching process on the semiconductor structure Use a second etching gas different from the first etching gas to perform a second dry etching process on the semiconductor structure; and perform a wet etching process to remove a polymer layer formed in the first dry etching process . 如申請專利範圍第2項所述之半導體裝置的製造方法,其中該第一蝕刻氣體為包含氟甲烷、氫和硫化羰的一氣體混合物,其中該氣體混合物與該第一乾蝕刻製程中的該p型磊晶源極/汲極部件中的矽鍺反應,進而形成包含硫化矽的該聚合物層。 According to the method for manufacturing a semiconductor device described in claim 2, wherein the first etching gas is a gas mixture containing fluoromethane, hydrogen and carbonyl sulfide, wherein the gas mixture and the first dry etching process The silicon-germanium in the p-type epitaxial source/drain component reacts to form the polymer layer containing silicon sulfide. 如申請專利範圍第3項所述之半導體裝置的製造方法,其中該第一乾蝕刻製程移除該n型磊晶源極/汲極部件的一第一厚度,該第一乾蝕刻製程移除 該p型磊晶源極/汲極部件的一第二厚度,由於該聚合物層在該p型磊晶源極/汲極部件上,因此該第二厚度小於該第一厚度,且其中該第一乾蝕刻製程也在該n型磊晶源極/汲極部件和該p型磊晶源極/汲極部件上形成氟化碳作為一副產物。 The method for manufacturing a semiconductor device as described in claim 3, wherein the first dry etching process removes a first thickness of the n-type epitaxial source/drain component, and the first dry etching process removes A second thickness of the p-type epitaxial source/drain component. Since the polymer layer is on the p-type epitaxial source/drain component, the second thickness is smaller than the first thickness, and wherein the The first dry etching process also forms carbon fluoride as a by-product on the n-type epitaxial source/drain component and the p-type epitaxial source/drain component. 如申請專利範圍第4項所述之半導體裝置的製造方法,其中該第二蝕刻氣體包含二亞胺,二亞胺被配置為移除在該第一乾蝕刻製程中形成的氟化碳。 According to the manufacturing method of the semiconductor device described in claim 4, the second etching gas includes diimine, and the diimine is configured to remove carbon fluoride formed in the first dry etching process. 如申請專利範圍第3項所述之半導體裝置的製造方法,其中該濕蝕刻製程從該p型磊晶源極/汲極部件移除在每個循環中的該第一乾蝕刻製程中形成的硫化矽。 The method for manufacturing a semiconductor device as described in claim 3, wherein the wet etching process removes the p-type epitaxial source/drain components formed in the first dry etching process in each cycle Silicon sulfide. 如申請專利範圍第1至6項中任一項所述之半導體裝置的製造方法,其中形成該n型磊晶源極/汲極部件包含矽摻雜磷,但不包含矽鍺,且其中形成該p型磊晶源極/汲極部件包含矽鍺。 The method for manufacturing a semiconductor device as described in any one of claims 1 to 6, wherein forming the n-type epitaxial source/drain component includes silicon doped phosphorus, but does not include silicon germanium, and is formed therein The p-type epitaxial source/drain components include silicon germanium. 一種半導體裝置的製造方法,包括:提供具有一基底及在該基底之上的一第一鰭和一第二鰭的一半導體結構;移除該第一鰭和該第二鰭的上部,而保留該第一鰭和該第二鰭的下部;在該第一鰭和該第二鰭的下部上成長一第一磊晶源極/汲極部件和一第二磊晶源極/汲極部件,使得該第一磊晶源極/汲極部件和該第二磊晶源極/汲極部件合併,進而形成有著一可控制的合併高度的一合併源極/汲極部件;在該合併源極/汲極部件中形成一凹陷溝槽;以及以一源極/汲極接點填充該凹陷溝槽,該源極/汲極接點電性連接該合併源極/汲極部件。 A method of manufacturing a semiconductor device includes: providing a semiconductor structure having a substrate and a first fin and a second fin on the substrate; removing the upper part of the first fin and the second fin, and retaining The lower part of the first fin and the second fin; growing a first epitaxial source/drain part and a second epitaxial source/drain part on the lower part of the first fin and the second fin, The first epitaxial source/drain component and the second epitaxial source/drain component are combined to form a combined source/drain component with a controllable combined height; in the combined source / Forming a recessed trench in the drain component; and filling the recessed trench with a source/drain contact, the source/drain contact is electrically connected to the combined source/drain component. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該合併 源極/汲極部件包含:一第一半導體材料層,成長於該第一鰭和該第二鰭的下部上;一第二半導體材料層,成長於該第一半導體材料層上;以及一第三半導體材料層,成長於該第二半導體材料層上。 The method of manufacturing a semiconductor device as described in item 8 of the scope of patent application, wherein the merger The source/drain component includes: a first semiconductor material layer grown on the lower portion of the first fin and the second fin; a second semiconductor material layer grown on the first semiconductor material layer; and a first semiconductor material layer Three layers of semiconductor material are grown on the second layer of semiconductor material. 如申請專利範圍第9項所述之半導體裝置的製造方法,其中在該第一鰭上的該第一半導體材料層不與該第二鰭上的該第二半導體材料層合併,且其中在該第一鰭上的該第二半導體材料層與在該第二鰭上的該第二半導體材料層在該可控制的合併高度處合併。 The method for manufacturing a semiconductor device as described in claim 9, wherein the first semiconductor material layer on the first fin is not merged with the second semiconductor material layer on the second fin, and wherein The second semiconductor material layer on the first fin merges with the second semiconductor material layer on the second fin at the controllable merging height. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中該可控制的合併高度取決於用於形成該第二半導體材料層的矽烷氣體和二氯矽烷氣體之間的一比例。 According to the method of manufacturing a semiconductor device described in claim 10, the controllable merging height depends on a ratio between the silane gas and the dichlorosilane gas used to form the second semiconductor material layer. 如申請專利範圍第9項所述之半導體裝置的製造方法,其中該第三半導體材料層在該第一鰭和該第二鰭上方具有大致順應性的厚度。 According to the method for manufacturing a semiconductor device as described in claim 9, wherein the third semiconductor material layer has a substantially compliant thickness above the first fin and the second fin. 如申請專利範圍第8至12項中任一項所述之半導體裝置的製造方法,其中形成該凹陷溝槽的步驟包括:蝕刻該合併源極/汲極部件以形成一中間溝槽;在該中間溝槽中及該中間溝槽上方沉積一氮化矽間隙壁部件;使用一非等向性蝕刻移除該氮化矽間隙壁部件的底部,而保留該氮化矽間隙壁部件的側壁部分;以及在該中間溝槽中進一步蝕刻該合併源極/汲極部件,進而形成該凹陷溝槽。 The method of manufacturing a semiconductor device according to any one of the 8th to 12th patent applications, wherein the step of forming the recessed trench includes: etching the combined source/drain component to form an intermediate trench; A silicon nitride spacer part is deposited in and above the middle trench; an anisotropic etching is used to remove the bottom of the silicon nitride spacer part while leaving the sidewall part of the silicon nitride spacer part And further etching the combined source/drain component in the intermediate trench to form the recessed trench. 一半導體裝置,包括:一基底; 一第一鰭、一第二鰭、一第三鰭和一第四鰭,從該基底突出;一n型磊晶源極/汲極部件,設置於該第一鰭和該第二鰭上;一第一源極/汲極接點,設置於該n型磊晶源極/汲極部件上;一p型磊晶源極/汲極部件,設置於該第三鰭和該第四鰭上;一第二源極/汲極接點,設置於該p型磊晶源極/汲極部件上,其中該第一源極/汲極接點的底表面低於該第二源極/汲極接點的底表面。 A semiconductor device, including: a substrate; A first fin, a second fin, a third fin, and a fourth fin protruding from the substrate; an n-type epitaxial source/drain component disposed on the first fin and the second fin; A first source/drain contact is disposed on the n-type epitaxial source/drain part; a p-type epitaxial source/drain part is disposed on the third fin and the fourth fin ; A second source/drain contact is disposed on the p-type epitaxial source/drain component, wherein the bottom surface of the first source/drain contact is lower than the second source/drain The bottom surface of the pole contact.
TW108131464A 2018-08-31 2019-09-02 Semiconductor devices and methods for fabricating the same TWI720604B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862725713P 2018-08-31 2018-08-31
US62/725,713 2018-08-31
US16/551,546 US11222951B2 (en) 2018-08-31 2019-08-26 Epitaxial source/drain structure and method
US16/551,546 2019-08-26

Publications (2)

Publication Number Publication Date
TW202032636A TW202032636A (en) 2020-09-01
TWI720604B true TWI720604B (en) 2021-03-01

Family

ID=69639461

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108131464A TWI720604B (en) 2018-08-31 2019-09-02 Semiconductor devices and methods for fabricating the same

Country Status (3)

Country Link
KR (1) KR102279471B1 (en)
CN (1) CN110875190B (en)
TW (1) TWI720604B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11489075B2 (en) 2020-06-29 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170053912A1 (en) * 2015-08-21 2017-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet with source/drain structure and method of fabrication thereof
US20170069756A1 (en) * 2015-09-04 2017-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin fet device with epitaxial source/drain

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100416694B1 (en) * 1995-12-16 2004-05-27 주식회사 하이닉스반도체 A method for wet etching of Si3N4 in semiconductor device
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8765533B2 (en) * 2012-12-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) channel profile engineering method and associated device
US10164042B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10510762B2 (en) * 2016-12-15 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain formation technique for fin-like field effect transistor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170053912A1 (en) * 2015-08-21 2017-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet with source/drain structure and method of fabrication thereof
US20170069756A1 (en) * 2015-09-04 2017-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin fet device with epitaxial source/drain

Also Published As

Publication number Publication date
CN110875190B (en) 2023-09-19
KR20200026723A (en) 2020-03-11
TW202032636A (en) 2020-09-01
CN110875190A (en) 2020-03-10
KR102279471B1 (en) 2021-07-22

Similar Documents

Publication Publication Date Title
US10665718B2 (en) Wrap Around Silicide for FinFETs
US20220359687A1 (en) Contact Structures for Gate-All-Around Devices and Methods of Forming the Same
US8765533B2 (en) Fin-like field effect transistor (FinFET) channel profile engineering method and associated device
KR102341589B1 (en) Semiconductor device and method
TW201735154A (en) Semiconductor device and method of making the same
US11784222B2 (en) Epitaxial source/drain structure and method
CN110943037A (en) Method for manufacturing semiconductor internal connection structure
TW202125817A (en) Semiconductor devices
CN111128739A (en) Method for manufacturing semiconductor device and semiconductor device
US20200411667A1 (en) Dielectric Inner Spacers in Multi-Gate Field-Effect Transistors
KR102571916B1 (en) Gate structures and methods of forming same
KR102464045B1 (en) Semiconductor device and method
KR102638129B1 (en) Forming source and drain features in semiconductor devices
KR102549861B1 (en) Contact plug structure of semiconductor device and method of forming same
CN113539964A (en) Method for manufacturing semiconductor device
TWI720604B (en) Semiconductor devices and methods for fabricating the same
CN113140508A (en) Method for manufacturing semiconductor device
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
TW201926479A (en) Methods of fabricating semiconductor devices
TW202129772A (en) Method of fabricating semiconductor structure
US20230420506A1 (en) Semiconductor device and manufacturing method thereof
TWI764678B (en) Semiconductor structure and method for forming the same
US20220320307A1 (en) Source and Drain Enginering Process for Multigate Devices
US20230253478A1 (en) Dielectric inner spacers in multi-gate field-effect transistors
US20220310603A1 (en) Semiconductor device and manufacturing method thereof