TWI710659B - Siloxane compositions and methods for using the compositions to deposit silicon containing films - Google Patents

Siloxane compositions and methods for using the compositions to deposit silicon containing films Download PDF

Info

Publication number
TWI710659B
TWI710659B TW108120832A TW108120832A TWI710659B TW I710659 B TWI710659 B TW I710659B TW 108120832 A TW108120832 A TW 108120832A TW 108120832 A TW108120832 A TW 108120832A TW I710659 B TWI710659 B TW I710659B
Authority
TW
Taiwan
Prior art keywords
film
substrate
silicon
plasma
hydrogen
Prior art date
Application number
TW108120832A
Other languages
Chinese (zh)
Other versions
TW202000968A (en
Inventor
李建恒
新建 雷
雷蒙N 孟提
羅伯特G 瑞吉威
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW202000968A publication Critical patent/TW202000968A/en
Application granted granted Critical
Publication of TWI710659B publication Critical patent/TWI710659B/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

The siloxanes containing compositions and methods are disclosed. The disclosed method relates to a method of depositing a dielectric film on a substrate, the method involving the steps of a) placing the substrate in a reaction chamber; b) introducing a process gas comprising a cyclic silicon-containing compound and an oxidant; and c) exposing the substrate to the process gas under conditions such that the cyclic silicon-containing compound and the oxidant react to form a flowable film on the substrate surface. The method can further involve converting the flowable film into a solid dielectric material (e.g., a silicon oxide film). In certain embodiments, conversion of the film may be accomplished by annealing the as-deposited film by a thermal, plasma anneal and/UV curing.

Description

矽氧烷組合物及使用該組合物沉積含矽膜的方法Silicone composition and method for depositing silicon-containing film using the composition

申請案之相互參照 本案請求2018年6月15日申請的前申請美國專利申請案序號第62/685,867號在35 U.S.C. § 119(e)保護之下的優先權,在此以引用之方式將其揭示內容的全文併入本文。Cross reference of applications This case requests the priority of the previous U.S. Patent Application Serial No. 62/685,867 filed on June 15, 2018 under the protection of 35 USC § 119(e), and the full content of its disclosure is incorporated herein by reference. Into this article.

本發明係關於一種環狀矽烷組合物及使用其沉積含矽膜的方法。The invention relates to a cyclic silane composition and a method for depositing a silicon-containing film using the cyclic silane composition.

已知於此技藝中使用可流動的化學氣相沉積製程藉著氣相聚合反應來沉積氧化矽膜。舉例來說,先前技藝聚焦於使用諸如三矽烷基胺(TSA)的化合物來沉積含有Si、H、N的寡聚物,其後使用臭氧暴露將其氧化成SiOx膜。此實例係揭示於:美國公開案第2014/0073144號;美國公開案第2013/230987號;美國專利第7,521,378號、美國專利第7,557,420號及第8,575,040號;及美國專利第7,825,040號。這些製程始終需要高溫水蒸汽處理及> 1000o C熱退火。It is known in this art to use a flowable chemical vapor deposition process to deposit silicon oxide films by vapor phase polymerization. For example, prior art has focused on using compounds such as trisilylamine (TSA) to deposit Si, H, and N-containing oligomers, which are then oxidized into SiOx films using ozone exposure. This example is disclosed in: U.S. Publication No. 2014/0073144; U.S. Publication No. 2013/230987; U.S. Patent No. 7,521,378, U.S. Patent Nos. 7,557,420 and 8,575,040; and U.S. Patent No. 7,825,040. These processes always require high-temperature steam treatment and thermal annealing at> 1000 o C.

美國專利第7825038 B2號揭示將氧化矽層沉積於基材上的方法,其包括以下步驟:將基材提供於沉積艙,在該沉積艙外部產生原子氧前驅物,及將該原子氧前驅物引入該艙。沉積也可包括將矽前驅物引入該沉積艙,其中該矽前驅物及該原子氧前驅物首先於該艙中混合。前驅物例如八甲基三矽氧烷(OMTS)、八甲基環四矽氧烷(OMCTS)及四甲基環四矽氧烷(TOMCATS)係用於此應用。US Patent No. 7,825,038 B2 discloses a method of depositing a silicon oxide layer on a substrate, which includes the following steps: providing the substrate in a deposition chamber, generating an atomic oxygen precursor outside the deposition chamber, and the atomic oxygen precursor Introduce the cabin. The deposition may also include introducing a silicon precursor into the deposition chamber, where the silicon precursor and the atomic oxygen precursor are first mixed in the chamber. Precursors such as octamethyltrisiloxane (OMTS), octamethylcyclotetrasiloxane (OMCTS) and tetramethylcyclotetrasiloxane (TOMCATS) are used for this application.

美國專利第7998536號、第7989033號及Yim, K.S. (2009) “Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition”揭示用於形成低k、含Si-C的膜之前驅物及方法。U.S. Patent Nos. 7998536, 7989033 and Yim, KS (2009) "Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition" disclose the use of low-k, Si-C containing Film precursors and methods.

美國專利第9362107 B2號揭示將可流動低k介電膜形成於圖案化基材上的方法。該膜可為矽 - 碳 - 氧(Si-C-O)層,其中該矽和碳構成成分來自含矽和碳的前驅物,而該氧可來自於遠距電漿區域中活化的含氧前驅物。沉積後不久,在固化之前,藉由暴露於含氫和氮的前驅物例如氨來處理該矽-碳-氧層。該處理可從該矽-碳-氧層去除殘餘水分,並且可於固化及後續處理期間使晶格更有彈性。該處理可減少該矽-碳-氧層於後續處理期間的收縮。此案請求保護前驅物例如八甲基環四矽氧烷(OMCTS)及四甲基環四矽氧烷(TOMCATS)。US Patent No. 9362107 B2 discloses a method of forming a flowable low-k dielectric film on a patterned substrate. The film may be a silicon-carbon-oxygen (Si-CO) layer, where the silicon and carbon constituents come from precursors containing silicon and carbon, and the oxygen may come from oxygen-containing precursors activated in the remote plasma region . Soon after deposition, before curing, the silicon-carbon-oxygen layer is treated by exposure to hydrogen and nitrogen-containing precursors such as ammonia. This treatment can remove residual moisture from the silicon-carbon-oxygen layer and can make the crystal lattice more elastic during curing and subsequent processing. This treatment can reduce the shrinkage of the silicon-carbon-oxygen layer during subsequent processing. The case claims to protect precursors such as octamethylcyclotetrasiloxane (OMCTS) and tetramethylcyclotetrasiloxane (TOMCATS).

該已知的前驅物及沉積製程可沉積吸收水分的親水膜並且導致介電常數提高。This known precursor and deposition process can deposit a hydrophilic film that absorbs moisture and leads to an increase in dielectric constant.

在此以引用的方式併入先前已識別的專利及專利申請案的揭示內容。The disclosures of previously identified patents and patent applications are incorporated herein by reference.

本發明藉由提供環狀矽烷組合物及用於沉積含矽膜的方法及於一個具體實例中填充半導體的各種特徵之間的間隙之膜來解決與已知前驅物及製程相關的問題。The present invention solves the problems associated with known precursors and processes by providing a cyclic silane composition and a method for depositing a silicon-containing film and a film that fills the gaps between various features of a semiconductor in a specific example.

更特別地,本發明包括用於將含矽膜形成於基材上的可流動的化學氣相沉積方法。該方法包括將該基材放入反應艙並且將至少一由式I所示的環狀矽氧烷化合物及至少一活化物種引進該艙,

Figure 02_image001
式I 其中R1-4 係獨立地選自氫、直鏈或分支C1 至C10 烷基、直鏈或分支C3 至C10 烯基、直鏈或分支C3 至C10 炔基、二-C1 至C6 -烷基胺基及C6 至C10 芳基,而且n= 1、2、3、4。控制該反應器條件,使該含矽化合物及該活化物種反應並且於該基材上縮合為可流動膜。該至少一活化物種係相對於該反應艙以遠距活化。More particularly, the present invention includes a flowable chemical vapor deposition method for forming a silicon-containing film on a substrate. The method includes putting the substrate into a reaction chamber and introducing at least one cyclic siloxane compound represented by formula I and at least one activated species into the chamber,
Figure 02_image001
Formula I wherein R 1-4 are independently selected from hydrogen, linear or branched C 1 to C 10 alkyl, linear or branched C 3 to C 10 alkenyl, linear or branched C 3 to C 10 alkynyl, Di-C 1 to C 6 -alkylamino and C 6 to C 10 aryl, and n = 1, 2, 3, 4. The conditions of the reactor are controlled to allow the silicon-containing compound and the activated species to react and condense on the substrate into a flowable film. The at least one activated species is activated remotely from the reaction chamber.

該可流動膜於某些情況下具有Si-C及Si-O鍵中的至少其一。該可流動膜填充於該基材表面特徵上的高深寬比間隙。然後將該可流動膜轉化為最終的氧化矽膜,舉例來說藉由電漿、UV及/或熱退火。本發明的方法可用以填充高深寬比間隙,包括深寬比介於3:1至10:1或更大的間隙。The flowable film has at least one of Si-C and Si-O bonds in some cases. The flowable film fills the high aspect ratio gaps on the surface features of the substrate. The flowable film is then converted into the final silicon oxide film, for example, by plasma, UV and/or thermal annealing. The method of the present invention can be used to fill gaps with high aspect ratios, including gaps with an aspect ratio ranging from 3:1 to 10:1 or greater.

該活化物種可使用遠距電漿來源、遠距微波來源或遠距熱絲系統(remote hot-wire system)產生。The activated species can be produced using a remote plasma source, a remote microwave source, or a remote hot-wire system.

根據一具體實例,該至少一活化物種係藉由電漿來源或遠距微波來源作用於選自由水蒸氣、臭氧、氧、氧/氦、氧/氬、氮氧化物、二氧化碳、過氧化氫、有機過氧化物及其混合物所組成的群組之物種所產生的氧化劑。According to a specific example, the at least one activated species acts on a plasma source or a remote microwave source selected from water vapor, ozone, oxygen, oxygen/helium, oxygen/argon, nitrogen oxide, carbon dioxide, hydrogen peroxide, An oxidant produced by species in the group consisting of organic peroxides and their mixtures.

根據另一具體實例,該至少一活化物種係藉由電漿來源或遠距微波來源作用於選自由氮、氮和氦的混合物、氮和氬的混合物、氨、氨和氦的混合物、氨和氬的混合物、氦、氬、氫、氫和氦的混合物、氫和氬的混合物、氨和氫的混合物、有機胺及其混合物所組成的群組之物種產生。According to another specific example, the at least one activated species acts on a plasma source or a remote microwave source selected from the group consisting of nitrogen, a mixture of nitrogen and helium, a mixture of nitrogen and argon, ammonia, a mixture of ammonia and helium, ammonia and Species produced in the group consisting of argon mixtures, helium, argon, hydrogen, hydrogen and helium mixtures, hydrogen and argon mixtures, ammonia and hydrogen mixtures, organic amines and their mixtures.

根據另一具體實例,該至少一環狀矽氧烷化合物包含2,2,5,5-四甲基-1-氧雜-2,5-二矽雜環戊烷及2,2,6,6-四甲基-1-氧雜-2,6-二矽雜環己烷中的一或二者。According to another specific example, the at least one cyclic siloxane compound comprises 2,2,5,5-tetramethyl-1-oxa-2,5-disilolane and 2,2,6, One or both of 6-tetramethyl-1-oxa-2,6-disilane.

在進行上述步驟之後,該可流動膜可用選自由電漿、UV輻射及熱退火所組成的群組之處理方式來處理。用該處理方式處理該可流動膜使該可流動膜轉換成介電材料。After performing the above steps, the flowable film can be processed by a processing method selected from the group consisting of plasma, UV radiation and thermal annealing. Treating the flowable film with this processing method converts the flowable film into a dielectric material.

如前所述,本發明的一些具體實例涉及使用上述形成介電膜的方法達到用該介電質填充基材上的間隙之目的。於此具體實例中,該含矽化合物及該氧化劑在將該可流動膜轉換成介電材料之前於該間隙中反應形成可流動膜。As mentioned above, some specific examples of the present invention involve the use of the above-mentioned method of forming a dielectric film to achieve the purpose of filling the gaps on the substrate with the dielectric. In this specific example, the silicon-containing compound and the oxidizing agent react in the gap to form a flowable film before converting the flowable film into a dielectric material.

於又另一具體實例中,該含矽膜經由電漿輔助反應沉積於該間隙中。於此具體實例中,接著該電漿輔助反應及該含矽膜沉積到該間隙中之後將氧化劑引入該反應艙,並且使該含矽膜暴露於該氧化劑使得包含Si-O和Si-C鍵中的至少其一之可流動膜形成於該間隙中。然後將該原沉積膜轉換成介電材料。In yet another specific example, the silicon-containing film is deposited in the gap via a plasma assisted reaction. In this specific example, after the plasma assisted reaction and the silicon-containing film is deposited in the gap, an oxidant is introduced into the reaction chamber, and the silicon-containing film is exposed to the oxidant so as to include Si-O and Si-C bonds At least one of the flowable films is formed in the gap. The original deposited film is then converted into a dielectric material.

本發明的另一態樣關於藉由本發明的方法獲得之膜。Another aspect of the invention relates to the film obtained by the method of the invention.

另一具體實例係關於一種用於將膜可流動的化學氣相沉積於基材上的組合物,該組合物包括2,2,5,5-四甲基-1-氧雜-2,5-二矽雜環戊烷並且具有小於10 ppm的鹵離子雜質,該鹵離子係選自由氯化物、氟化物、溴化物及碘化物所組成的群組。Another specific example relates to a composition for depositing a flowable chemical vapor film on a substrate. The composition includes 2,2,5,5-tetramethyl-1-oxa-2,5 -Disilolane with less than 10 ppm halide impurity, the halide is selected from the group consisting of chloride, fluoride, bromide and iodide.

另一具體實例係關於一種用於將膜可流動的化學氣相沉積於基材上的組合物,該組合物包括2,2,5,5-四甲基-1-氧雜-2,5-二矽雜環戊烷並且具有小於10 ppm的金屬離子雜質,該金屬離子係選自由Al3+ 、Fe2+ 、Fe3+ 、Ni2+ 及Cr3+ 所組成的群組。Another specific example relates to a composition for depositing a flowable chemical vapor film on a substrate. The composition includes 2,2,5,5-tetramethyl-1-oxa-2,5 -Disilolane and has a metal ion impurity of less than 10 ppm. The metal ion is selected from the group consisting of Al 3+ , Fe 2+ , Fe 3+ , Ni 2+ and Cr 3+ .

另一具體實例係關於一種用於將膜可流動的化學氣相沉積於基材上的組合物,該組合物包括2,2,6,6-四甲基-1-氧雜-2,6-二矽雜環己烷並且具有小於10 ppm的鹵離子雜質,該鹵離子係選自由氯化物、氟化物、溴化物和碘化物所組成的群組。Another specific example relates to a composition for depositing a flowable chemical vapor film on a substrate. The composition includes 2,2,6,6-tetramethyl-1-oxa-2,6 -Disilica and has less than 10 ppm halide impurity, the halide is selected from the group consisting of chloride, fluoride, bromide and iodide.

另一具體實例係關於一種用於將膜可流動的化學氣相沉積於基材上的組合物,該組合物包括2,2,6,6-四甲基-1-氧雜-2,6-二矽雜環己烷並且具有小於10 ppm的金屬離子雜質,該金屬離子係選自由Al3+ 、Fe2+ 、Fe3+ 、Ni2+ 及Cr3+ 所組成的群組。Another specific example relates to a composition for depositing a flowable chemical vapor film on a substrate. The composition includes 2,2,6,6-tetramethyl-1-oxa-2,6 -Disilane and has less than 10 ppm of metal ion impurities, and the metal ion is selected from the group consisting of Al 3+ , Fe 2+ , Fe 3+ , Ni 2+ and Cr 3+ .

本發明的各種態樣皆可單獨使用或互相組合使用。The various aspects of the present invention can be used alone or in combination with each other.

隨著而來的詳細描述僅提供較佳示範具體實例,而且無意限制本發明的範疇、適用性或組構。更確切地說,隨著而來的較佳示範具體實例的詳細描述提供給此領域之習知技藝者用於實施本發明的較佳示範具體實例之授權描述。在元件的功能及佈置方面可完成不同變化而不會悖離如後附申請專利範圍所述的發明之精神及範疇。The detailed description that follows only provides preferred exemplary specific examples, and is not intended to limit the scope, applicability, or configuration of the present invention. More specifically, the following detailed descriptions of preferred exemplary specific examples are provided to those skilled in the art for the authorized description of preferred exemplary specific examples of the present invention. Different changes can be made in the function and arrangement of the components without departing from the spirit and scope of the invention as described in the appended patent scope.

在該申請專利範圍中,字母可用以識別有請求權項的方法步驟(例如,a、b及c)。除非而且只要是該申請專利範圍有明確列舉此順序,這些字母係用以協助引用該方法步驟而且無意指示進行有請求權項的步驟之順序。In the scope of the patent application, letters can be used to identify the method steps (for example, a, b, and c) that have a claim. Unless and as long as this order is clearly listed in the scope of the application, these letters are used to assist in quoting the method steps and are not intended to indicate the order in which the claimed steps are carried out.

可流動的介電塗層可藉由使用類似於此技藝已知的製程達成,例如美國專利第7,888,233號、第7,582,555號及第7,915,139 B1號中所述的那些製程;在此以引用的方式將所有前述內容併入本文。將待塗佈的基材放入沉積艙。該基板的溫度可被控制為低於該艙壁。使該基材溫度保持於低於150℃的溫度,較佳為低於80℃的溫度,最佳為低於60℃,並且高於-30°C。本發明的較佳示例基材溫度介於-30°至0℃、0°至20℃、10°至30℃、20°至40℃、30°至60℃、40°至80°C、70°至150°C。該基材上面視需要地具有小尺寸的特徵,其寬度小於100 μm,較佳為寬度小於1 μm,最佳為寬度小於0.5 μm。該特徵的深寬比(深度對寬度比率),若有的話,係大於0.1:1,較佳為大於1:1,而且最佳為大於2:1。Flowable dielectric coatings can be achieved by using processes similar to those known in the art, such as those described in U.S. Patent Nos. 7,888,233, 7,582,555, and 7,915,139 B1; hereby incorporated by reference All the foregoing is incorporated into this article. Place the substrate to be coated into the deposition chamber. The temperature of the substrate can be controlled to be lower than the bulkhead. The temperature of the substrate is kept below 150°C, preferably below 80°C, most preferably below 60°C, and above -30°C. The preferred exemplary substrate temperature of the present invention is between -30° to 0°C, 0° to 20°C, 10° to 30°C, 20° to 40°C, 30° to 60°C, 40° to 80°C, 70° ° to 150 °C. The substrate may have small-sized features as needed, with a width of less than 100 μm, preferably a width of less than 1 μm, and most preferably a width of less than 0.5 μm. The aspect ratio (depth to width ratio) of the feature, if any, is greater than 0.1:1, preferably greater than 1:1, and most preferably greater than 2:1.

該基材可為單晶矽晶圓、碳化矽晶圓、氧化鋁(藍寶石)晶圓、玻璃板、金屬箔層、有機聚合物膜,或可為聚合性、玻璃、矽或金屬性3-維物件。該基材可以包括氧化矽、氮化矽、非晶形碳、氧碳化矽、氧氮化矽、碳化矽、砷化鎵及氮化鎵等膜類在內之此技藝中眾所周知的種種不同材料來塗佈。這些塗層可完全地塗佈該基材,可能以多重不同材料層塗佈,而且可經部分蝕刻以露出底下的材料層。該表面上面也可能有光阻材料,該光阻材料藉著一圖案來曝光並且顯影以部分塗佈該基材。The substrate can be single crystal silicon wafer, silicon carbide wafer, alumina (sapphire) wafer, glass plate, metal foil layer, organic polymer film, or it can be polymerized, glass, silicon or metallic 3- Dimensional objects. The substrate can include silicon oxide, silicon nitride, amorphous carbon, silicon oxycarbide, silicon oxynitride, silicon carbide, gallium arsenide, and gallium nitride films, including various materials that are well known in the art. Coating. These coatings can completely coat the substrate, possibly with multiple layers of different materials, and can be partially etched to expose the underlying material layer. There may also be a photoresist material on the surface, which is exposed and developed with a pattern to partially coat the substrate.

儘管可根據本發明使用任何合適的環狀矽氧烷前驅物,但是合適的矽前驅物的實例包括至少一具有以下所示構造的化合物:

Figure 02_image001
式I 其中R1-4 係獨立地選自氫、直鏈或分支C1 至C10 烷基、直鏈或分支C3 至C10 烯基、直鏈或分支C3 至C10 炔基、C1 至C6 二烷基胺基及C6 至C10 芳基;n= 1、2、3、4。較佳為R1-4 係獨立地選自氫及甲基。示範的具有式I的化合物包括,但不限於,2,2,5,5-四甲基-1-氧雜-2,5-二矽雜環戊烷、2,2,6,6-四甲基-1-氧雜-2,6-二矽雜環己烷。Although any suitable cyclic siloxane precursor can be used in accordance with the present invention, examples of suitable silicon precursors include at least one compound having the following structure:
Figure 02_image001
Formula I wherein R 1-4 are independently selected from hydrogen, linear or branched C 1 to C 10 alkyl, linear or branched C 3 to C 10 alkenyl, linear or branched C 3 to C 10 alkynyl, C 1 to C 6 dialkylamino group and C 6 to C 10 aryl group; n=1, 2, 3, 4. Preferably, R 1-4 are independently selected from hydrogen and methyl. Exemplary compounds of formula I include, but are not limited to, 2,2,5,5-tetramethyl-1-oxa-2,5-disilolane, 2,2,6,6-tetra Methyl-1-oxa-2,6-disilane.

本文所述的矽前驅物化合物可以各種不同方式運送至該反應艙例如電漿強化CVD反應器中。於一具體實例中,可利用液體運送系統。在一可供選擇的具體實例中,可運用合併液體運送和閃蒸製程單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器(turbo vaporizer),以使低揮發性材料能依體積運送,導致可再現的運送和沉積而不會使該前驅物熱分解。在液體運送配方中,本文所述的前驅物可以純液體形式運送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實例中,該前驅物配方可包括可能想要的適合特性和在特定最終用途應用中有優點的溶劑組分以將膜形成於基材上。The silicon precursor compounds described herein can be delivered to the reaction chamber in various ways, such as a plasma enhanced CVD reactor. In a specific example, a liquid delivery system can be used. In an alternative specific example, a combined liquid transport and flash evaporation process unit may be used, such as, for example, a turbo vaporizer manufactured by MSP Co., Ltd. of Shoreway, Minnesota, to make Low-volatility materials can be transported by volume, resulting in reproducible transport and deposition without thermal decomposition of the precursor. In the liquid delivery formulation, the precursors described herein can be delivered in pure liquid form, or can be used in a solvent formulation or a combination thereof. Therefore, in some specific examples, the precursor formulation may include suitable properties and solvent components that are advantageous in specific end-use applications to form a film on a substrate.

沉積可使用直接電漿或遠距電漿來源進行。對於該遠距電漿來源,可使用雙充氣增壓噴灑頭(dual plenum showerhead)來防止該矽前驅物的蒸氣與噴灑頭內的自由基之間的預混合,從而避免產生顆粒。為了使自由基壽命及自由基透射率最大化,可執行鐵氟龍(Teflon)塗佈。該遠距電漿來源可為舉例來說微波電漿來源。The deposition can be performed using direct plasma or remote plasma sources. For the remote plasma source, a dual plenum showerhead can be used to prevent the pre-mixing between the vapor of the silicon precursor and the free radicals in the showerhead, thereby avoiding the generation of particles. In order to maximize free radical life and free radical transmittance, Teflon coating can be performed. The remote plasma source can be, for example, a microwave plasma source.

該矽前驅物化合物較佳為實質上不含鹵素離子(例如氯離子)或金屬離子(例如鋁、鐵、鎳、鉻)。用於本文時,該措辭“實質上不含”當其闗係到鹵素離子(鹵化物)例如氯化物和氟化物、溴化物、碘化物時,而且當其關係到金屬離子例如Al3+ 、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 時,意指小於10 ppm (以重量計),或小於5 ppm (以重量計),較佳為小於3 ppm,而且更佳地小於1 ppm,而且最佳為0 ppm (例如,大於約0 ppm至小於約1 ppm)。據悉氯化物或金屬離子可作矽前驅物的分解觸媒。最終產物中有顯著量的氯化物會造成該矽前驅物降解。該矽前驅物逐漸降解可能直接衝擊到該膜沉積製程使半導體製造廠商難以符合膜的規範。除此之外,該儲存壽命或安定性受到該矽前驅物較高降解速率的負面衝擊,從而使其難以保證1至2年的儲存壽命。再者,據悉某些矽前驅物在分解之後會形成可燃性及/或自燃性氣體例如氫和甲矽烷(silane)。因此,關於這些可燃性及/或自燃性氣態副產物的形成使該矽前驅物的加速分解出現安全和性能上的問題。The silicon precursor compound is preferably substantially free of halogen ions (such as chloride ions) or metal ions (such as aluminum, iron, nickel, and chromium). As used herein, the term "substantially free" when it refers to halogen ions (halides) such as chloride and fluoride, bromide, and iodide, and when it refers to metal ions such as Al 3+ , Fe 2+ , Fe 3+ , Ni 2+ , Cr 3+ means less than 10 ppm (by weight), or less than 5 ppm (by weight), preferably less than 3 ppm, and more preferably less than 1 ppm, and preferably 0 ppm (for example, greater than about 0 ppm to less than about 1 ppm). It is reported that chlorides or metal ions can be used as decomposition catalysts for silicon precursors. A significant amount of chloride in the final product can cause degradation of the silicon precursor. The gradual degradation of the silicon precursor may directly impact the film deposition process, making it difficult for semiconductor manufacturers to meet film specifications. In addition, the storage life or stability is negatively impacted by the higher degradation rate of the silicon precursor, making it difficult to guarantee a storage life of 1 to 2 years. Furthermore, it is reported that some silicon precursors will form combustible and/or pyrophoric gases such as hydrogen and silane after decomposition. Therefore, the formation of these combustible and/or spontaneous gaseous by-products causes safety and performance problems in the accelerated decomposition of the silicon precursor.

實質上不含鹵化物的根據本發明的組合物能藉由以下方式達成(1) 在化學合成的期間還原或消除氯化物來源,及/或(2) 實施有效的純化製程以從粗製產物移除氯化合物使最終純化產物實質上不含氯化物。氯化物來源可能在合成的期間藉由使用不含鹵化物的試劑例如氯二矽烷類、溴二矽烷類或碘二矽烷類而減少,藉以避免含鹵離子的副產物產生。除此之外,前述試劑理應實質上不含氯化物雜質以致於結果產生的粗製產物實質上不含氯化物雜質。依類似方式,該合成理應沒使用含有無法接受的高濃度鹵化物污染物之以鹵化物為基礎的溶劑、觸媒或溶劑。該粗製產物也可藉由不同純化方法來處理使最終產物實質上不含鹵化物例如氯化物。此方法已經在先前技藝中明確描述而且,可包括,但不限於,純化製程例如蒸餾或吸附。蒸餾常利用沸點之間的差異用以從期望產物分離出雜質。吸附也可用以利用多組分的差異性吸附性質促成分離使最終產物實質上不含鹵化物。吸附劑例如,舉例來說,市售可得的MgO-Al2 O3 摻混物能用以移除鹵化物例如氯化物。The composition according to the present invention that is substantially free of halide can be achieved by (1) reducing or eliminating the source of chloride during chemical synthesis, and/or (2) implementing an effective purification process to remove the crude product The chlorine removal compound makes the final purified product substantially free of chloride. The source of chloride may be reduced during the synthesis by using halogen-free reagents such as chlorodisilanes, bromodisilanes, or iododisilanes to avoid the generation of halide-containing by-products. In addition, the aforementioned reagents should be substantially free of chloride impurities so that the resulting crude product is substantially free of chloride impurities. In a similar way, the synthesis should not use halide-based solvents, catalysts, or solvents that contain unacceptably high concentrations of halide contaminants. The crude product can also be processed by different purification methods to make the final product substantially free of halides such as chlorides. This method has been clearly described in the prior art and can include, but is not limited to, purification processes such as distillation or adsorption. Distillation often uses the difference between boiling points to separate impurities from the desired product. Adsorption can also be used to take advantage of the differential adsorption properties of multiple components to promote separation so that the final product is substantially free of halide. Adsorbents such as, for example, commercially available MgO-Al 2 O 3 blends can be used to remove halides such as chlorides.

用以形成本文所述的膜或塗層的方法係可流動的化學沉積製程。用於本文所揭示的方法之適當沉積製程的實例包括,但不限於,電漿強化化學氣相沉積(PECVD)、遠距電漿化學氣相沉積(RPCVD)、熱絲化學氣相沉積(HWCVD)或電漿強化循環式CVD (PECCVD)製程。如本文所用的,該措辭“可流動的化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物,該前驅物於該基材表面上反應及/或分解以提供可流動的寡聚合性含矽物種並且接著依賴進一步處理製造該固體膜或材料的任何製程。儘管本文所用的前驅物、試劑及來源有時候可能被描述成“氣態”,但是咸了解該前驅物可能是液態或固態,該前驅物係經由直接汽化、起泡或昇華利用或沒用惰性氣體運送至該反應器中。在一些案例中,該汽化前驅物能通過電漿產生器。於一具體實例中,該膜係利用以電漿為基礎(例如,遠距產生或現場)的CVD製程來沉積。用於本文時,該措辭“反應器”包括,但不限於,反應艙或沉積艙。The method used to form the film or coating described herein is a flowable chemical deposition process. Examples of suitable deposition processes for the methods disclosed herein include, but are not limited to, plasma enhanced chemical vapor deposition (PECVD), remote plasma chemical vapor deposition (RPCVD), hot filament chemical vapor deposition (HWCVD) ) Or plasma enhanced cyclic CVD (PECCVD) process. As used herein, the term "flowable chemical vapor deposition process" means exposing a substrate to one or more volatile precursors, which react and/or decompose on the surface of the substrate to provide flowable Of the oligomeric silicon-containing species and then rely on further processing to make any process for the solid film or material. Although the precursors, reagents and sources used herein may sometimes be described as "gaseous", it is understood that the precursors may be liquid or solid. The precursors are directly vaporized, bubbled, or sublimated, or use no inert gas. Ship to the reactor. In some cases, the vaporized precursor can pass through the plasma generator. In a specific example, the film is deposited using a plasma-based (for example, remote generation or on-site) CVD process. As used herein, the term "reactor" includes, but is not limited to, a reaction chamber or a deposition chamber.

於某些具體實例中,該基材可暴露於一或更多沉積前處理例如,但不限於,電漿處理、熱處理、化學處理、紫外線曝光、電子束曝光及其組合以影響該膜的一或更多性質。這些沉積前處理可在選自惰性、氧化性及/或還原性的氣氛之下進行。In some specific examples, the substrate may be exposed to one or more pre-deposition treatments such as, but not limited to, plasma treatment, heat treatment, chemical treatment, ultraviolet exposure, electron beam exposure, and combinations thereof to affect a portion of the film. Or more nature. These pre-deposition treatments can be carried out under an atmosphere selected from the group consisting of inert, oxidizing and/or reducing.

把能量施加於該化合物、含氮來源、氧來源、其他前驅物或其組合中的至少其一以引發反應並且將該含矽膜或塗層形成於該基材上。此能量能藉由,但不限於,熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合,來提供。於某些具體實例中,二次射頻頻率來源能用以變更該基材表面處的電漿特徵。於沉積涉及電漿的具體實例中,該電漿產生製程可能包含電漿直接於該反應器中產生的直接電漿產生製程,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生製程。Energy is applied to at least one of the compound, nitrogen-containing source, oxygen source, other precursors, or a combination thereof to initiate a reaction and form the silicon-containing film or coating on the substrate. This energy can be achieved by, but not limited to, heat, plasma, pulsed plasma, spiral plasma, high-density plasma, inductively coupled plasma, X-ray, electron beam, photon, remote plasma methods and combinations thereof , To provide. In some embodiments, the secondary RF frequency source can be used to modify the plasma characteristics at the surface of the substrate. In the specific example where the deposition involves plasma, the plasma generation process may include a direct plasma generation process in which plasma is directly generated in the reactor, or plasma is generated outside the reactor and supplied to the reactor. Long-distance plasma generation process.

如先前提及的,該方法將膜沉積於包含表面特徵的基材之至少一部分表面上。將該基材置於反應器中並且使該基材保持於介於約-20°C至約100°C的一或更多溫度。在一特定具體實例中,該基材的溫度係低於該艙的壁。該基材溫度係保持於低於150 °C的溫度,較佳為低於60 °C的溫度而且最佳地低於40 °C而且高於-20 °C。As previously mentioned, this method deposits a film on at least a portion of the surface of a substrate containing surface features. The substrate is placed in the reactor and the substrate is maintained at one or more temperatures ranging from about -20°C to about 100°C. In a specific embodiment, the temperature of the substrate is lower than the wall of the cabin. The substrate temperature is maintained at a temperature below 150 °C, preferably below 60 °C and most preferably below 40 °C and above -20 °C.

如先前提及的,該基材包含一或更多表面特徵例如間隙。於一特定具體實例中,該表面特徵具有100 µm或更小,1 µm寬或更小、或0.5 µm寬的寬度。於各個不同具體實例中,該表面特徵的深寬比(深度對寬度比率),若有的話,係0.1:1或更大、或1:1或更大、或10:1或更大、或20:1或更大、或40:1或更大。該基材可為單晶矽晶圓、碳化矽晶圓、氧化鋁(藍寶石)晶圓、玻璃板、金屬箔層、有機聚合物膜,或可為聚合性、玻璃、矽或金屬性3-維物件。該基材可以包括氧化矽、氮化矽、非晶性碳、氧碳化矽、氧氮化矽、碳化矽、砷化鎵及氮化鎵等膜類在內之此技藝中眾所周知的種種不同材料來塗佈。這些塗層可完全地塗佈該基材,可能以多重不同材料層塗佈,而且可經部分蝕刻以露出底下的材料層。該表面上面也可能有光阻劑材料,該光阻劑材料藉著一圖案來曝光並且顯影,以部分塗佈該基材。As previously mentioned, the substrate contains one or more surface features such as gaps. In a specific embodiment, the surface feature has a width of 100 µm or less, 1 µm or less, or 0.5 µm. In various specific examples, the aspect ratio (depth to width ratio) of the surface feature, if any, is 0.1:1 or greater, or 1:1 or greater, or 10:1 or greater, Or 20:1 or greater, or 40:1 or greater. The substrate can be single crystal silicon wafer, silicon carbide wafer, alumina (sapphire) wafer, glass plate, metal foil layer, organic polymer film, or it can be polymerized, glass, silicon or metallic 3- Dimensional objects. The substrate can include silicon oxide, silicon nitride, amorphous carbon, silicon oxycarbide, silicon oxynitride, silicon carbide, gallium arsenide, gallium nitride and other films, including various materials well-known in the art. Come to coat. These coatings can completely coat the substrate, possibly with multiple layers of different materials, and can be partially etched to expose the underlying material layer. There may also be a photoresist material on the surface, and the photoresist material is exposed and developed with a pattern to partially coat the substrate.

於某些具體實例中,該反應器係於低於大氣壓力或50托耳或更小,或10托耳或更小的壓力。於較佳具體實例中,將該反應器的壓力保持於約0.1托耳至約10托耳的範圍。於另一具體實例中,該反應器的壓力係保持於約10托耳至約30托耳的範圍內以提供於熱退火時具有較小收縮的可流動的氧化矽。In some embodiments, the reactor is at a pressure below atmospheric pressure or 50 Torr or less, or 10 Torr or less. In a preferred embodiment, the pressure of the reactor is maintained in the range of about 0.1 Torr to about 10 Torr. In another embodiment, the pressure of the reactor is maintained in the range of about 10 Torr to about 30 Torr to provide flowable silica with less shrinkage during thermal annealing.

於一般態樣中,本發明係關於如以上發明內容中所述的方法及組合物。In a general aspect, the present invention relates to methods and compositions as described in the above summary of the invention.

於另一態樣中,提供一種用於沉積含矽膜的方法,該方法包含: 將包含表面特徵的基材放入反應器中,該基材係保持於介於約-20°C至約150°C的一或更多溫度下並且該反應器的壓力係保持於100托耳或更低; 引入至少一化合物,該化合物係選自由至少一具有以下所示的構造之化合物所組成的群組:

Figure 02_image001
式I 其中R1-4 係獨立地選自氫、直鏈或分支C1 至C10 烷基、直鏈或分支C3 至C10 烯基、直鏈或分支C3 至C10 炔基、C1 至C6 二烷基胺基及C6 至C10 芳基,n= 1、2、3、4。較佳為R1-4 係獨立地選自氫及甲基; 提供活化氧來源至該反應器中以與該至少一化合物反應形成膜並且覆蓋該表面特徵的至少一部分,該氧來源係藉由,舉例來說,原位電漿(in-situ plasma)或遠距電漿活化; 於約100℃至1000℃的一或更多溫度下將該膜退火,並且視需要地接著此熱退火步驟之後,將該塗層暴露於UV輻射以供進一步退火;及 視需要地於約100℃至約1000℃的一或更多溫度下用氧源處理該基材,以將含矽膜形成於該表面特徵的至少一部分上。於某些具體實例中,該氧源係選自由水蒸氣、水電漿、臭氧、氧、氧電漿、氧/氦電漿、氧/氬電漿、氮氧化物電漿、二氧化碳電漿、過氧化氫、有機過氧化物及其混合物所組成的群組。於各個不同具體實例中,將該方法步驟重複進行到該表面特徵被該含矽膜填充。於水蒸氣用作氧源的具體實例中,該基材溫度介於約-20℃至約40℃或約-10℃至約25℃。In another aspect, a method for depositing a silicon-containing film is provided, the method comprising: placing a substrate containing surface features in a reactor, the substrate being maintained at a temperature between about -20°C and about One or more temperatures of 150°C and the pressure of the reactor is maintained at 100 Torr or lower; at least one compound is introduced, and the compound is selected from the group consisting of at least one compound having the structure shown below group:
Figure 02_image001
Formula I wherein R 1-4 are independently selected from hydrogen, linear or branched C 1 to C 10 alkyl, linear or branched C 3 to C 10 alkenyl, linear or branched C 3 to C 10 alkynyl, C 1 to C 6 dialkylamino group and C 6 to C 10 aryl group, n=1, 2, 3, 4. Preferably, R 1-4 are independently selected from hydrogen and methyl; an activated oxygen source is provided to the reactor to react with the at least one compound to form a film and cover at least a part of the surface features, the oxygen source is obtained by For example, in-situ plasma or remote plasma activation; annealing the film at one or more temperatures of about 100°C to 1000°C, and optionally following this thermal annealing step Afterwards, the coating is exposed to UV radiation for further annealing; and if necessary, the substrate is treated with an oxygen source at one or more temperatures ranging from about 100°C to about 1000°C to form a silicon-containing film on the At least part of the surface features. In some specific examples, the oxygen source is selected from water vapor, water plasma, ozone, oxygen, oxygen plasma, oxygen/helium plasma, oxygen/argon plasma, nitrogen oxide plasma, carbon dioxide plasma, over The group consisting of hydrogen oxide, organic peroxides and their mixtures. In various specific examples, the method steps are repeated until the surface features are filled with the silicon-containing film. In a specific example in which water vapor is used as the oxygen source, the temperature of the substrate is about -20°C to about 40°C or about -10°C to about 25°C.

於另一態樣中,提供一種用於沉積含矽膜的方法,該含矽膜係選自由氮化矽、摻碳的氮化矽、氧氮化矽及摻碳的氧氮化矽膜所組成的群組,該方法包含: 將包含表面特徵的基材放入反應器中,該反應器係加熱至介於-20°C至約150°C的溫度並且保持於100托耳或更低的壓力; 將至少一化合物引入該反應器,該化合物係選自由至少一具有以下所示的構造之化合物所組成的群組:

Figure 02_image001
式I 其中R1-4 係獨立地選自氫、直鏈或分支C1 至C10 烷基、直鏈或分支C3 至C10 烯基、直鏈或分支C3 至C10 炔基、C1 至C6 二烷基胺基及C6 至C10 芳基,n= 1、2、3、4。較佳為R1-4 係獨立地選自氫及甲基; 提供電漿來源,遠距或原位,至該反應器中以與該化合物反應形成塗層於該表面特徵的至少一部分上。於一特定具體實例中,與該化合物反應形成塗層的電漿來源係選自由以下所組成的群組:氮電漿;包含氮和氦的電漿;包含氮和氬的電漿;氨電漿;包含氨和氦的電漿;包含氨和氬的電漿;氦電漿;氬電漿;氫電漿;包括氫和氦的電漿;包含氫和氬的電漿;包含氨和氫的電漿;有機胺電漿;及其混合物;及 於介於約100℃至1000℃或約100℃至400℃的一或更多溫度下將該塗層退火以將含矽膜形成於該表面特徵的至少一部分上。此熱退火步驟之後可視需要地使該塗層暴露於UV輻射以供進一步退火。對於可流動的電漿強化CVD方法,可重複上述步驟直到該表面特徵被填充緻密化膜為止。In another aspect, a method for depositing a silicon-containing film is provided. The silicon-containing film is selected from silicon nitride, carbon-doped silicon nitride, silicon oxynitride, and carbon-doped silicon oxynitride film. The method comprises: placing a substrate containing surface features in a reactor, which is heated to a temperature between -20°C and about 150°C and maintained at 100 Torr or less The pressure of at least one compound is introduced into the reactor, and the compound is selected from the group consisting of at least one compound having the structure shown below:
Figure 02_image001
Formula I wherein R 1-4 are independently selected from hydrogen, linear or branched C 1 to C 10 alkyl, linear or branched C 3 to C 10 alkenyl, linear or branched C 3 to C 10 alkynyl, C 1 to C 6 dialkylamino group and C 6 to C 10 aryl group, n=1, 2, 3, 4. Preferably, R 1-4 are independently selected from hydrogen and methyl; provide a source of plasma, remotely or in situ, into the reactor to react with the compound to form a coating on at least a part of the surface feature. In a specific embodiment, the source of plasma that reacts with the compound to form a coating is selected from the group consisting of: nitrogen plasma; plasma containing nitrogen and helium; plasma containing nitrogen and argon; ammonia electricity Plasma; Plasma containing ammonia and helium; Plasma containing ammonia and argon; Helium plasma; Argon plasma; Hydrogen plasma; Plasma containing hydrogen and helium; Plasma containing hydrogen and argon; Plasma containing ammonia and hydrogen And mixtures thereof; and annealing the coating at one or more temperatures ranging from about 100°C to 1000°C or about 100°C to 400°C to form a silicon-containing film on the At least part of the surface features. After this thermal annealing step, optionally expose the coating to UV radiation for further annealing. For the flowable plasma enhanced CVD method, the above steps can be repeated until the surface feature is filled with the densified film.

本發明的前驅物及其他含有其中所含的一或更多組分之相關配方可以玻璃、塑料或金屬容器或此技藝中已知的其他合適容器儲存,運輸及運送,例如以下美國專利第4,828,131號;第6,077,356號;第6,526,824號;第7,124,913號;及第7,261,118號所揭示的容器,在此以引用的方式將所有這些文獻的全文併入本文。The precursors of the present invention and other related formulations containing one or more components contained therein can be stored, transported and transported in glass, plastic or metal containers or other suitable containers known in the art, for example, the following US Patent No. 4,828,131 No. 6,077,356; No. 6,526,824; No. 7,124,913; and No. 7,261,118 disclosed containers, all of these documents are hereby incorporated by reference in their entirety.

也可以使用塑料或玻璃襯底的金屬容器。較佳地,該材料係由頂部空間中有惰性氣體的氣密密封的高純度不銹鋼或鎳合金容器來儲存及運送。最佳地,該材料係由氣密密封的高純度不銹鋼或鎳合金容器來儲存及運送,該容器配備有下管(down tube)及與該容器的蒸氣空間連通的出口;允許產物能以液體方式從下管或以蒸氣方式從與蒸氣相連通的出口連接件運送。在後面情況下,該下管可視需要地用以將載氣(carrier gas)引入該容器以促進混合物的蒸發。於此具體實例中,該下管和蒸氣出口連接件配備有高度完整的無迫緊閥(packless valve)。雖然較佳為運送液體以免本文所述的配方之組分分離,但是應注意本發明的配方與足夠接近以使該配方能以蒸氣混合物方式運送之組分的蒸氣壓匹配。不銹鋼可較佳地選自UNS合金編號S31600、S31603、S30400、S30403、S31700、S31703、S31500、S31803、S32750及S31254。鎳合金可較佳地選自UNS合金編號N06625、N10665、N06022、N10276及N06007。最佳地,該容器係由合金S31603或N06022製成,無論是未經塗佈、經內部電解拋光或內部塗有氟聚合物。Metal containers with plastic or glass substrates can also be used. Preferably, the material is stored and transported in a hermetically sealed high-purity stainless steel or nickel alloy container with an inert gas in the headspace. Optimally, the material is stored and transported in a hermetically sealed high-purity stainless steel or nickel alloy container equipped with a down tube and an outlet communicating with the vapor space of the container; allowing the product to be liquid It is transported from the down tube or steam from the outlet connection connected with the steam. In the latter case, the down tube may optionally be used to introduce carrier gas into the container to promote the evaporation of the mixture. In this specific example, the down tube and vapor outlet connection are equipped with a highly complete packless valve. Although it is preferable to transport liquids to avoid separation of the components of the formulations described herein, it should be noted that the formulations of the present invention match the vapor pressures of the components close enough to enable the formulation to be transported as a vapor mixture. The stainless steel may preferably be selected from UNS alloy numbers S31600, S31603, S30400, S30403, S31700, S31703, S31500, S31803, S32750 and S31254. The nickel alloy can preferably be selected from UNS alloy numbers N06625, N10665, N06022, N10276 and N06007. Preferably, the container is made of alloy S31603 or N06022, whether uncoated, internally electropolished, or internally coated with fluoropolymer.

本文所述的配方可用以提供可流動的氧化矽膜的快速和均勻沉積。本文所述的配方可與含有水和視需要的共溶劑、表面活性劑及其他添加物的另一反應物一起使用並且沉積於基材上。該反應配方的分佈或運送可藉由直接液體注入、噴霧、浸漬、共縮合(co-condensation)或離心旋塗達成。然後使該配方反應直至獲得固體膜或主體。接著可使用惰性氣體、真空、熱或外部能源(光、熱、電漿、電子束等等)除去未反應的揮發性物質,包括溶劑及未反應的水,以促進該膜的縮合。本發明的配方可較佳地以製程流體例如但不限於,氣相、液滴、薄霧、濃霧、氣溶膠、昇華固體或其與水的組合之方式輸送到包含於沉積艙中的基材,而且也添加視需要地共溶劑和其它添加物作為製程流體例如氣體、蒸氣、氣溶膠、薄霧或其組合。較佳地,本發明的配方於基材表面上縮合或溶解成縮合膜,其可有利地被保持於低於該艙壁溫度的溫度。本發明的共混沉積前驅物及觸媒可以均勻速率於該基材表面上反應,使反應產物成為非揮發性膜。然後可藉由氣體吹洗、真空、加熱、外部輻射(光、電漿、電子束等等)除去未反應的前驅物、水及視需要的共溶劑及添加物直到獲得穩定的固體含矽膜為止。The formulations described herein can be used to provide rapid and uniform deposition of flowable silicon oxide films. The formulations described herein can be used with another reactant containing water and optionally co-solvents, surfactants, and other additives and deposited on a substrate. The distribution or delivery of the reaction formula can be achieved by direct liquid injection, spraying, dipping, co-condensation or centrifugal spin coating. The formulation is then allowed to react until a solid film or body is obtained. Then, inert gas, vacuum, heat, or external energy sources (light, heat, plasma, electron beam, etc.) can be used to remove unreacted volatile substances, including solvents and unreacted water, to promote the condensation of the film. The formulation of the present invention can preferably be transported to the substrate contained in the deposition chamber in the form of a process fluid such as, but not limited to, gas phase, droplets, mist, dense mist, aerosol, sublimation solid or a combination with water. , And optionally co-solvents and other additives are added as process fluids such as gas, vapor, aerosol, mist or a combination thereof. Preferably, the formulation of the present invention condenses or dissolves on the surface of the substrate to form a condensation film, which can be advantageously maintained at a temperature lower than the temperature of the bulkhead. The blended deposition precursor and catalyst of the present invention can react on the surface of the substrate at a uniform rate, so that the reaction product becomes a non-volatile film. Then the unreacted precursors, water, co-solvents and additives can be removed by gas purging, vacuum, heating, external radiation (light, plasma, electron beam, etc.) until a stable solid silicon-containing film is obtained until.

在整個說明書中,用於本文時該措辭“氧化矽”表示包含矽和氧的膜,該膜係選自由化學計量或非化學計量的氧化矽、摻碳的氧化矽、碳氧氮化矽及其混合物所組成的群組。使用具有式I或II的矽前驅物及製程所形成的含矽膜或氮化矽膜之實例具有此配方Six Oy Cz Nv Hw ,其中Si介於約10%至約50%;O介於約0%至約70%;C介於約0%至約40%;N介於約10%至約75%或約10%至60%;而且H介於約0%至約10%原子百分比重量%,其中舉例來說藉由X-射線光電子光譜術(XPS)或二次離子質譜術(SIMS)測定時,x+y+z+v+w = 100個原子重量百分比。Throughout the specification, when used herein, the term "silicon oxide" means a film containing silicon and oxygen, and the film is selected from stoichiometric or non-stoichiometric silicon oxide, carbon-doped silicon oxide, silicon carbon oxynitride and The group consisting of its mixture. Examples of silicon-containing films or silicon nitride films formed using silicon precursors and processes of formula I or II have this formula Si x O y C z N v H w , where Si is between about 10% and about 50% ; O is between about 0% to about 70%; C is between about 0% to about 40%; N is between about 10% to about 75% or about 10% to 60%; and H is between about 0% to about 10% atomic weight%, where, for example, when measured by X-ray photoelectron spectroscopy (XPS) or secondary ion mass spectrometry (SIMS), x+y+z+v+w=100 atomic weight%.

在整個說明書中,用於本文時該措辭“特徵”表示半導體基材或具有通孔、溝槽等等的半導體基材半成品。Throughout the specification, the term "feature" when used herein means a semiconductor substrate or a semi-finished semiconductor substrate having through holes, trenches, and the like.

下列實施例舉例說明本發明的某些具體實例。這些實施例不會限制後附的申請專利範圍之範疇。 實施例The following examples illustrate some specific examples of the invention. These embodiments do not limit the scope of the attached patent application. Example

該可流動的化學氣相沉積(FCVD)膜係沉積於中等電阻率(8至12 Ωcm)單晶矽晶圓基材及Si圖案晶圓上。關於該圖案晶圓,較佳的圖案寬度為20至100 nm,而且深寬比為5:1至20:1。沉積皆靠Applied Materials Precision 5000系統的改良型FCVD艙,利用雙充氣增壓噴灑頭(dual plenum showerhead)進行。該艙具備直接液體注射(DLI)運送能力。該前驅物依據該前驅物的沸點藉著運送溫度保持液態。為了沉積初始可流動的氮化物膜,典型液體前驅物流速介於約100至約5000 mg/min,較佳為1000至2000 mg/min;艙壓介於約0.75至12托耳,較佳為0.5至2托耳。特別是,遠距功率係由0至3000 W,加上2.455 GHz頻率,從2至8托耳操作的MKS微波產生器供應。為了使原沉積的可流動膜緻密化,該膜係利用改良型PECVD艙於100至1000o C,較佳地300至400o C下,於真空中熱退火及/或UV固化。藉由SCI反射計或Woollam橢圓儀來測量厚度及於632 nm的折射率(RI)。典型膜厚度介於約10至約2000 nm。該以矽為主的膜之鍵結性質氫含量(Si-H、C-H及N-H)皆藉由Nicolet透射式傅利葉轉換紅外線光譜(FTIR)設備來測量並且分析。進行X-射線光電子能譜(XPS)分析以測定該膜的元素組成。採用水銀探針來測量包括介電常數、洩漏電流及擊穿電場在內的電氣性質。鋁圖案化晶圓上的流動性及間隙填充效應係藉由橫截面的掃描式電子顯微鏡(SEM)使用Hitachi S-4800系統於2.0 nm的解析度下觀察。The flowable chemical vapor deposition (FCVD) film is deposited on a medium resistivity (8-12 Ωcm) single crystal silicon wafer substrate and Si patterned wafer. Regarding the patterned wafer, the preferred pattern width is 20 to 100 nm, and the aspect ratio is 5:1 to 20:1. The deposition is performed by the modified FCVD chamber of the Applied Materials Precision 5000 system, using dual plenum showerheads. The cabin has direct liquid injection (DLI) transport capabilities. The precursor is kept in a liquid state by the transport temperature according to the boiling point of the precursor. In order to deposit an initially flowable nitride film, the typical liquid precursor flow rate is between about 100 to about 5000 mg/min, preferably 1000 to 2000 mg/min; the tank pressure is between about 0.75 to 12 Torr, preferably 0.5 to 2 Torr. In particular, the long-distance power is supplied by a MKS microwave generator operating from 2 to 8 Torr with a frequency of 2.455 GHz from 0 to 3000 W. In order to make the film as deposited flowable densified using the modified film based PECVD chamber at 100 to 1000 o C, preferably at 300 to 400 o C, in a vacuum thermal annealing, and / or UV curing. Measure the thickness and refractive index (RI) at 632 nm by SCI reflectometer or Woollam ellipsometer. The typical film thickness is between about 10 to about 2000 nm. The bonding property hydrogen content (Si-H, CH, and NH) of the silicon-based film is measured and analyzed by Nicolet transmission Fourier transform infrared spectroscopy (FTIR) equipment. X-ray photoelectron spectroscopy (XPS) analysis was performed to determine the elemental composition of the film. A mercury probe is used to measure electrical properties including dielectric constant, leakage current, and breakdown electric field. The fluidity and gap filling effect on the aluminum patterned wafer were observed by a cross-sectional scanning electron microscope (SEM) using a Hitachi S-4800 system at a resolution of 2.0 nm.

2,2,5,5-四甲基-2,5-二矽雜-1-氧雜環戊烷(TMDSOCH)係用於遠距電漿來源(RPS)的可流動的SiOC膜沉積。該TMDSOCH流量為2100 mg/min,氧流量為3000 sccm,壓力為2.5托耳。該基材溫度為40℃。該微波功率為2000 W。該原沉積膜係於300℃下熱退火5分鐘,然後於400℃下UV固化4分鐘。該原沉積膜的厚度及折射率為1675.8 nm及1.431,於熱退火之後該厚度及折射率為1249.9 nm及1.423,指示於提高溫度下有一些揮發性寡聚物損失。藉由XPS測量的熱退火膜的元素組成為30.6% C、40.0% O及29.4% Si。於熱退火之後該膜的介電常數為3.50,這歸因於懸鍵(dangling bond)引起的一些吸濕性。於UV固化之後,厚度及折射率為968.3 nm及1.349,指示該膜受到UV固化而改質並且引入一些孔隙率。藉由XPS測得熱退火及UV固化之後的膜元素組成為21.6% C、45.4% O及33.0% Si,指示藉著UV固化的膜中有碳損失。該UV固化膜的介電常數為2.56。橫截面SEM指示圖案化晶圓上已達成良好的間隙填充。圖1和圖2顯示良好的間隙填充。該膜被熱退火並且UV固化。圖3顯示(a) 該原沉積膜、(b) 於熱退火之後的膜及(c) 於熱退火及UV固化之後的膜之FTIR光譜。2,2,5,5-Tetramethyl-2,5-disila-1-oxolane (TMDSOCH) is a flowable SiOC film deposition for remote plasma sources (RPS). The TMDSOCH flow rate is 2100 mg/min, the oxygen flow rate is 3000 sccm, and the pressure is 2.5 Torr. The substrate temperature is 40°C. The microwave power is 2000 W. The as-deposited film was thermally annealed at 300°C for 5 minutes, and then UV cured at 400°C for 4 minutes. The thickness and refractive index of the as-deposited film were 1675.8 nm and 1.431. After thermal annealing, the thickness and refractive index were 1249.9 nm and 1.423, indicating that some volatile oligomers were lost at elevated temperature. The elemental composition of the thermally annealed film measured by XPS is 30.6% C, 40.0% O, and 29.4% Si. The dielectric constant of the film after thermal annealing was 3.50, which was attributed to some hygroscopicity caused by dangling bonds. After UV curing, the thickness and refractive index were 968.3 nm and 1.349, indicating that the film was modified by UV curing and introduced some porosity. The elemental composition of the film after thermal annealing and UV curing measured by XPS was 21.6% C, 45.4% O and 33.0% Si, indicating that there was carbon loss in the film cured by UV. The dielectric constant of the UV cured film was 2.56. The cross-sectional SEM indicates that a good gap filling has been achieved on the patterned wafer. Figure 1 and Figure 2 show good gap filling. The film is thermally annealed and UV cured. Figure 3 shows the FTIR spectra of (a) the as-deposited film, (b) the film after thermal annealing, and (c) the film after thermal annealing and UV curing.

儘管上文已經關聯較佳具體實例描述本發明的原理,但是應清楚理解的是此敘述僅藉由示範的方式進行,而不是作為對本發明範疇的限制。Although the principle of the present invention has been described above with preferred specific examples, it should be clearly understood that this description is only performed by way of example, and is not intended to limit the scope of the present invention.

圖1係根據實施例1沉積之具有有機矽酸鹽玻璃膜的圖案化晶圓於熱退火之後的SEM照片;Figure 1 is an SEM photograph of a patterned wafer with an organosilicate glass film deposited according to Example 1 after thermal annealing;

圖2係圖1中所描繪的圖案化晶圓接著進行UV固化步驟之後的SEM照片;Figure 2 is an SEM photograph of the patterned wafer depicted in Figure 1 after the UV curing step;

圖3係根據實施例1的原沉積膜於熱退火或UV固化之前的FTIR圖;3 is an FTIR diagram of the original deposited film according to Example 1 before thermal annealing or UV curing;

圖4係根據實施例1的原沉積膜於熱退火之後但是UV固化之前的FTIR圖;及4 is the FTIR image of the as-deposited film according to Example 1 after thermal annealing but before UV curing; and

圖5係根據實施例1的原沉積膜於熱退火之後而且於UV固化之後的FTIR圖。5 is an FTIR image of the as-deposited film according to Example 1 after thermal annealing and after UV curing.

Claims (9)

一種用於將含矽膜形成於基材上之可流動的化學氣相沉積方法,該方法包含將該基材放入反應艙並且將至少一由式I所示的環狀矽氧烷化合物及至少一活化物種引進該艙,
Figure 108120832-A0305-02-0020-1
其中R1-4係獨立地選自氫、直鏈或分支C1至C10烷基、直鏈或分支C3至C10烯基、直鏈或分支C3至C10炔基、二-C1至C6-烷基胺基及C6至C10芳基,而且n=1、2、3、4,其中該反應器條件係控制使該含矽化合物及該活化物種反應並且於該基材上縮合為可流動膜,而且其中該至少一活化物種係相對於該反應艙以遠距活化。
A flowable chemical vapor deposition method for forming a silicon-containing film on a substrate, the method comprising placing the substrate in a reaction chamber and placing at least one cyclic siloxane compound represented by formula I and At least one activated species was introduced into the cabin,
Figure 108120832-A0305-02-0020-1
Wherein R 1-4 is independently selected from hydrogen, linear or branched C 1 to C 10 alkyl, linear or branched C 3 to C 10 alkenyl, linear or branched C 3 to C 10 alkynyl, di- C 1 to C 6 -alkylamino group and C 6 to C 10 aryl group, and n=1, 2, 3, 4, wherein the reactor conditions are controlled so that the silicon-containing compound and the activated species react and react with each other The substrate is condensed into a flowable film, and the at least one activated species is activated remotely from the reaction chamber.
如申請專利範圍第1項之方法,其中該基材包含其間具有高深寬比間隙的表面特徵,並且其中該含矽化合物與該活化物種反應以於該間隙內形成該可流動膜。 Such as the method of claim 1, wherein the substrate includes surface features with a high aspect ratio gap therebetween, and wherein the silicon-containing compound reacts with the activated species to form the flowable film in the gap. 如申請專利範圍第2項之方法,其中該高深寬比間隙具有介於3:1至10:1的深寬比。 Such as the method of the second item in the scope of patent application, wherein the high aspect ratio gap has an aspect ratio ranging from 3:1 to 10:1. 如申請專利範圍第1項之方法,其中該活化物種係使用遠距電漿來源、遠距微波來源或遠距熱絲系統(remote hot-wire system)產生。 Such as the method of the first item in the scope of the patent application, wherein the activated species is produced using a remote plasma source, a remote microwave source, or a remote hot-wire system. 如申請專利範圍第1項之方法,其中該至少一活化物種係藉由電漿來源或遠距微波來源作用於選自由水蒸氣、臭氧、氧、氧/氦、氧/氬、氮氧化 物、二氧化碳、過氧化氫、有機過氧化物及其混合物所組成的群組之物種所產生的氧化劑。 Such as the method of claim 1, wherein the at least one activated species is selected from water vapor, ozone, oxygen, oxygen/helium, oxygen/argon, and nitrogen oxidation by plasma source or remote microwave source Oxidants produced by species in the group consisting of carbon dioxide, hydrogen peroxide, organic peroxides and their mixtures. 如申請專利範圍第1項之方法,其中該至少一環狀矽氧烷化合物包含2,2,5,5-四甲基-1-氧雜-2,5-二矽雜環戊烷及2,2,6,6-四甲基-1-氧雜-2,6-二矽雜環己烷中的一或二者。 Such as the method of claim 1, wherein the at least one cyclic siloxane compound comprises 2,2,5,5-tetramethyl-1-oxa-2,5-disilolane and 2 , One or both of 2,6,6-tetramethyl-1-oxa-2,6-disilane. 如申請專利範圍第1項之方法,其另外包含:利用選自由電漿、UV輻射及熱退火所組成的群組之處理方式來處理該可流動膜。 Such as the method of the first item of the patent application, which additionally includes: processing the flowable film by a processing method selected from the group consisting of plasma, UV radiation and thermal annealing. 如申請專利範圍第7項之方法,其中利用該處理方式處理該可流動膜的步驟使該可流動膜轉換成介電材料。 Such as the method of item 7 of the scope of patent application, wherein the step of processing the flowable film by the processing method converts the flowable film into a dielectric material. 如申請專利範圍第1項之方法,其中該至少一活化物種係藉由電漿來源或遠距微波來源作用於選自由氮、氮和氦的混合物、氮和氬的混合物、氨、氨和氦的混合物、氨和氬的混合物、氦、氬、氫、氫和氦的混合物、氫和氬的混合物、氨和氫的混合物、有機胺及其混合物所組成的群組之物種產生。Such as the method of claim 1, wherein the at least one activated species acts on a mixture of nitrogen, nitrogen and helium, a mixture of nitrogen and argon, ammonia, ammonia, and helium by a plasma source or a remote microwave source A mixture of ammonia and argon, a mixture of helium, argon, hydrogen, a mixture of hydrogen and helium, a mixture of hydrogen and argon, a mixture of ammonia and hydrogen, organic amines and their mixtures are produced by species in the group.
TW108120832A 2018-06-15 2019-06-17 Siloxane compositions and methods for using the compositions to deposit silicon containing films TWI710659B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862685867P 2018-06-15 2018-06-15
US62/685867 2018-06-15
US16/442511 2019-06-16
US16/442,511 US20190382886A1 (en) 2018-06-15 2019-06-16 Siloxane Compositions and Methods for Using the Compositions to Deposit Silicon Containing Films

Publications (2)

Publication Number Publication Date
TW202000968A TW202000968A (en) 2020-01-01
TWI710659B true TWI710659B (en) 2020-11-21

Family

ID=68839645

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109136716A TW202120734A (en) 2018-06-15 2019-06-17 Siloxane Compositions and Methods For Using The Compositions To Deposit Silicon Containing Films
TW108120832A TWI710659B (en) 2018-06-15 2019-06-17 Siloxane compositions and methods for using the compositions to deposit silicon containing films

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109136716A TW202120734A (en) 2018-06-15 2019-06-17 Siloxane Compositions and Methods For Using The Compositions To Deposit Silicon Containing Films

Country Status (9)

Country Link
US (1) US20190382886A1 (en)
EP (1) EP3807446A4 (en)
JP (1) JP7230067B2 (en)
KR (1) KR102555932B1 (en)
CN (1) CN112334597B (en)
IL (1) IL279320A (en)
SG (1) SG11202011887XA (en)
TW (2) TW202120734A (en)
WO (1) WO2019241763A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021202335A1 (en) * 2020-03-31 2021-10-07 Versum Materials Us, Llc New precursors for depositing films with high elastic modulus
CN117524851B (en) * 2024-01-03 2024-05-14 长鑫新桥存储技术有限公司 Preparation method of silicon oxide film and semiconductor structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100022792A1 (en) * 2006-09-14 2010-01-28 Qionghua Shen Synthetic process for cyclic organosilanes
US20180122632A1 (en) * 2016-11-02 2018-05-03 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense osg films

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3636888A1 (en) 1986-10-30 1988-05-11 Merck Patent Gmbh CONTAINER FOR HIGH-PURITY CHEMICALS
US6717014B1 (en) * 1996-06-28 2004-04-06 Fmc Corporation Processes for preparing haloamines and tertiary aminoalkylorganometallic compounds
DE69738136T2 (en) 1996-12-17 2008-06-12 Advanced Technology Materials, Inc., Danbury REAGENT TANK FOR CVD
US6235864B1 (en) * 1999-05-12 2001-05-22 Sandia Corporation Non-strinking siloxane polymers
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US6526824B2 (en) 2001-06-07 2003-03-04 Air Products And Chemicals, Inc. High purity chemical container with external level sensor and liquid sump
US7124913B2 (en) 2003-06-24 2006-10-24 Air Products And Chemicals, Inc. High purity chemical container with diptube and level sensor terminating in lowest most point of concave floor
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7998536B2 (en) 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
JP2010275602A (en) * 2009-05-29 2010-12-09 Adeka Corp Raw material for chemical vapor deposition and method for depositing silicon-containing thin film using the same
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
US8952118B2 (en) * 2011-08-12 2015-02-10 Gelest Technologies, Inc. Dual functional linear siloxanes, step-growth polymers derived therefrom, and methods of preparation thereof
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US9840503B2 (en) * 2015-05-11 2017-12-12 Incyte Corporation Heterocyclic compounds and uses thereof
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
KR102259262B1 (en) * 2016-07-19 2021-05-31 어플라이드 머티어리얼스, 인코포레이티드 Deposition of flowable silicon-containing films
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100022792A1 (en) * 2006-09-14 2010-01-28 Qionghua Shen Synthetic process for cyclic organosilanes
US20180122632A1 (en) * 2016-11-02 2018-05-03 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense osg films

Also Published As

Publication number Publication date
WO2019241763A1 (en) 2019-12-19
TW202120734A (en) 2021-06-01
TW202000968A (en) 2020-01-01
KR20210008172A (en) 2021-01-20
JP2021527956A (en) 2021-10-14
IL279320A (en) 2021-01-31
EP3807446A1 (en) 2021-04-21
JP7230067B2 (en) 2023-02-28
KR102555932B1 (en) 2023-07-13
CN112334597B (en) 2023-03-10
CN112334597A (en) 2021-02-05
US20190382886A1 (en) 2019-12-19
SG11202011887XA (en) 2020-12-30
EP3807446A4 (en) 2022-03-30

Similar Documents

Publication Publication Date Title
TWI654335B (en) Precursor for manufacturing low-k film for filling surface features and flow CVD method
JP6949912B2 (en) Compositions for depositing silicon-containing membranes and methods using them
JP6845252B2 (en) Compositions for depositing silicon-containing membranes and methods using them
JP2019507956A (en) Composition for deposition of silicon-containing films and method using the same
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP7485732B2 (en) Compositions and methods of using the compositions for deposition of silicon-containing films - Patents.com
TWI710659B (en) Siloxane compositions and methods for using the compositions to deposit silicon containing films
TWI744957B (en) Compositions and methods using same for deposition of silicon-containing film