TWI685725B - Inspection tool, lithographic apparatus, lithographic system, inspection method and device manufacturing method - Google Patents

Inspection tool, lithographic apparatus, lithographic system, inspection method and device manufacturing method Download PDF

Info

Publication number
TWI685725B
TWI685725B TW107124091A TW107124091A TWI685725B TW I685725 B TWI685725 B TW I685725B TW 107124091 A TW107124091 A TW 107124091A TW 107124091 A TW107124091 A TW 107124091A TW I685725 B TWI685725 B TW I685725B
Authority
TW
Taiwan
Prior art keywords
interest
electron beam
inspection tool
substrate
control unit
Prior art date
Application number
TW107124091A
Other languages
Chinese (zh)
Other versions
TW201917492A (en
Inventor
李察 昆塔尼哈
厄文 保羅 史莫克曼
都古 阿克布魯特
尼蒂希 庫馬
賽巴斯汀亞努斯 安德里亞努斯 高爾登
爾王 達尼 塞帝札
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17181208.4A external-priority patent/EP3428726A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201917492A publication Critical patent/TW201917492A/en
Application granted granted Critical
Publication of TWI685725B publication Critical patent/TWI685725B/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/305Contactless testing using electron beams
    • G01R31/307Contactless testing using electron beams of integrated circuits
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • G03F1/86Inspecting by charged particle beam [CPB]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2445Photon detectors for X-rays, light, e.g. photomultipliers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24592Inspection and quality control of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Abstract

An inspection tool for inspecting a semiconductor substrate is described, the inspection tool comprising: - an substrate table configured to hold the substrate; - an electron beam source configured to project an electron beam onto an area of interest of the substrate, the area of interest comprising a buried structure; - a cathodoluminescent detector configured to detect cathodoluminescent light emitted from the buried structure; - a control unit configured to: - control the electron beam source to project to electron beam onto the area of interest; - receive a signal representative of the detected cathodoluminescent light; - determine, based on the signal, a characteristic of the buried structure.

Description

檢測工具、微影設備、微影系統、檢測方法及器件製造方法Detection tool, lithography equipment, lithography system, detection method and device manufacturing method

本發明係關於一種檢測工具、一種微影設備、一種微影系統、一種檢測方法及一種用於製造器件之方法。 The invention relates to a detection tool, a lithography equipment, a lithography system, a detection method and a method for manufacturing devices.

微影設備為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影設備可用於例如積體電路(IC)之製造中。在此狀況下,圖案化器件(其被替代地被稱作光罩或倍縮光罩)可用以產生待形成於IC之個別層上之電路圖案。此圖案可轉印至基板(例如矽晶圓)上之目標部分(例如包括晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上來進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。習知的微影設備包括:所謂的步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂的掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化器件轉印至基板。 A lithography apparatus is a machine that applies a desired pattern to a substrate (usually to a target portion of the substrate). Lithography equipment can be used, for example, in the manufacture of integrated circuits (ICs). In this situation, a patterned device (which is instead referred to as a reticle or a reticle) can be used to generate circuit patterns to be formed on individual layers of the IC. This pattern can be transferred to a target part (for example, a part including a die, a die, or several die) on a substrate (for example, a silicon wafer). Pattern transfer is usually performed by imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. Generally speaking, a single substrate will contain a network of adjacent target portions that are sequentially patterned. The conventional lithography apparatus includes: a so-called stepper, in which each target part is irradiated by exposing the entire pattern to the target part at once; and a so-called scanner, in which by scanning in a given direction ("scan In the "direction", the scanning pattern is simultaneously scanned in parallel or anti-parallel to the direction via the radiation beam to irradiate each target portion. It is also possible to transfer the pattern from the patterned device to the substrate by imprinting the pattern onto the substrate.

通常施加在微影設備中之輻射光束可例如為DUV輻射光束(例如具有為248奈米或193奈米之波長)或EUV輻射光束(例如具有為11奈米或13.5奈米之波長)。 The radiation beam generally applied in the lithography apparatus may be, for example, a DUV radiation beam (for example, having a wavelength of 248 nanometers or 193 nanometers) or an EUV radiation beam (for example, having a wavelength of 11 nanometers or 13.5 nanometers).

積體電路之製造可通常需要複數個層之堆疊,其中需要準確地對準層。在無此對準之情況下,層之間的所需連接可能有缺陷,從而導致該積體電路發生故障。 The manufacture of integrated circuits may generally require the stacking of multiple layers, where the layers need to be accurately aligned. Without this alignment, the required connection between the layers may be defective, causing the integrated circuit to malfunction.

通常,積體電路之底部層將含有最小結構,諸如電晶體或其組件。後續層之結構通常較大且實現底部層中之結構與外部世界之連接。鑒於此,兩個層之對準在積體電路之底部部分中將最具挑戰性。 Typically, the bottom layer of the integrated circuit will contain minimal structures, such as transistors or their components. The structure of subsequent layers is usually larger and connects the structure in the bottom layer with the outside world. In view of this, the alignment of the two layers will be the most challenging in the bottom part of the integrated circuit.

為了實現此對準,使用通常由經提供在基板上之複數個對準標記製成之層,對準標記之位置指示經圖案化結構之位置。歸因於在兩個連續層之曝光程序之間執行的各種程序步驟,判定對準標記之實際位置可能為繁瑣的。詳言之,諸如CMP之處理步驟可引起對準標記之變形。因此,對準標記之實際位置之判定可能有缺陷,從而導致經圖案化結構之位置之不正確的或不準確的評估。 To achieve this alignment, a layer usually made of a plurality of alignment marks provided on the substrate is used, the position of the alignment marks indicating the position of the patterned structure. Due to the various program steps performed between the exposure procedures of two consecutive layers, it may be cumbersome to determine the actual position of the alignment mark. In detail, processing steps such as CMP can cause deformation of the alignment mark. Therefore, the determination of the actual position of the alignment mark may be defective, resulting in an incorrect or inaccurate assessment of the position of the patterned structure.

在對對準標記之位置進行不正確的評估之狀況下,可在曝光程序期間造成疊對誤差,下一經圖案化層藉由該曝光程序產生。此錯誤可影響經製造之半導體器件之功能性。 In the case of an incorrect evaluation of the position of the alignment mark, overlay errors can be caused during the exposure process, and the next patterned layer is generated by the exposure process. This error can affect the functionality of the fabricated semiconductor device.

在製造程序初期評估是否將滿足半導體器件之所要功能性將為有益的。 It will be beneficial to assess whether the desired functionality of the semiconductor device will be satisfied early in the manufacturing process.

需要使得能夠較早評估半導體器件是否將具有所要功能性。 There is a need to enable an early assessment of whether the semiconductor device will have the desired functionality.

根據本發明之一實施例,提供一種用於檢測一半導體基板之檢測工具,該檢測工具包含- 一基板台,其經組態以固持該基板;- 一電子束源,其經組態以將一電子束投影至該基板之一所關注區域上,該所關注區域包含一埋入式結構;- 一陰極發光偵測器,其經組態以偵測自該埋入式結構發射之陰極發光的光;- 一控制單元,其經組態以:- 接收表示該經偵測到陰極發光的光之一信號;- 基於該信號判定該埋入式結構之一特性。 According to an embodiment of the present invention, there is provided an inspection tool for inspecting a semiconductor substrate, the inspection tool includes-a substrate stage configured to hold the substrate;-an electron beam source configured to use An electron beam is projected onto a region of interest of the substrate, the region of interest includes an embedded structure;-a cathode luminescence detector configured to detect cathode luminescence emitted from the embedded structure Light;-a control unit configured to:-receive a signal representing the detected light emitted by the cathode;-determine a characteristic of the embedded structure based on the signal.

根據本發明之一實施例,提供一種微影設備,其包含:- 一照明系統,其經組態以調節一輻射光束;- 一支撐件,其經建構以支撐一圖案化器件,該圖案化器件能夠在該輻射光束之橫截面中向該輻射光束賦予一圖案以形成一經圖案化輻射光束;- 一投影系統,其經組態以將該經圖案化輻射光束投影至一基板之一目標部分上;其中該設備進一步包含一根據本發明之檢測工具及一載物台設備,該載物台設備經組態以定位該基板台以便將該經圖案化輻射光束賦予該基板。 According to an embodiment of the present invention, there is provided a lithography apparatus comprising:-an illumination system configured to adjust a radiation beam;-a support member configured to support a patterned device, the patterned The device is capable of imparting a pattern to the radiation beam in the cross-section of the radiation beam to form a patterned radiation beam; a projection system configured to project the patterned radiation beam onto a target portion of a substrate Above; wherein the device further includes a detection tool according to the present invention and a stage device, the stage device is configured to position the substrate table to impart the patterned radiation beam to the substrate.

根據本發明之一實施例,提供一種微影系統,其包含:- 一微影設備,其包含:- 一照明系統,其經組態以調節一輻射光束; - 一支撐件,其經建構以支撐一圖案化器件,該圖案化器件能夠在該輻射光束之橫截面中向該輻射光束賦予一圖案以形成一經圖案化輻射光束;- 一投影系統,其經組態以將該經圖案化輻射光束投影至一基板之一目標部分上;- 一載物台設備,其經組態以定位該基板台以便將該經圖案化輻射光束賦予該基板;- 一根據本發明之檢測工具。 According to an embodiment of the present invention, there is provided a lithography system, which includes:-a lithography device, which includes:-an illumination system configured to adjust a radiation beam; -A support member constructed to support a patterned device capable of imparting a pattern to the radiation beam in the cross-section of the radiation beam to form a patterned radiation beam;-a projection system whose warp Configured to project the patterned radiation beam onto a target portion of a substrate;-a stage device configured to position the substrate table to impart the patterned radiation beam to the substrate;-a The detection tool according to the invention.

根據本發明之一實施例,提供一種檢測方法,該方法包含以下步驟:- 提供具有一所關注區域之一基板,該所關注區域包含一埋入式結構;- 使用一電子束掃描該所關注區域;- 捕捉自該所關注區域發射之CL-光;- 判定該埋入式結構之一特性。 According to an embodiment of the present invention, a detection method is provided. The method includes the following steps:-providing a substrate having an area of interest, the area of interest including an embedded structure;-scanning the area of interest using an electron beam Area;-capture CL-light emitted from the area of interest;-determine one of the characteristics of the buried structure.

根據本發明之一實施例,提供一種器件製造方法,其包含將一經圖案化輻射光束投影至一基板上,其中將該經圖案化輻射光束投影至該基板上之步驟係在根據本發明之檢測方法之前。 According to an embodiment of the present invention, a device manufacturing method is provided, which includes projecting a patterned radiation beam onto a substrate, wherein the step of projecting the patterned radiation beam onto the substrate is detected according to the invention Before the method.

100‧‧‧檢測工具 100‧‧‧Test tool

110‧‧‧電子束源 110‧‧‧ electron beam source

112‧‧‧控制信號 112‧‧‧Control signal

120‧‧‧電子束 120‧‧‧ electron beam

130‧‧‧物件 130‧‧‧Object

132‧‧‧物件台 132‧‧‧Object table

134‧‧‧夾持機構 134‧‧‧ clamping mechanism

140‧‧‧CL-光/箭頭 140‧‧‧CL-light/arrow

142‧‧‧CL-光/箭頭 142‧‧‧CL-light/arrow

150‧‧‧偵測器 150‧‧‧detector

152‧‧‧信號 152‧‧‧Signal

160‧‧‧反射器 160‧‧‧Reflector

170‧‧‧孔徑/控制單元 170‧‧‧Aperture/Control Unit

172‧‧‧輸入端子 172‧‧‧Input terminal

174‧‧‧輸出端子 174‧‧‧Output terminal

200‧‧‧頻譜 200‧‧‧ Spectrum

400‧‧‧半導體結構/所關注區域 400‧‧‧Semiconductor structure/region of interest

402‧‧‧底部層/鄰近結構 402‧‧‧Bottom layer/adjacent structure

404‧‧‧結構 404‧‧‧Structure

406‧‧‧結構 406‧‧‧Structure

408‧‧‧頂部層/材料 408‧‧‧Top layer/material

410‧‧‧電子束 410‧‧‧ electron beam

412‧‧‧頂部表面/區域 412‧‧‧Top surface/area

414‧‧‧相互作用體積 414‧‧‧Interaction volume

420‧‧‧箭頭 420‧‧‧arrow

430‧‧‧高度或深度 430‧‧‧ height or depth

500‧‧‧所關注區域 500‧‧‧ area of interest

502‧‧‧層 502‧‧‧ storey

508‧‧‧層 508‧‧‧ storey

510‧‧‧埋入式結構 510‧‧‧Buried structure

510.1‧‧‧豎直延伸的結構 510.1‧‧‧Extended vertical structure

510.2‧‧‧圍封結構 510.2‧‧‧Enclosure structure

512‧‧‧表面/區域 512‧‧‧Surface/Area

514‧‧‧相互作用體積 514‧‧‧Interaction volume

515‧‧‧電子束 515‧‧‧ electron beam

520‧‧‧箭頭 520‧‧‧arrow

600‧‧‧曲線 600‧‧‧curve

610‧‧‧曲線 610‧‧‧curve

700‧‧‧影像 700‧‧‧Image

710‧‧‧區 710‧‧‧ District

720‧‧‧區 720 District

800‧‧‧影像 800‧‧‧ video

810‧‧‧區 810‧‧‧ District

820‧‧‧區 District 820‧‧‧

830‧‧‧區 District 830‧‧‧

840‧‧‧區 District 840‧‧‧

900‧‧‧影像 900‧‧‧Image

1000‧‧‧疊對標記/所關注區域 1000‧‧‧ Stacked pair of marks/area of interest

1005‧‧‧層 1005‧‧‧ storey

1010‧‧‧底部經圖案化層 1010‧‧‧patterned bottom

1012‧‧‧第一結構/表面/區域 1012‧‧‧First structure/surface/area

1014‧‧‧相互作用體積 1014‧‧‧Interaction volume

1015‧‧‧電子束 1015‧‧‧ electron beam

1016‧‧‧方向 1016‧‧‧ direction

1020‧‧‧頂部經圖案化層 1020‧‧‧Top patterned layer

1022‧‧‧第二結構 1022‧‧‧Second structure

1030‧‧‧層 1030‧‧‧ storey

1200‧‧‧檢測工具 1200‧‧‧Test tool

1230‧‧‧樣本 1230‧‧‧Sample

1232‧‧‧表面 1232‧‧‧Surface

1240‧‧‧埋入式結構 1240‧‧‧Buried structure

1250‧‧‧雷射光束 1250‧‧‧Laser beam

1260‧‧‧聲波 1260‧‧‧Sonic

1270‧‧‧應變分佈 1270‧‧‧Strain distribution

AD‧‧‧調整器 AD‧‧‧Adjuster

B‧‧‧輻射光束 B‧‧‧radiation beam

BD‧‧‧光束遞送系統 BD‧‧‧beam delivery system

C‧‧‧目標部分 C‧‧‧Target part

CO‧‧‧聚光器 CO‧‧‧Concentrator

FS1‧‧‧第一頻譜 FS1‧‧‧First Spectrum

FS2‧‧‧第二頻譜 FS2‧‧‧second spectrum

IF‧‧‧位置感測器 IF‧‧‧Position sensor

IL‧‧‧照明系統/照明器 IL‧‧‧Lighting system/illuminator

IN‧‧‧積光器 IN‧‧‧Integrator

IT‧‧‧檢測工具 IT‧‧‧Test Tool

M1‧‧‧光罩對準標記 M1‧‧‧ Mask alignment mark

M2‧‧‧光罩對準標記 M2‧‧‧ Mask alignment mark

MA‧‧‧圖案化器件/光罩 MA‧‧‧patterned device/mask

MT‧‧‧光罩支撐結構/光罩台 MT‧‧‧mask support structure/mask table

P1‧‧‧基板對準標記 P1‧‧‧Substrate alignment mark

P2‧‧‧基板對準標記 P2‧‧‧Substrate alignment mark

PM‧‧‧第一定位器件 PM‧‧‧First positioning device

PS‧‧‧投影系統 PS‧‧‧Projection system

PW‧‧‧第二定位器件 PW‧‧‧Second positioning device

SO‧‧‧輻射源 SO‧‧‧radiation source

W‧‧‧基板 W‧‧‧Substrate

Wd‧‧‧寬度 Wd‧‧‧Width

WT‧‧‧基板台 WT‧‧‧Substrate table

現將參考隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應參考符號指示對應部分,且在該等圖式中:圖1描繪根據本發明之實施例之微影設備;圖2描繪根據本發明之實施例之檢測工具; 圖3描繪可由根據本發明之檢測工具判定之CL-光的頻譜;圖4示意性地描繪可使用根據本發明之檢測工具檢查之結構的橫截面視圖;圖5示意性地描繪可使用根據本發明之檢測工具檢查之另一結構的橫截面視圖;圖6示意性地描繪沿著所關注區域之經接收CL-光之頻譜的變化。 Embodiments of the present invention will now be described with reference to the accompanying schematic drawings as examples only. In these drawings, corresponding reference symbols indicate corresponding parts, and in these drawings: FIG. 1 depicts The lithography apparatus of the embodiment; FIG. 2 depicts a detection tool according to an embodiment of the present invention; 3 depicts the spectrum of CL-light that can be determined by the detection tool according to the present invention; FIG. 4 schematically depicts a cross-sectional view of a structure that can be inspected using the detection tool according to the present invention; FIG. 5 schematically depicts the available tool according to the present invention. A cross-sectional view of another structure inspected by the inventive inspection tool; FIG. 6 schematically depicts the change in the spectrum of the received CL-light along the area of interest.

圖7至圖9示意性地展示所關注區域之來源於經捕捉CL-光之可能影像。 7 to 9 schematically show possible images of the area of interest derived from captured CL-light.

圖10示意性地描繪可使用根據本發明之檢測工具檢查之疊對標記的橫截面視圖。 FIG. 10 schematically depicts a cross-sectional view of the overlay mark that can be inspected using the inspection tool according to the invention.

圖11示意性地描繪可由根據本發明之檢測工具判定之CL-光的頻譜及經修改頻譜。 FIG. 11 schematically depicts the CL-light spectrum and the modified spectrum that can be determined by the detection tool according to the invention.

圖12示意性說明根據本發明之檢測工具之實施例,其中可產生聲波。 FIG. 12 schematically illustrates an embodiment of a detection tool according to the invention, in which sound waves can be generated.

圖1示意性地描繪根據本發明之一個實施例之微影設備。該設備包括:照明系統(照明器)IL,其經組態以調節輻射光束B(例如UV輻射或任何其他合適輻射);光罩支撐結構(例如光罩台)MT,其經建構以支撐圖案化器件(例如光罩)MA且連接至經組態以根據某些參數準確地定位圖案化器件之第一定位器件PM。該設備亦包括基板台(例如晶圓台)WT或「基板支撐件」,其經建構以固持基板(例如抗蝕劑塗佈晶圓)W且連接至經組態以根據某些參數準確地定位基板之第二定位器件PW。該設備進 一步包括投影系統(例如折射投影透鏡系統)PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如包括一或多個晶粒)上。 Fig. 1 schematically depicts a lithographic apparatus according to an embodiment of the invention. The device includes: a lighting system (illuminator) IL, which is configured to adjust the radiation beam B (eg, UV radiation or any other suitable radiation); a mask support structure (eg, mask stage) MT, which is constructed to support the pattern The device (eg, photomask) MA is connected to the first positioning device PM configured to accurately position the patterned device according to certain parameters. The device also includes a substrate table (e.g., wafer table) WT or "substrate support" that is constructed to hold the substrate (e.g., resist-coated wafer) W and is connected to be configured to accurately according to certain parameters Position the second positioning device PW of the substrate. The device into One step includes a projection system (eg, a refractive projection lens system) PS configured to project the pattern imparted to the radiation beam B by the patterning device MA onto the target portion C (eg, including one or more dies) of the substrate W.

照明系統可包括用於導向、塑形或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。 The lighting system may include various types of optical components for directing, shaping, or controlling radiation, such as refractive, reflective, magnetic, electromagnetic, electrostatic, or other types of optical components, or any combination thereof.

光罩支撐結構支撐(亦即,承載)圖案化器件。光罩支撐結構以取決於圖案化器件之定向、微影設備之設計及其他條件(諸如圖案化器件是否被固持於真空環境中)的方式來固持圖案化器件。光罩支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化器件。光罩支撐結構可為(例如)框架或台,其可根據需要而固定或可移動。光罩支撐結構可確保圖案化器件(例如)相對於投影系統處於所要位置。可認為本文中對術語「倍縮光罩」或「光罩」之任何使用均與更一般術語「圖案化器件」同義。 The reticle support structure supports (ie, carries) the patterned device. The reticle support structure holds the patterned device in a manner that depends on the orientation of the patterned device, the design of the lithography equipment, and other conditions (such as whether the patterned device is held in a vacuum environment). The reticle support structure may use mechanical, vacuum, electrostatic, or other clamping techniques to hold the patterned device. The reticle support structure may be, for example, a frame or a table, which may be fixed or movable according to needs. The reticle support structure can ensure that the patterned device (for example) is in a desired position relative to the projection system. It can be considered that any use of the term "reduced reticle" or "reticle" herein is synonymous with the more general term "patterned device".

本文中所使用之術語「圖案化器件」應被廣泛地解譯為係指可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何器件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂的輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所產生之器件(諸如,積體電路)中之特定功能層。 The term "patterned device" as used herein should be broadly interpreted as referring to any device that can be used to impart a pattern to the radiation beam in the cross-section of the radiation beam in order to create a pattern in the target portion of the substrate. It should be noted that, for example, if the pattern assigned to the radiation beam includes phase-shifting features or so-called auxiliary features, the pattern may not exactly correspond to the desired pattern in the target portion of the substrate. Generally, the pattern assigned to the radiation beam will correspond to a specific functional layer in the device (such as an integrated circuit) produced in the target portion.

圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合 式光罩類型。可程式化鏡面陣列之實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。 The patterned device may be transmissive or reflective. Examples of patterned devices include photomasks, programmable mirror arrays, and programmable LCD panels. Masks are well known to me in lithography, and include mask types such as binary, alternating phase shift, and attenuation phase shift, as well as various blends Type of reticle. An example of a programmable mirror array uses a matrix configuration of small mirrors, each of which can be individually tilted to reflect the incident radiation beam in different directions. The inclined mirror surface gives a pattern to the radiation beam reflected by the mirror matrix.

本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用均與更一般之術語「投影系統」同義。 The term "projection system" as used herein should be broadly interpreted to cover any type of projection system suitable for the exposure radiation used or for other factors such as the use of immersion liquids or the use of vacuum, including refraction, Reflection, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof. It can be considered that any use of the term "projection lens" herein is synonymous with the more general term "projection system".

如此處所描繪,設備屬於透射類型(例如,使用透射性光罩)。替代地,該設備可屬於反射類型(例如,使用如上文所提及之類型之可程式化鏡面陣列,或使用反射光罩)。 As depicted here, the device is of the transmissive type (for example, using a transmissive mask). Alternatively, the device may be of the reflective type (for example, using a programmable mirror array of the type mentioned above, or using a reflective mask).

微影設備可屬於具有兩個(雙載物台)或多於兩個基板台或「基板支撐件」(及/或兩個或多於兩個光罩台或「光罩支撐件」)之類型。在此等「多載物台」機器中,可並行地使用額外台或支撐件,或可對一或多個台或支撐件進行預備步驟,同時將一或多個其他台或支撐件用於曝光。 Lithography equipment may belong to two (dual stage) or more than two substrate tables or "substrate supports" (and/or two or more than two mask tables or "mask supports") Types of. In these "multi-stage" machines, additional tables or supports can be used in parallel, or one or more tables or supports can be prepared, while one or more other tables or supports can be used simultaneously exposure.

微影設備亦可屬於如下類型:其中基板之至少一部分可由具有相對高折射率之液體例如水覆蓋以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影設備中之其他空間,例如,光罩與投影系統之間的空間。浸潤技術可用以增加投影系統之數值孔徑。本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。 Lithography equipment can also be of the type in which at least a portion of the substrate can be covered by a liquid with a relatively high refractive index, such as water, to fill the space between the projection system and the substrate. The infiltrating liquid can also be applied to other spaces in the lithography equipment, for example, the space between the reticle and the projection system. The infiltration technique can be used to increase the numerical aperture of the projection system. The term "wetting" as used herein does not mean that a structure such as a substrate must be submerged in liquid, but only means that the liquid is located between the projection system and the substrate during exposure.

參考圖1,照明器IL自輻射源SO接收輻射光束。舉例而 言,當源為準分子雷射時,源及微影設備可為分離的實體。在此等狀況下,不認為源形成微影設備之部分,且輻射光束係憑藉包括(例如)合適導向鏡面及/或擴束器之光束遞送系統BD而自源SO傳遞至照明器IL。在其他狀況下,舉例而言,當源為水銀燈時,源可為微影設備之整體部分。源SO及照明器IL連同光束遞送系統BD(在需要時)可被稱作輻射系統。 Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. For example In other words, when the source is an excimer laser, the source and the lithography equipment can be separate entities. Under these circumstances, the source is not considered to form part of the lithographic apparatus, and the radiation beam is transferred from the source SO to the illuminator IL by means of a beam delivery system BD that includes, for example, a suitable guide mirror and/or beam expander. In other situations, for example, when the source is a mercury lamp, the source may be an integral part of the lithography device. The source SO and illuminator IL together with the beam delivery system BD (when needed) may be referred to as a radiation system.

照明器IL可包括經組態以調整輻射光束之角強度分佈之調整器AD。通常,可調整照明器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包括各種其他組件,諸如積光器IN及聚光器CO。照明器可用於調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。 The illuminator IL may include an adjuster AD configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer radial range and/or the inner radial range of the intensity distribution in the pupil plane of the illuminator can be adjusted (commonly referred to as σouter and σinner, respectively). In addition, the illuminator IL may include various other components, such as an integrator IN and a condenser CO. The illuminator can be used to adjust the radiation beam to have the desired uniformity and intensity distribution in its cross-section.

輻射光束B入射於經固持在光罩支撐結構(例如光罩台MT)上之圖案化器件(例如光罩MA)上,且藉由圖案化器件圖案化。在已橫穿光罩MA的情況下,輻射光束B傳遞通過投影系統PS,該投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器件PW及位置感測器IF(例如干涉量測器件、線性編碼器或電容式感測器),基板台WT可準確地移動,例如以便將不同目標部分C定位在輻射光束B之路徑中。類似地,第一定位器件PM及另一位置感測器(其未在圖1中明確描繪)可用於例如在自光罩庫之機械擷取之後或在掃描期間相對於輻射光束B之路徑準確地定位光罩MA。一般而言,可憑藉形成第一定位器件PM之部分之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現光罩台MT之移動。類似地,可使用形成第二定位器件PW之部分之長衝程模組及短衝程模組來實現基板台WT或「基板支撐件」之移動。在步進器(相對於掃描器)之狀況下,光罩台MT可僅連接至短衝程致動器,或可固定。可使用光罩對準標 記M1、M2及基板對準標記P1、P2來對準光罩MA及基板W。儘管所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在多於一個晶粒提供於光罩MA上之情形中,光罩對準標記可位於該等晶粒之間。 The radiation beam B is incident on a patterned device (eg, reticle MA) held on a reticle support structure (eg, reticle stage MT), and is patterned by the patterned device. With the mask MA traversed, the radiation beam B passes through the projection system PS, which focuses the beam onto the target portion C of the substrate W. With the aid of the second positioning device PW and the position sensor IF (such as an interferometric measuring device, a linear encoder or a capacitive sensor), the substrate table WT can be accurately moved, for example, to position the different target parts C on the radiation beam B In the path. Similarly, the first positioning device PM and another position sensor (which are not explicitly depicted in FIG. 1) can be used, for example, after the mechanical extraction from the reticle library or during scanning, the path relative to the radiation beam B is accurate Position the photomask MA. Generally speaking, the movement of the reticle stage MT can be realized by means of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning) that form part of the first positioning device PM. Similarly, a long-stroke module and a short-stroke module that form part of the second positioning device PW can be used to achieve the movement of the substrate table WT or "substrate support". In the case of a stepper (relative to a scanner), the mask stage MT may only be connected to a short-stroke actuator, or may be fixed. Mask can be used to mark Mark M1 and M2 and the substrate alignment marks P1 and P2 to align the mask MA and the substrate W. Although the illustrated substrate alignment marks occupy dedicated target portions, the marks may be located in the space between the target portions (these marks are referred to as scribe lane alignment marks). Similarly, in the case where more than one die is provided on the mask MA, the mask alignment mark may be located between the die.

所描繪設備可用於以下模式中之至少一者中: The depicted device can be used in at least one of the following modes:

1.在步進模式中,當將賦予至輻射光束之整個圖案一次性投影至目標部分C上時,光罩台MT或「光罩支撐件」及基板台WT或「基板支撐件」保持基本上靜止(亦即單次靜態曝光)。接著,使基板台WT或「基板支撐件」在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中所成像的目標部分C之大小。 1. In the step mode, when the entire pattern imparted to the radiation beam is projected onto the target portion C at once, the reticle stage MT or "reticle support" and the substrate stage WT or "substrate support" remain basic Up still (that is, a single static exposure). Next, the substrate table WT or "substrate support" is displaced in the X and/or Y directions so that different target portions C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.

2.在掃描模式中,當將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描光罩台MT或「光罩支撐件」及基板台WT或「基板支撐件」(亦即單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT或「基板支撐件」相對於光罩台MT或「光罩支撐件」之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。 2. In the scanning mode, when the pattern imparted to the radiation beam is projected on the target portion C, the reticle stage MT or "reticle support" and the substrate stage WT or "substrate support" (also (Single dynamic exposure). The speed and direction of the substrate table WT or "substrate support" relative to the reticle stage MT or "reticle support" can be determined by the magnification (reduction ratio) and image reversal characteristics of the projection system PS. In the scanning mode, the maximum size of the exposure field limits the width of the target portion in a single dynamic exposure (in the non-scanning direction), and the length of the scanning motion determines the height of the target portion (in the scanning direction).

3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使光罩台MT或「光罩支撐件」保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT或「基板支撐件」。在此模式中,通常,使用脈衝式輻射源且在基板台WT或「基板支撐件」之每一移動之後或在掃描期間之連續輻射脈衝之間視需要更新可程式化圖案化器件。此 操作模式可易於應用於利用可程式化圖案化器件(諸如,上文所提及之類型之可程式化鏡面陣列)之無光罩微影。 3. In another mode, when the pattern imparted to the radiation beam is projected onto the target portion C, the reticle stage MT or "reticle support" is kept substantially still, thereby holding the programmable patterned device , And move or scan the substrate table WT or "substrate support". In this mode, typically, a pulsed radiation source is used and the programmable patterned device is updated as needed after each movement of the substrate table WT or "substrate support" or between successive radiation pulses during scanning. this The operating mode can be easily applied to maskless lithography using programmable patterned devices, such as the programmable mirror arrays of the type mentioned above.

亦可使用對上文所描述之使用模式之組合及/或變化或完全不同之使用模式。 Combinations and/or variations or completely different modes of use of the modes of use described above may also be used.

在如所展示之實施例中,微影設備進一步包含根據本發明之檢測工具IT。此檢測工具IT可例如使得能夠判定結構之特性,尤其是存在於由微影設備處理之基板W的所關注區域上或中之埋入式結構。在實施例中,如將在下文更詳細地論述,檢測工具可包含用於檢測基板之電子束源。 In the embodiment as shown, the lithographic apparatus further comprises the detection tool IT according to the invention. This inspection tool IT can, for example, enable the determination of the characteristics of the structure, in particular the buried structure present on or in the area of interest of the substrate W processed by the lithographic apparatus. In an embodiment, as will be discussed in more detail below, the inspection tool may include an electron beam source for inspecting the substrate.

在實施例中,第二定位器件PW可經組態以將基板W定位在檢測工具IT之操作範圍中。在此實施例中,檢測工具IT可例如經組態以判定經提及結構之特性,例如電氣特性、材料特性及/或幾何特性。在實施例中,此資訊可隨後提供至微影設備之控制單元,且在曝光程序期間使用,例如藉由基於該資訊控制照明系統、投影系統或定位器件中之一者中之一或多者。 In an embodiment, the second positioning device PW may be configured to position the substrate W in the operating range of the inspection tool IT. In this embodiment, the inspection tool IT may, for example, be configured to determine the characteristics of the mentioned structure, such as electrical characteristics, material characteristics, and/or geometric characteristics. In an embodiment, this information can then be provided to the control unit of the lithography apparatus and used during the exposure process, for example by controlling one or more of the lighting system, projection system or positioning device based on the information .

在如所展示之實施例中,微影設備可經組態以施加用於輻射光束之DUV輻射。在此狀況下,圖案化器件MA可為透射圖案化器件且投影系統PS可包含一或多個透鏡。 In the embodiment as shown, the lithographic apparatus may be configured to apply DUV radiation for radiating the light beam. In this case, the patterned device MA may be a transmission patterned device and the projection system PS may include one or more lenses.

替代地,根據本發明之微影設備可經組態以施加用於輻射光束之EUV輻射。在此狀況下,圖案化器件MA可為反射性圖案化器件且投影系統PS可包含一或多個鏡面。在此實施例中,該設備可包含用於容納照明系統IL及/或投影系統PS之一或多個真空腔室。 Alternatively, the lithographic apparatus according to the present invention may be configured to apply EUV radiation for radiating light beams. In this case, the patterned device MA may be a reflective patterned device and the projection system PS may include one or more mirror surfaces. In this embodiment, the apparatus may include one or more vacuum chambers for housing the illumination system IL and/or the projection system PS.

根據本發明之一態樣,提供一種經組態以檢測諸如基板之 物件之檢測工具。圖2示意性地展示此檢測工具100之實施例。根據本發明,檢測工具100包含電子束源110,另外亦被稱作電子束(e-beam)源110。 According to one aspect of the invention, a configuration is provided to detect, for example, a substrate Object detection tool. FIG. 2 schematically shows an embodiment of this inspection tool 100. According to the present invention, the inspection tool 100 includes an electron beam source 110, which is also referred to as an e-beam source 110.

一般而言,此電子束源110係已知的,且可應用在本發明中以將電子束120投影至例如基板之物件130之區域上。在如所展示之實施例中,物件130藉助於夾持機構134(例如真空夾具或靜電夾具)安裝至物件台132。電子束所投影至之物件之區域亦可被稱作樣本。此電子束源110可例如用於產生具有範圍介於0.2keV至100keV之能量之電子束120。電子束源110通常可具有用於將電子束120聚焦至直徑約0.4至5奈米之光點上之一或多個透鏡。在實施例中,電子束源110可進一步包含一或多個掃描線圈或偏光器板,其可偏轉電子束120。藉此,電子束120可例如沿著X軸及Y軸(垂直於X軸及Z軸)偏轉,使得可掃描物件之區域,XY平面平行於物件之表面。 Generally speaking, this electron beam source 110 is known and can be used in the present invention to project the electron beam 120 onto the area of an object 130 such as a substrate. In the embodiment as shown, the object 130 is mounted to the object table 132 by means of a clamping mechanism 134 (eg vacuum clamp or electrostatic clamp). The area of the object onto which the electron beam is projected can also be called a sample. The electron beam source 110 can be used, for example, to generate an electron beam 120 having an energy ranging from 0.2 keV to 100 keV. The electron beam source 110 may generally have one or more lenses for focusing the electron beam 120 onto a light spot having a diameter of about 0.4 to 5 nanometers. In an embodiment, the electron beam source 110 may further include one or more scanning coils or polarizer plates, which may deflect the electron beam 120. Thereby, the electron beam 120 can be deflected, for example, along the X axis and the Y axis (perpendicular to the X axis and the Z axis), so that the area of the object can be scanned, and the XY plane is parallel to the surface of the object.

在本發明之實施例中,電子束源經組態以將複數個電子束投影至所關注區域之各別複數個子區域上。藉此,可放大可每單位時間檢查或檢測之所關注區域。此外,在本發明之實施例中,電子束源可經組態以產生具有不同能量位準之電子束。如將在下文更詳細地解釋,取決於用於電子束之經施加能量位準,可檢查不同部分或結構,例如埋入式結構。 In an embodiment of the present invention, the electron beam source is configured to project the plurality of electron beams onto the respective plurality of sub-regions of the region of interest. By this, the area of interest that can be inspected or detected per unit time can be enlarged. In addition, in embodiments of the present invention, the electron beam source can be configured to generate electron beams with different energy levels. As will be explained in more detail below, depending on the applied energy level used for the electron beam, different parts or structures may be inspected, such as buried structures.

當此電子束120照射在表面上時,表面上之相互作用及與表面下方之材料之相互作用將出現,從而引起經曝光表面發射輻射及電子兩者。通常,當電子束120與樣本相互作用時,構成射束之電子將經由散射及吸收在眼淚狀體積(被稱為相互作用體積)內散失能量。電子束與樣本之間的能量交換通常將產生以下各者之組合: - 高能量電子藉由彈性散射之反射,- 二次電子藉由非彈性散射之發射,- 藉由與樣本彈性散射相互作用而自相互作用體積反射或反向散射離開之電子的發射,- X射線發射,及- 例如在自深UV至IR之範圍內之電磁輻射的發射。 When this electron beam 120 is irradiated on the surface, interaction on the surface and interaction with the material below the surface will occur, causing both radiation and electrons to be emitted from the exposed surface. Generally, when the electron beam 120 interacts with the sample, the electrons constituting the beam will be scattered and absorbed in the tear-like volume (referred to as the interaction volume) to lose energy. The energy exchange between the electron beam and the sample will usually produce a combination of the following: -The reflection of high-energy electrons by elastic scattering,-the emission of secondary electrons by inelastic scattering,-the emission of electrons reflected or backscattered from the interaction volume by interacting with the elastic scattering of the sample,-X Ray emission, and-for example, emission of electromagnetic radiation in the range from deep UV to IR.

電磁輻射之後一發射通常被稱作陰極發光的光或CL-光。在圖2中,箭頭140指示經發射陰極發光的光。 Electromagnetic radiation emits light, commonly known as cathodoluminescence or CL-light. In FIG. 2, arrow 140 indicates light that emits light through the emitting cathode.

在本發明之實施例中,檢測工具100進一步包含用於偵測由樣本發射之此類陰極發光的光140之偵測器150。在如所展示之實施例中,檢測工具100包含反射器160,例如橢圓形或抛物面反射器,以將CL-光140重新導向朝向偵測器150,如由箭頭142指示。在如所展示之實施例中,反射器160具備孔徑170,電子束120可經由該孔徑而經導向朝向物件130。 In an embodiment of the present invention, the detection tool 100 further includes a detector 150 for detecting such cathodoluminescent light 140 emitted by the sample. In the embodiment as shown, the inspection tool 100 includes a reflector 160, such as an elliptical or parabolic reflector, to redirect the CL-light 140 toward the detector 150, as indicated by arrow 142. In the embodiment as shown, the reflector 160 is provided with an aperture 170 through which the electron beam 120 can be directed toward the object 130.

經應用在根據本發明之檢測工具100中之偵測器150可例如形成為CCD陣列,例如二維CCD陣列、高光譜感測器或光譜儀。在本發明之一實施例中,檢測工具進一步包含用於處理由偵測器150偵測到之CL-光140、142之控制單元170或處理單元,例如包含微處理器、電腦或其類似者。在實施例中,控制單元170包含用於自偵測器150接收信號152之輸入端子172,該信號152表示由物件130發射之經偵測到CL-光142。 The detector 150 applied in the detection tool 100 according to the present invention may be formed, for example, as a CCD array, such as a two-dimensional CCD array, a hyperspectral sensor, or a spectrometer. In one embodiment of the present invention, the detection tool further includes a control unit 170 or a processing unit for processing the CL-light 140, 142 detected by the detector 150, for example, including a microprocessor, a computer or the like . In an embodiment, the control unit 170 includes an input terminal 172 for receiving a signal 152 from the detector 150. The signal 152 represents the detected CL-light 142 emitted by the object 130.

在實施例中,控制單元可另外具有用於輸出控制信號112之輸出端子174,該控制信號用於控制電子束源110。在實施例中,控制單元170可控制電子束源110以將電子束120投影至待檢測之物件(例如半導體基板)之所關注區域上。 In an embodiment, the control unit may additionally have an output terminal 174 for outputting a control signal 112 that is used to control the electron beam source 110. In an embodiment, the control unit 170 may control the electron beam source 110 to project the electron beam 120 onto the region of interest of the object to be inspected, such as a semiconductor substrate.

在實施例中,控制單元170可經組態以控制電子束源110以掃描所關注區域。 In an embodiment, the control unit 170 may be configured to control the electron beam source 110 to scan the region of interest.

在物件之所關注區域之此掃描期間,偵測器可自所關注區域之不同部分接收CL-光142。作為一實例,經施加電子束可例如具有直徑為1至4奈米之橫截面,而所關注區域為100奈米×100奈米。因而,當已掃描所關注區域時,對橫跨所關注區域之電子束之回應可由CL-光偵測器150捕捉到。 During this scan of the area of interest of the object, the detector may receive CL-light 142 from different parts of the area of interest. As an example, the applied electron beam may, for example, have a cross section with a diameter of 1 to 4 nanometers, and the area of interest is 100 nanometers x 100 nanometers. Thus, when the area of interest has been scanned, the response to the electron beam across the area of interest can be captured by the CL-light detector 150.

在實施例中,亦可施加具有實質上匹配或超過所關注區域之橫截面之電子束。在此實施例中,偵測器可例如經組態以捕捉整個所關注區域之影像。此偵測器可例如包含用於自所關注區域上之複數個不同位置捕捉經發射CL-光之二維光纖陣列。在實施例中,所關注區域可例如包含在所關注區域之表面下方之埋入式結構。此埋入式結構可例如為對準標記。 In an embodiment, an electron beam with a cross-section that substantially matches or exceeds the area of interest may also be applied. In this embodiment, the detector may, for example, be configured to capture an image of the entire area of interest. This detector may, for example, comprise a two-dimensional fiber array for capturing the emitted CL-light from a plurality of different positions on the area of interest. In an embodiment, the region of interest may include, for example, a buried structure below the surface of the region of interest. This buried structure may be, for example, an alignment mark.

在實施例中,經應用在根據本發明之檢測工具100中之控制單元170可經組態以判定由偵測器150接收之CL-光之頻譜。 In an embodiment, the control unit 170 applied in the detection tool 100 according to the present invention may be configured to determine the spectrum of CL-light received by the detector 150.

圖3示意性地展示指示隨波長λ而變之經接收CL-光之強度I的頻譜200。 FIG. 3 schematically shows a spectrum 200 indicating the intensity I of received CL-light as a function of wavelength λ.

一般而言,經接收CL-光之頻譜可取決於各種參數。如將理解,頻譜可取決於由電子束探測之材料。在半導體基板之狀況下,經檢查之所關注區域可包含形成半導體器件之積體電路之部分的結構。此等結構或器件通常將包含不同材料,例如具有不同摻雜劑材料或不同摻雜劑濃度之不同半導體材料。此等材料中之每一者可引起產生具有特定頻譜之特定CL-光。在相互作用體積將涵蓋不同材料或結構之狀況下,由於CL-光係在物件之 表面下方之被稱作相互作用體積的體積中產生,因此經接收之CL-光可來源於不同材料之組合。 In general, the spectrum of the received CL-light may depend on various parameters. As will be understood, the frequency spectrum may depend on the material detected by the electron beam. In the case of a semiconductor substrate, the inspected area of interest may include a structure that forms part of an integrated circuit of a semiconductor device. These structures or devices will usually contain different materials, such as different semiconductor materials with different dopant materials or different dopant concentrations. Each of these materials can cause the generation of specific CL-lights with a specific spectrum. Under the condition that the interaction volume will cover different materials or structures, since CL-light is The volume below the surface is generated in a volume called the interaction volume, so the received CL-light can originate from a combination of different materials.

如將在下文更詳細地解釋,藉由改變電子束之能量,有可能改變經探測體積且尤其是經探測體積(亦即相互作用體積)之深度,從而使得能夠接近埋入式材料及結構且使得能夠產生3D映射。 As will be explained in more detail below, by changing the energy of the electron beam, it is possible to change the depth of the detected volume and in particular the detected volume (i.e. the interaction volume), thereby enabling access to buried materials and structures and Enables the generation of 3D mapping.

圖4高度示意性地展示包含多個層及不同材料之半導體結構400之橫截面視圖。如在圖4中示意性地展示之結構400包含第一材料之底部層402,第二材料之三個豎直延伸的結構404在該底部層402之頂部上,鄰近結構402之間的間隙部分地填充有第三材料之結構406,且結構404及406由第四材料之頂部層408覆蓋。圖4進一步示意性地展示照射在結構400之頂部表面412上之電子束410。電子束410之相互作用體積係由虛線414指示,亦即其中CL-光可由於經施加電子束而產生之體積。可注意到,雖然電子束可僅具有若干奈米之直徑,但相互作用體積通常將具有較大直徑,例如10至20奈米。圖4進一步說明電子束(由箭頭420指示)朝向右邊之掃描,藉此橫跨結構404及406移動相互作用體積414。在該掃描期間,相互作用體積414將涵蓋結構之各種材料。因而,在此掃描移動期間,將出現CL-光之頻譜之變化;基於此等變化,因而可推斷不同材料或結構存在於表面412下方。就此而言,可注意到,經產生CL-光通常與材料強烈相關。亦可指出,由於可判定CL影像解析度之量子效應,諸如激子之量子限制,經產生之CL-光亦取決於經照明物件或樣本之尺寸。通常,矽具有約10奈米之激子半徑,但歸因於幾何限制,激子無法進一步漫射掉且CL在經檢測奈米結構中產生。歸因於此量子限制,獲得經改良解析度。通常,CL量測將具有約100奈米至1微米之解析度。歸因於量子限 制,解析度可變為5至10奈米。 FIG. 4 highly schematically shows a cross-sectional view of a semiconductor structure 400 including multiple layers and different materials. The structure 400 as shown schematically in FIG. 4 includes a bottom layer 402 of a first material, and three vertically extending structures 404 of a second material are on top of the bottom layer 402, adjacent to the gap between the structures 402 The structure 406 of the third material is ground filled, and the structures 404 and 406 are covered by the top layer 408 of the fourth material. FIG. 4 further schematically shows the electron beam 410 irradiated on the top surface 412 of the structure 400. The interaction volume of the electron beam 410 is indicated by the dotted line 414, that is, the volume in which CL-light can be generated due to the applied electron beam. It may be noted that although the electron beam may only have a diameter of a few nanometers, the interaction volume will generally have a larger diameter, for example 10 to 20 nanometers. Figure 4 further illustrates the scanning of the electron beam (indicated by arrow 420) towards the right, thereby moving the interaction volume 414 across the structures 404 and 406. During this scan, the interaction volume 414 will cover various materials of the structure. Therefore, during this scanning movement, there will be changes in the CL-light spectrum; based on these changes, it can be inferred that different materials or structures exist below the surface 412. In this regard, it can be noted that the generated CL-light is usually strongly related to the material. It can also be pointed out that due to the quantum effect that can determine the CL image resolution, such as the quantum limitation of excitons, the generated CL-light also depends on the size of the illuminated object or sample. Normally, silicon has an exciton radius of about 10 nanometers, but due to geometric constraints, excitons cannot be diffused further and CL is generated in the tested nanostructure. Due to this quantum limitation, an improved resolution is obtained. Generally, CL measurements will have a resolution of about 100 nanometers to 1 micrometer. Attributable to quantum limit The resolution can be changed from 5 to 10 nanometers.

關於在圖4中示意性地指示之相互作用體積414,進一步值得提及,除其他之外,相互作用體積414之高度或深度430亦將取決於經施加電子束410之能量位準。如熟習此項技術者將理解,電子束410將不能夠在電子之能量位準相對較低之狀況下深入結構400。在此狀況下,相互作用可限於與表面412處或附近之材料(亦即材料408)之相互作用。 Regarding the interaction volume 414 schematically indicated in FIG. 4, it is further worth mentioning that, among other things, the height or depth 430 of the interaction volume 414 will also depend on the energy level of the applied electron beam 410. As those skilled in the art will understand, the electron beam 410 will not be able to penetrate the structure 400 at a relatively low energy level of electrons. In this situation, the interaction may be limited to the material at or near the surface 412 (ie, material 408).

當運用具有相對較高能量位準之電子束410掃描表面412時,相互作用體積將基於在掃描期間觀測到之CL-光頻譜之經觀測變化而延伸至結構400中較深處,從而導致偵測不同材料及結構。 When an electron beam 410 with a relatively high energy level is used to scan the surface 412, the interaction volume will extend deeper into the structure 400 based on the observed changes in the CL-light spectrum observed during the scan, resulting in detection. Test different materials and structures.

因而,藉由運用具有不同強度或能量位準之電子束掃描所關注區域,可知曉各種結構之部位及/或不同材料層之厚度。 Therefore, by scanning the area of interest with electron beams having different intensity or energy levels, the positions of various structures and/or the thickness of different material layers can be known.

此外,可提及,偵測到頻譜變化所在之位置可用於獲取經檢查結構之幾何特性。圖5中示意性地說明此情形。 In addition, it can be mentioned that the location where the spectrum change is detected can be used to obtain the geometric characteristics of the inspected structure. This situation is schematically illustrated in FIG. 5.

圖5高度示意性地描繪所關注區域500之橫截面視圖,該所關注區域包含提供於層502上之埋入式結構510,該層例如由第一材料製成。埋入式結構510包含:豎直延伸的結構510.1,其由另一第二材料製成;及圍封結構510.2,其由第三材料製成且環繞結構510.1之側表面及頂部表面。結構510進一步由又另一材料之層508嵌入、圍封或覆蓋。在如所指示運用具有相互作用體積514之電子束515在如由箭頭520指示之方向上掃描所關注區域之表面512之狀況下,可觀測到經發射CL-光之頻譜之一些變化。詳言之,在電子束515沿著X軸自如所展示之位置開始移動之狀況下,可預期頻譜以第一頻譜保持實質上恆定,直至電子束515達至其中相互作用體積將開始與圍封結構510.2相互作用之位置(沿著X軸)為止。 當電子束515進一步向右移動時,頻譜將逐漸改變,將在沿著X軸之一定位移內以第二頻譜保持恆定,且將接著再次朝向第一頻譜逐漸改變。 FIG. 5 highly schematically depicts a cross-sectional view of a region of interest 500 that includes a buried structure 510 provided on a layer 502, which layer is made of a first material, for example. The embedded structure 510 includes: a vertically extending structure 510.1 made of another second material; and an enclosed structure 510.2 made of a third material and surrounding the side surface and top surface of the structure 510.1. The structure 510 is further embedded, enclosed or covered by a layer 508 of yet another material. In the case where the electron beam 515 with the interaction volume 514 is used to scan the surface 512 of the region of interest in the direction as indicated by arrow 520 as indicated, some changes in the spectrum of the emitted CL-light can be observed. In detail, under the condition that the electron beam 515 starts to move along the X axis from the position as shown, it can be expected that the frequency spectrum remains substantially constant with the first frequency spectrum until the electron beam 515 reaches the interaction volume where it will start to enclose Up to the position where the structure 510.2 interacts (along the X axis). As the electron beam 515 moves further to the right, the frequency spectrum will gradually change, will remain constant with the second frequency spectrum within one of the positioning shifts along the X axis, and will then gradually change again toward the first frequency spectrum.

圖6中示意性地展示此轉變。圖6示意性地展示當橫跨所關注區域亦即在如圖5中所指示之X方向上掃描電子束515時觀測到之頻譜FS。如可看出,自頻譜FS1開始,頻譜將在某一時刻朝向第二頻譜FS2開始改變,該第二頻譜可保持恆定且接著將再次朝向第一頻譜FS1逐漸改變。由於轉變之位置可與電子束之相互作用體積相關聯(該電子束自與例如層508之材料之一種材料相互作用逐漸改變為與例如圍封結構510.2之材料之另一材料相互作用),所以基於沿著X軸之進行轉變之位置,可能夠判定或估計具有第二頻譜FS2之材料之寬度Wd。 This transition is shown schematically in Figure 6. FIG. 6 schematically shows the spectrum FS observed when the electron beam 515 is scanned across the region of interest, that is, in the X direction as indicated in FIG. 5. As can be seen, from the beginning of the frequency spectrum FS1, the frequency spectrum will start to change towards the second frequency spectrum FS2 at a certain moment, this second frequency spectrum may remain constant and then will gradually change again towards the first frequency spectrum FS1. Since the position of the transition can be related to the interaction volume of the electron beam (the electron beam gradually changes from the interaction with one material such as the material of the layer 508 to the interaction with another material such as the material of the enclosure structure 510.2), Based on the position of the transformation along the X axis, it may be possible to determine or estimate the width Wd of the material having the second spectrum FS2.

以類似方式,可預期,當施加較強烈電子束時,亦即具有深入所關注區域之相互作用體積之電子束,可出現至第三頻譜之轉變,其表示將使得能夠在X方向上評估結構510.1之寬度之結構510.1的材料。因而,在使用根據本發明之檢測工具之情況下,可對埋入式結構之材料或化學特性以及幾何特性進行評估。 In a similar manner, it is expected that when a stronger electron beam is applied, ie an electron beam with an interaction volume deeper into the region of interest, a transition to the third spectrum can occur, which means that it will enable the structure to be evaluated in the X direction The material of the structure 510.1 with a width of 510.1. Therefore, in the case of using the inspection tool according to the present invention, the material or chemical properties and geometric properties of the embedded structure can be evaluated.

作為藉助於評估經觀測到之頻譜之變化來判定埋入式結構之幾何屬性的替代方案,亦可考慮使用經發射CL-光產生所關注區域之影像且基於該影像評估任何幾何屬性。此影像可含有具有不同強度或顏色之區域,其中輪廓或邊界之部位可用於評估結構之幾何屬性。可值得提及,兩個區域之間的此類邊界可能略微模糊。此可理解為原因在於以下事實:材料之探測實際上在相互作用體積中進行,因而引起材料或結構之間的逐漸轉變。然而,應注意,前述量子效應可抵消此邊界模糊且產生較清晰轉變。 As an alternative to determining the geometric properties of the buried structure by evaluating the observed changes in the frequency spectrum, it is also conceivable to use the emitted CL-light to generate an image of the region of interest and evaluate any geometric properties based on the image. This image can contain areas with different intensities or colors, where the contours or boundaries can be used to evaluate the geometric properties of the structure. It may be worth mentioning that such boundaries between the two areas may be slightly blurred. This can be understood as the reason for the fact that the detection of materials actually takes place in the interaction volume, thus causing a gradual transition between materials or structures. However, it should be noted that the aforementioned quantum effect can counteract this boundary blur and produce a clearer transition.

圖7示意性地展示圖5之埋入式結構之可能影像700,其中影像揭示兩個不同區:一個區710,其中電子束之相互作用體積僅與圖5之層508之材料相互作用;及一個區720,其中相互作用區亦與圍封結構510.2相互作用。圖8示意性地展示同一所關注區之影像800,但該所關注區具有亦穿透結構510.1之相互作用體積。在影像800中,可識別4個不同區:一個區810,其中電子束之相互作用體積僅與圖5之層508之材料相互作用;一個區820,其中相互作用區與層508及圍封結構510.2相互作用;一個區830,其中相互作用區與層508、結構510.1及圍封結構510.2相互作用;以及一個區840,其中相互作用區與層508及結構510.1相互作用。在檢閱影像後,即可例如注意到結構510.1在Y方向上比圍封結構510.2延伸得較長。基於影像且例如結合先驗已知的設計資料,可例如認識到結構510.1可例如為鰭式FET之鰭片且圍封結構510.2可例如為圍封鰭式FET之鰭片之閘電極。 7 schematically shows a possible image 700 of the buried structure of FIG. 5, wherein the image reveals two different regions: a region 710 in which the interaction volume of the electron beam only interacts with the material of layer 508 of FIG. 5; and A zone 720 in which the interaction zone also interacts with the enclosure structure 510.2. FIG. 8 schematically shows an image 800 of the same area of interest, but the area of interest has an interaction volume that also penetrates the structure 510.1. In the image 800, four different regions can be identified: a region 810 in which the interaction volume of the electron beam only interacts with the material of the layer 508 of FIG. 5; a region 820 in which the interaction region interacts with the layer 508 and the enclosure structure 510.2 interaction; a zone 830 where the interaction zone interacts with the layer 508, the structure 510.1 and the enclosed structure 510.2; and a zone 840 where the interaction zone interacts with the layer 508 and the structure 510.1. After reviewing the image, it can be noted, for example, that the structure 510.1 extends longer than the enclosed structure 510.2 in the Y direction. Based on images and, for example, in combination with a priori known design data, it can be recognized, for example, that the structure 510.1 can be, for example, a fin of a fin FET and the enclosed structure 510.2 can be, for example, a gate electrode that surrounds the fin of a fin FET.

除評估與經施加之電子束之相互作用體積相互作用之不同區或結構的材料或化學特性之外,亦可識別結構中之任何不規則性。為了恰當地運行,對於形成半導體器件之不同材料或結構存在不同要求。此等要求包括具有適當的材料特性及適當的大小之材料或結構,但不同結構亦需要相對於彼此處於適當的位置。在使用根據本發明之檢測工具之情況下,可同樣評估不同埋入式結構或結構組件是否相對於彼此如所預期來配置。參考圖5中所展示之結構,可例如需要亦即圍封結構510.2之閘電極對稱地定位在亦即結構510.1之鰭式結構上方。基於經捕捉CL-光之影像,例如圖8之影像800,可評估此對稱性是否存在。在所關注區域上方之經捕捉CL-光之影像看起來如同圖9中之影像900之狀況下,可例如推定亦即 圖5中所展示之結構510.2之閘電極並不圍繞亦即圖5中所展示之結構510.1之鰭式FET對稱地配置。此不對稱性可導致鰭式FET之並非最佳操作。 In addition to evaluating the material or chemical properties of different regions or structures that interact with the interaction volume of the applied electron beam, any irregularities in the structure can also be identified. In order to operate properly, there are different requirements for different materials or structures that form semiconductor devices. These requirements include materials or structures with appropriate material properties and appropriate sizes, but different structures also need to be in proper positions relative to each other. In the case of using the inspection tool according to the invention, it can also be evaluated whether different buried structures or structural components are configured as expected with respect to each other. With reference to the structure shown in FIG. 5, it may be necessary, for example, that the gate electrode of the enclosed structure 510.2 is positioned symmetrically above the fin structure of the structure 510.1. Based on the captured CL-light image, such as image 800 of FIG. 8, it can be evaluated whether this symmetry exists. In the situation where the captured CL-light image above the area of interest looks like the image 900 in FIG. 9, it can be estimated that The gate electrode of the structure 510.2 shown in FIG. 5 is not symmetrically arranged around the fin FET which is the structure 510.1 shown in FIG. 5. This asymmetry can lead to sub-optimal operation of the fin FET.

因而,在本發明之一實施例中,經獲得資訊--材料特性或屬性或幾何特性或兩者,可用於評估經製造半導體器件之功能性。應注意,在實施例中,來源於檢測工具之操作之資訊亦可與其他來源之資訊組合以得出半導體器件之功能性之評估。詳言之,可評估半導體器件之電屬性,詳言之,可使用根據本發明之檢測工具在空間上評估此類參數之均質性及均一性。 Thus, in one embodiment of the invention, the information obtained—material properties or properties or geometric properties or both, can be used to evaluate the functionality of the manufactured semiconductor device. It should be noted that in the embodiment, the information derived from the operation of the inspection tool can also be combined with the information from other sources to obtain an evaluation of the functionality of the semiconductor device. In detail, the electrical properties of semiconductor devices can be evaluated. In detail, the inspection tool according to the present invention can be used to spatially evaluate the homogeneity and uniformity of such parameters.

可使用本發明判定之結構之恰當相對位置的評估之另一實例為疊對之評估。一般而言,疊對係指形成於半導體基板上之兩個連續層對準之方式。為了評估此對準,第一結構可例如在底部層之曝光期間形成於底部層中,且第二結構可例如在頂部層之曝光期間形成於頂部層中。第一及第二結構之組合可例如被稱作疊對標記。圖10示意性地展示此疊對標記1000之橫截面視圖,其展示包含第一結構1012之底部經圖案化層1010及包含第二結構1022之頂部經圖案化層1020,底部層1010經配置於基板之層1005上,且頂部層1020由例如抗蝕劑層之層1030覆蓋。藉由掃描包含疊對標記(由電子束1015、相互作用體積1014及方向1016示意性地指示)之所關注區域之表面1012,可以與如上文所論述之類似方式識別且評估亦即疊對標記之埋入式結構。詳言之,可評估疊對標記之第一及第二結構之恰當幾何結構以及結構在水平面中之相對位置,藉此評估疊對誤差。如將進一步解釋,根據本發明之檢測工具可亦配備有多個不同感測器,例如用於偵測反向散射電子或二次電子之感測器 Another example of the evaluation of the proper relative position of a structure that can be determined using the present invention is the evaluation of overlapping pairs. Generally speaking, stacking refers to a method of aligning two consecutive layers formed on a semiconductor substrate. To evaluate this alignment, the first structure may be formed in the bottom layer, for example, during the exposure of the bottom layer, and the second structure may be formed in the top layer, for example, during the exposure of the top layer. The combination of the first and second structures may be referred to as overlay marks, for example. FIG. 10 schematically shows a cross-sectional view of this stack of indicia 1000, which shows a bottom patterned layer 1010 including the first structure 1012 and a top patterned layer 1020 including the second structure 1022, the bottom layer 1010 is configured at On the layer 1005 of the substrate, and the top layer 1020 is covered by a layer 1030 such as a resist layer. By scanning the surface 1012 of the region of interest that includes overlay marks (schematically indicated by electron beam 1015, interaction volume 1014, and direction 1016), overlay marks can be identified and evaluated in a similar manner as discussed above The embedded structure. In detail, the proper geometric structure of the first and second structures of the overlay mark and the relative position of the structure in the horizontal plane can be evaluated, thereby assessing the overlay error. As will be further explained, the detection tool according to the invention may also be equipped with a number of different sensors, such as sensors for detecting backscattered electrons or secondary electrons

在本發明之一實施例中,根據本發明之檢測工具經組態以 評估埋入式結構之幾何屬性。作為此幾何屬性之一實例,可提及埋入式結構之位置。根據本發明,基於在掃描樣本上之所關注區域或將所關注區域曝光至電子束期間所發射之經接收陰極發光的光對幾何屬性進行評估。 In one embodiment of the invention, the detection tool according to the invention is configured to Evaluate the geometric properties of embedded structures. As an example of this geometric property, the location of the embedded structure can be mentioned. According to the present invention, the geometric properties are evaluated based on the light emitted by the received cathode light emitted during scanning the area of interest on the sample or exposing the area of interest to the electron beam.

在本發明之一實施例中,可藉由將應變引入至樣本中而促進埋入式結構之幾何特性之判定。根據本發明之一實施例,此可藉由將聲波引入至該樣本中而實現。由於引入此聲波,該樣本將經受應力或應變。在該樣本含有具有與其周圍不同的物理或機械屬性之埋入式結構之狀況下,非均一應變分佈可在該樣本中出現。此應變或應力分佈可由根據本發明之檢測工具偵測到,因為當物件或結構經受應力時,回應於電子束的施加而由物件或結構發射之CL-光可變化。在本發明之一實施例中,利用此特性。詳言之,在本發明中,由檢測工具之偵測器捕捉之CL-光係用於判定橫跨所關注區域及所關注區域之表面下方的應力分佈。藉由掃描所關注區域且觀測經產生CL-光,經觀測頻譜之變化可指示在經觀測材料(亦即存在於相互作用體積中之材料)中出現之機械應力。根據本發明之一實施例,經偵測到CL-光可用於判定位於所關注區域之表面下方之體積中的所出現應力或應力分佈。 In one embodiment of the invention, the determination of the geometric characteristics of the buried structure can be facilitated by introducing strain into the sample. According to an embodiment of the invention, this can be achieved by introducing sound waves into the sample. Due to the introduction of this sound wave, the sample will experience stress or strain. In the case where the sample contains an embedded structure with different physical or mechanical properties than its surroundings, a non-uniform strain distribution may appear in the sample. This strain or stress distribution can be detected by the detection tool according to the present invention, because when an object or structure is subjected to stress, the CL-light emitted by the object or structure in response to the application of an electron beam can vary. In one embodiment of the invention, this feature is utilized. In detail, in the present invention, the CL-light captured by the detector of the inspection tool is used to determine the stress distribution across the area of interest and the surface below the area of interest. By scanning the area of interest and observing the generated CL-light, changes in the observed spectrum can indicate the mechanical stresses that occur in the observed material (ie, the material present in the interaction volume). According to an embodiment of the present invention, the detected CL-light can be used to determine the stress or stress distribution in the volume below the surface of the region of interest.

圖11經示意性地說明歸因於應力在材料中之出現之CL-光頻譜的移位。詳言之,圖11示意性地展示在標稱條件下(曲線600)及當經受某一應力位準時(曲線610)用於特定材料之CL-光之頻譜。在如所展示之實施例中,該應力誘發頻譜之移位。因而,當使用電子束掃描表面區域,諸如所關注區域400、500或1000之區域412、512或1012時,可觀測到CL-光之頻譜之移位或一般而言變化,其中該等變化可與材料中之某些應力位準相關聯。 FIG. 11 schematically illustrates the shift of the CL-optical spectrum due to the occurrence of stress in the material. In detail, FIG. 11 schematically shows the CL-light spectrum for a specific material under nominal conditions (curve 600) and when subjected to a certain stress level (curve 610). In the embodiment as shown, the stress induces a shift in the spectrum. Thus, when using electron beams to scan surface areas, such as areas 412, 512, or 1012 of the area of interest 400, 500, or 1000, shifts or generally changes in the CL-light spectrum can be observed, where such changes can be Associated with certain stress levels in the material.

藉助於實驗,某一應力量對經發射CL-頻譜之影響可針對各種類型的材料加以判定,且例如儲存於資料庫中。在本發明之一實施例中,檢測工具之控制單元,例如圖2中所展示之控制單元170,可連接至此資料庫。可進一步指出,此資料庫可進一步可用於區分由應力引起之頻譜之變化與由一種材料或結構至另一材料或結構之轉變或其他應力源引起之變化。如上文所指示,藉由調整經施加電子束之能量,可調整經探測之所關注區域之表面下方的體積,亦即其中產生CL-光之相互作用體積。詳言之,使用相對較低能量電子束將引起CL-光在所關注區域之表面處或附近產生,而施加相對較高能量電子束將引起CL-光在所關注區域之表面下方的體積(亦即相互作用體積)中產生。 With the help of experiments, the influence of a certain amount of stress on the transmitted CL-spectrum can be determined for various types of materials, and stored in a database, for example. In one embodiment of the invention, the control unit of the inspection tool, such as the control unit 170 shown in FIG. 2, can be connected to this database. It can be further pointed out that this database can be further used to distinguish between stress-induced spectrum changes and changes from one material or structure to another or changes caused by other stress sources. As indicated above, by adjusting the energy of the applied electron beam, the volume under the surface of the detected region of interest can be adjusted, that is, the interaction volume in which CL-light is generated. In particular, the use of relatively low energy electron beams will cause CL-light to be generated at or near the surface of the area of interest, while the application of relatively high energy electron beams will cause the volume of CL-light below the surface of the area of interest ( This is the interaction volume).

在本發明之一實施例中,聲波可藉助於脈衝式光束引入在樣本中。圖12示意性地說明根據本發明之檢測工具1200之實施例,其中可產生此聲波或超聲波。檢測工具1200包含電子束源110,該電子束源經組態以將電子束120投影至樣本1230之所關注區域上。在如所展示之實施例中,樣本1230包含複數個堆疊層,其中經配置在表面1232下方之層中之一者包含結構1240,例如光柵或標記。在如所展示之實施例中,檢測工具1200進一步包含用於偵測樣本發射之由箭頭140指示的陰極發光的光之偵測器150。在如所展示之實施例中,檢測工具100包含反射器160,例如橢圓形或抛物面反射器,以將CL-光140重新導向朝向偵測器150,如由箭頭142指示。在如所展示之實施例中,反射器160具備孔徑170,電子束120可經由該孔徑而經導向朝向樣本1230。圖12進一步示意性地展示可由反射器160聚焦至樣本1230上之光束1250。在一實施例中,光束1250可例如為例如產生短雷射脈衝之雷射光束。此雷射光束1250亦可被稱作例如用於泵- 探針對準中之泵射束。歸因於經施加光束1250,聲波1260可在樣本1230中產生。在如所展示之實施例中,雷射光束1250因而用作用於在樣本1230之所關注區域中產生聲波1260之聲波產生器。此聲波將與埋入式結構1240相互作用。由於此相互作用,應力或應變分佈將在所關注區域中產生。詳言之,聲波1260可引起埋入式結構附近之應變分佈,其中該應變分佈可延伸至樣本1230之表面1232。因而,歸因於聲波1260與埋入式結構之相互作用,應力或應變分佈可在所關注區域之表面1232處或附近出現。在如所展示之實施例中,此所出現應力或應變分佈係由線段1270示意性地指示。由於表面1232處或附近之此應變分佈1270係由埋入式結構1240與聲波1260之相互作用引起,因此應變分佈1270可表示埋入式結構1240,亦即其提供關於埋入式結構1240之空間或幾何資訊。在使用如上文所論述之檢測工具1200之情況下,可在掃描樣本1230期間基於經接收CL-光判定此應變分佈1270。由於埋入式結構1240與聲波1260之間的相互作用可引起表面1232處或附近之應變分佈,因此不需要電子束120探測至樣本1230中之深處,亦即電子束120之相互作用體積無需與埋入式結構1240自身相互作用,但可例如限於僅與樣本1230之上部部分或層相互作用。因而,可施加相對較低能量電子束120。 In one embodiment of the invention, acoustic waves can be introduced into the sample by means of a pulsed beam. FIG. 12 schematically illustrates an embodiment of a detection tool 1200 according to the present invention, in which this sound wave or ultrasonic wave can be generated. The detection tool 1200 includes an electron beam source 110 configured to project the electron beam 120 onto the region of interest of the sample 1230. In the embodiment as shown, the sample 1230 includes a plurality of stacked layers, where one of the layers configured below the surface 1232 includes a structure 1240, such as a grating or a mark. In the embodiment as shown, the detection tool 1200 further includes a detector 150 for detecting the cathodoluminescent light emitted by the sample indicated by arrow 140. In the embodiment as shown, the inspection tool 100 includes a reflector 160, such as an elliptical or parabolic reflector, to redirect the CL-light 140 toward the detector 150, as indicated by arrow 142. In the embodiment as shown, the reflector 160 is provided with an aperture 170 through which the electron beam 120 can be directed toward the sample 1230. FIG. 12 further schematically shows the light beam 1250 that can be focused onto the sample 1230 by the reflector 160. In one embodiment, the light beam 1250 may be, for example, a laser beam that generates short laser pulses. This laser beam 1250 can also be referred to as being used for pumps for example- The probe is aimed at the pump beam in progress. Due to the applied light beam 1250, acoustic waves 1260 can be generated in the sample 1230. In the embodiment as shown, the laser beam 1250 thus serves as an acoustic wave generator for generating acoustic waves 1260 in the region of interest of the sample 1230. This sound wave will interact with the buried structure 1240. Due to this interaction, stress or strain distribution will be generated in the area of interest. In detail, the acoustic wave 1260 can cause a strain distribution near the buried structure, where the strain distribution can extend to the surface 1232 of the sample 1230. Thus, due to the interaction of the acoustic wave 1260 with the buried structure, a stress or strain distribution may appear at or near the surface 1232 of the area of interest. In the embodiment as shown, the resulting stress or strain distribution is schematically indicated by line segment 1270. Since this strain distribution 1270 at or near the surface 1232 is caused by the interaction of the buried structure 1240 and the acoustic wave 1260, the strain distribution 1270 can represent the buried structure 1240, that is, it provides space for the buried structure 1240 Or geometric information. In the case of using the inspection tool 1200 as discussed above, this strain distribution 1270 may be determined based on the received CL-light during scanning of the sample 1230. Since the interaction between the buried structure 1240 and the acoustic wave 1260 can cause strain distribution at or near the surface 1232, the electron beam 120 is not required to be detected deep into the sample 1230, that is, the interaction volume of the electron beam 120 is not required Interact with the buried structure 1240 itself, but may be limited to interacting with only the upper portion or layer of the sample 1230, for example. Thus, a relatively low energy electron beam 120 can be applied.

關於在樣本1230中產生聲波,可指出,亦可考慮用以產生此聲波之替代方式。 Regarding the generation of sound waves in sample 1230, it can be pointed out that alternative methods for generating this sound wave can also be considered.

作為使用例如脈衝雷射光束之光束之替代方案,可提及使用聲學轉換器,例如壓電轉換器。此轉換器可例如經配置於物件台上,例如如圖2中所展示之物件台132,樣本1230安裝在該物件台上。 As an alternative to using a beam such as a pulsed laser beam, mention may be made of using an acoustic converter, such as a piezoelectric converter. This converter may, for example, be configured on an object table, such as object table 132 as shown in FIG. 2, on which sample 1230 is mounted.

因而,在本發明之一實施例中,提供一種檢測工具,其包含 - 物件台,例如基板台,其用於固持需要檢測之物件;- 電子束源,其經組態以將電子束投影至物件之所關注區域上,其中所關注區域包含諸如光柵之埋入式結構;- 聲波產生器,其經組態以在所關注區域中產生聲波,藉此在所關注區域中產生應變分佈;- 陰極發光偵測器,其經組態以當應變分佈存在於所關注區域中時偵測自所關注區域發射之陰極發光的光;- 控制單元,其經組態以:- 接收表示經偵測到陰極發光的光之信號;- 基於該信號判定埋入式結構之特性。 Therefore, in one embodiment of the present invention, a detection tool is provided, which includes -An object table, such as a substrate table, which is used to hold the object to be inspected;-an electron beam source, which is configured to project the electron beam onto an area of interest of the object, where the area of interest includes an embedded type such as a grating Structure;-Acoustic wave generator, which is configured to generate acoustic waves in the region of interest, thereby generating strain distribution in the region of interest;-Cathodoluminescence detector, which is configured to when the strain distribution exists in the region of interest Detecting the cathodoluminescence light emitted from the area of interest when in the area;-the control unit, which is configured to:-receive a signal representing the light detected by the cathodoluminescence;-determine the embedded structure based on the signal characteristic.

在一實施例中,根據本發明之檢測工具係用於判定埋入式結構在半導體基板上之位置。在此實施例中,可應用檢測工具以用於判定如埋入式結構之對準標記之位置。在此實施例中,檢測工具亦可被稱作對準工具,其可例如併入於微影設備中且經組態以在基板在曝光程序期間經受經圖案化輻射光束之前判定複數個對準標記在基板上之位置。 In one embodiment, the inspection tool according to the present invention is used to determine the position of the embedded structure on the semiconductor substrate. In this embodiment, inspection tools can be used to determine the location of alignment marks such as buried structures. In this embodiment, the inspection tool may also be referred to as an alignment tool, which may, for example, be incorporated in a lithography apparatus and configured to determine a plurality of alignments before the substrate is subjected to a patterned radiation beam during the exposure process Mark the location on the substrate.

在本發明之一實施例中,亦可施加可具有實質上匹配或超過所關注區域之橫截面之經施加電子束。在此實施例中,偵測器可例如經組態以捕捉整個所關注區域之影像。在實施例中,所關注區域可例如包含在所關注區域之表面下方之埋入式結構。此埋入式結構可例如為對準標記。藉由施加橫截面匹配或超過埋入式結構之大小之電子束,可產生整個埋入式結構之影像。此影像接著可例如覆蓋約80微米×40微米或50微米×50微米或更大之區域;經施加電子束可例如具有視場,該視場具有100微米直徑。在此實施例中,整個所關注區域可曝光於寬的未成形的電子 束,從而使CL-光自整個經曝光所關注區域發射。藉助於恰當光學件,經發射CL-光之影像可產生且例如經導向至偵測器。 In one embodiment of the invention, an applied electron beam may also be applied that may have a cross-section that substantially matches or exceeds the area of interest. In this embodiment, the detector may, for example, be configured to capture an image of the entire area of interest. In an embodiment, the region of interest may include, for example, a buried structure below the surface of the region of interest. This buried structure may be, for example, an alignment mark. By applying an electron beam whose cross-section matches or exceeds the size of the embedded structure, an image of the entire embedded structure can be generated. This image can then, for example, cover an area of about 80 microns x 40 microns or 50 microns x 50 microns or greater; the applied electron beam can, for example, have a field of view having a diameter of 100 microns. In this embodiment, the entire area of interest can be exposed to wide unshaped electrons Beam, thereby causing CL-light to be emitted from the entire exposed area of interest. With the aid of suitable optics, an image that emits CL-light can be generated and directed to a detector, for example.

在一實施例中,經產生影像可成像在參考光柵上。在此實施例中,多模光纖可收集透射通過參考光柵之光且將其引導至檢測工具之偵測器,例如光譜儀。在電子束橫跨所關注區域之掃描期間,所關注區域包含埋入式光柵,經量測CL-光接著可在以下兩個不同CL-頻譜之間振盪:對應於埋入式光柵之頻譜之一個頻譜、對應於光柵之間的溝槽中之材料之一個頻譜。就此而言,可例如參考圖4,其中結構404可例如表示埋入式光柵,而光柵之間的溝槽可含有不同材料之結構406。 In one embodiment, the generated image can be imaged on the reference grating. In this embodiment, the multimode fiber can collect the light transmitted through the reference grating and direct it to the detector of the detection tool, such as a spectrometer. During the scanning of the electron beam across the region of interest, the region of interest contains the buried grating, the measured CL-light can then oscillate between the following two different CL-spectrums: the frequency corresponding to the spectrum of the buried grating One spectrum, one corresponding to the material in the groove between the gratings. In this regard, reference may be made to FIG. 4, for example, where the structure 404 may, for example, represent a buried grating, and the trenches between the gratings may contain structures 406 of different materials.

在一替代實施例中,經發射CL-光之影像可供應至光纖陣列,例如二維光纖陣列,其中光纖陣列中之每一光纖可連接至光譜儀。在此實施例中,每一光纖及對應的光譜儀將自樣本上之不同位置收集CL-光;每一光纖將因而自所關注區域之特定子區域獲得CL-光。在使用此組態之情況下,有可能運用寬的未成形的電子束照明或曝光整個所關注區域,且產生影像,例如光柵之埋入式結構之特性可自該影像導出。相較於使用參考光柵之實施例,可使此實施例不依賴於間距,間距係指光柵結構(例如圖4之結構404)之間的距離。 In an alternative embodiment, the CL-light emitted image may be supplied to an optical fiber array, such as a two-dimensional optical fiber array, where each fiber in the optical fiber array may be connected to a spectrometer. In this embodiment, each fiber and corresponding spectrometer will collect CL-light from different locations on the sample; each fiber will thus obtain CL-light from a specific sub-region of the region of interest. With this configuration, it is possible to use a wide, unshaped electron beam to illuminate or expose the entire area of interest and generate an image, such as the characteristics of the embedded structure of the grating, which can be derived from the image. Compared with an embodiment using a reference grating, this embodiment can be made independent of the spacing, which refers to the distance between grating structures (such as the structure 404 of FIG. 4).

在本發明之一實施例中,例如跨越包含埋入式光柵之所關注區域之寬的電子束可用於判定埋入式光柵之位置。檢測工具之此實施例因而亦可用作微影設備中之對準工具。 In one embodiment of the invention, for example, a wide electron beam that spans the region of interest including the embedded grating can be used to determine the position of the embedded grating. This embodiment of the inspection tool can therefore also be used as an alignment tool in lithographic equipment.

在一實施例中,根據本發明之檢測工具經組態以評估埋入式結構之特性,材料特性或幾何特性,或針對橫跨半導體基板分佈之複數個此類結構評估功能性。藉此,該等參數之任何變化可在初期處偵測到且 可用以調整基板所經受之各種程序。在本發明之一實施例中,根據本發明之檢測工具經應用為配置於微影設備中之直列式工具。在此實施例中,在檢測工具評估應力分佈期間所使用之基板台亦在基板後續曝光至經圖案化輻射光束期間加以使用。因而,在一實施例中,本發明提供一種包含微影設備及檢測工具之微影系統,其中該微影設備包含載物台設備,其經組態以將基板台定位在電子束之操作範圍中以便運用用於檢測之電子束源之電子束掃描基板,且將該基板台定位在投影系統之操作範圍中,以便將由投影系統產生的經圖案化輻射光束賦予基板。在此實施例中,微影系統可經組態以:- 當基板經夾持在由微影設備之載物台設備定位之基板台上時檢測該基板;- 判定基板上之所關注區域之埋入式結構的特性;- 當基板在基板台上時由載物台設備相對於經圖案化輻射光束定位基板,其中定位基板台之程序或產生經圖案化輻射光束之程序可基於經判定特性。 In one embodiment, the inspection tool according to the present invention is configured to evaluate the characteristics, material properties or geometric characteristics of the buried structure, or to evaluate the functionality for a plurality of such structures distributed across the semiconductor substrate. By this, any change of these parameters can be detected at the initial stage and It can be used to adjust various procedures that the substrate is subjected to. In one embodiment of the present invention, the detection tool according to the present invention is applied as an in-line tool configured in a lithography apparatus. In this embodiment, the substrate stage used during the evaluation of the stress distribution by the inspection tool is also used during the subsequent exposure of the substrate to the patterned radiation beam. Thus, in one embodiment, the present invention provides a lithography system including a lithography apparatus and an inspection tool, wherein the lithography apparatus includes a stage apparatus configured to position the substrate stage within the operating range of the electron beam In order to scan the substrate with the electron beam of the electron beam source used for detection, and position the substrate table in the operating range of the projection system, so as to impart the patterned radiation beam generated by the projection system to the substrate. In this embodiment, the lithography system can be configured to:-detect the substrate when the substrate is clamped on the substrate table positioned by the stage device of the lithography equipment;-determine the area of interest on the substrate Characteristics of the embedded structure;-The substrate is positioned relative to the patterned radiation beam by the stage equipment when the substrate is on the substrate table, wherein the procedure of positioning the substrate table or generating the patterned radiation beam can be based on the determined characteristics .

在檢測工具併入於微影設備中之狀況下,其可例如提供於設備之真空腔室中,例如專用真空腔室或已經存在諸如EUV微影設備中之真空腔室。 In the case where the detection tool is incorporated in the lithography apparatus, it may be provided, for example, in a vacuum chamber of the apparatus, for example, a dedicated vacuum chamber or a vacuum chamber already exists such as in an EUV lithography apparatus.

在此配置中,亦應注意確保檢測工具尤其是電子束源不會由磁場影響,該等磁場可由載物台設備或諸如致動器之其他可能源產生。為了實現此,可施加電磁屏蔽。 In this configuration, care should also be taken to ensure that the inspection tools, especially the electron beam source, are not affected by magnetic fields that can be generated by stage equipment or other possible sources such as actuators. To achieve this, electromagnetic shielding may be applied.

作為將檢測工具併入在微影設備中之替代方案,根據本發明之微影系統可包含微影設備、根據本發明之檢測工具及用於將基板自檢 測工具轉移至微影設備之轉移系統。在此實施例中,轉移系統可例如在基板經夾持至基板台上時轉移該基板,亦即基板及基板台在夾持狀態中共同自檢測工具轉移至微影設備。 As an alternative to incorporating the inspection tool in the lithography apparatus, the lithography system according to the present invention may include the lithography apparatus, the inspection tool according to the present invention, and for self-inspection of the substrate Transfer the test tool to the transfer system of the lithography equipment. In this embodiment, the transfer system can transfer the substrate when the substrate is clamped onto the substrate table, that is, the substrate and the substrate table are collectively transferred from the inspection tool to the lithography apparatus in the clamped state, for example.

作為另一替代方案,根據本發明之檢測工具可為獨立工具,其具有其自身基板台及用於將基板轉移至工具及自工具轉移基板之界面。 As another alternative, the inspection tool according to the present invention may be a stand-alone tool having its own substrate stage and an interface for transferring the substrate to and from the tool.

在上文中,已論述CL-光之變化尤其是經捕捉CL-光之頻譜之變化可用於識別不同結構及材料以及此類材料或結構之各種特性。就此而言,值得指出,除頻譜之外,CL-光之其他特性亦可用於評估基板之狀態或檢測基板。除了觀測經接收CL-光之頻譜之外或作為其替代方案,亦可考慮經接收CL-光之其他特性以便評估結構或材料之間的轉變或評估此類材料或結構之各種特性。此類其他特性之實例可例如為CL-光之偏振特性、經接收CL-光之定向或CL-光之瞬態特性。以與評估CL-光之頻譜及其橫跨所關注區域之變化類似之方式,亦可使用經接收CL-光之偏振特性之評估來判定包括應力之材料屬性之變化的出現。類似地,定向尤其是經接收CL-光之角度定向亦可用以評估結構或材料之間的轉變或特性化此類結構或材料。應注意,CL-光之角度定向可例如由光照射在偵測器上之位置判定或特性化。參考圖2,熟習此項技術者應清楚,由箭頭140指示之CL-光之角度定向影響光照射在反射器160上之位置且因此亦影響CL-光照射偵測器150之位置。CL-光之又另一特性為經捕捉光中可感知到之任何瞬態,亦即隨著時間推移之任何變化。 In the above, it has been discussed that changes in CL-light, especially changes in the spectrum of captured CL-light, can be used to identify different structures and materials and various characteristics of such materials or structures. In this regard, it is worth pointing out that in addition to the spectrum, other characteristics of CL-light can also be used to evaluate the state of the substrate or to detect the substrate. In addition to observing the spectrum of the received CL-light or as an alternative, other characteristics of the received CL-light can also be considered in order to evaluate the transition between structures or materials or to evaluate various characteristics of such materials or structures. Examples of such other characteristics may be, for example, the polarization characteristics of CL-light, the orientation of received CL-light, or the transient characteristics of CL-light. In a similar way to evaluating the CL-light spectrum and its changes across the area of interest, the evaluation of the polarization characteristics of the received CL-light can also be used to determine the occurrence of changes in the material properties including stress. Similarly, orientation, especially angular orientation through received CL-light, can also be used to evaluate the transition between structures or materials or to characterize such structures or materials. It should be noted that the angular orientation of CL-light can be determined or characterized, for example, by the position of the light impinging on the detector. Referring to FIG. 2, those skilled in the art should understand that the angular orientation of CL-light indicated by arrow 140 affects the position of light irradiating on reflector 160 and therefore also the position of CL-light irradiation detector 150. Another characteristic of CL-light is any transient that can be perceived in the captured light, that is, any change over time.

根據本發明之檢測工具經組態以偵測由所關注區域(例如半導體基板之一部分)發射之CL-光。基於CL-光之偵測之基板或其部分的檢 測可比基於反向散射電子之偵測更快得執行。通常,相對較大數目之光子在運用電子束曝光基板期間產生,從而使得相較於例如反向散射電子之偵測,此檢測方法之良率或產出率相對較高。然而,可指出,偵測反向散射電子之偵測器之解析度可大於偵測CL-光之偵測器。 The detection tool according to the present invention is configured to detect CL-light emitted by an area of interest (eg, a portion of a semiconductor substrate). Inspection of substrate or part based on CL-light detection The measurement can be performed faster than detection based on backscattered electrons. Generally, a relatively large number of photons are generated during the exposure of the substrate with an electron beam, so that the yield or yield of this detection method is relatively high compared to, for example, the detection of backscattered electrons. However, it can be pointed out that the resolution of the detector for detecting backscattered electrons can be greater than the detector for detecting CL-light.

在本發明之一實施例中,檢測工具可具備不同類型的偵測器,例如包括反向散射(BS)電子偵測器或二次電子(SE)偵測器。在此實施例中,BS-偵測器或SE-偵測器可例如用於校準目的。作為一實例,BS-偵測器或SE-偵測器可例如用於校準CL-光偵測器。 In an embodiment of the invention, the detection tool may be equipped with different types of detectors, including, for example, a backscatter (BS) electron detector or a secondary electron (SE) detector. In this embodiment, the BS-detector or SE-detector can be used for calibration purposes, for example. As an example, a BS-detector or SE-detector can be used, for example, to calibrate a CL-light detector.

在本發明之一實施例中,自檢測工具獲得之資料可與自諸如其他檢測工具或度量衡工具之其他工具獲得之資料組合,其中資料之經組合集合係用於判定經製造半導體器件之功能特性或器件功能性。作為一實例,根據本發明之檢測工具之資料可例如與來自掃描電子顯微鏡(SEM)或來自光學臨界尺寸(OCD)度量衡之資料組合,或可與設計參數而非其他量測組合。在使用自根據本發明之檢測工具獲得之資料或經組合資料之情況下,可判定諸如器件電阻性、層厚度、摻雜劑濃度、臨限電壓及其他功能性之器件功能性。 In one embodiment of the invention, the data obtained from the inspection tool can be combined with the data obtained from other tools such as other inspection tools or metrology tools, wherein the combined set of data is used to determine the functional characteristics of the manufactured semiconductor device Or device functionality. As an example, the data of the inspection tool according to the invention can be combined with data from scanning electron microscope (SEM) or from optical critical dimension (OCD) metrology, for example, or can be combined with design parameters rather than other measurements. In the case of using data obtained from the inspection tool according to the present invention or combined data, device functionality such as device resistance, layer thickness, dopant concentration, threshold voltage, and other functionalities can be determined.

在使用此資訊作為對微影設備之回饋例如以控制經執行之曝光程序使得能夠改良器件效能及設備之良率兩者。經獲得之資訊亦可用於機器學習技術中以例如產生將經判定CL-光特性連結至諸如疊對特性之器件特性的經驗模型。 Using this information as a feedback to the lithography equipment, for example, to control the exposure process performed enables both device performance and equipment yield to be improved. The obtained information can also be used in machine learning techniques to, for example, generate empirical models that link the determined CL-light characteristics to device characteristics such as overlay characteristics.

在上文中,使用根據本發明之檢測工具已經出於檢測半導體基板之目的而加以描述。然而,可指出,其亦可用於檢測例如EUV坯件或EUV光罩。用於製造EUV倍縮光罩或圖案化器件之EUV坯件或石英基 板可含有缺陷。可例如指出,用於此類充分無缺陷的EUV坯件之製造程序的良率相對較低。在施加Mo-Si之例如多層堆疊之前的此EUV坯件之檢測可引起在初期偵測有缺陷的坯件。根據本發明之檢測工具可實現此類偵測。詳言之,當EUV坯件之表面曝光於電子束時產生之CL-光受表面形態強烈影響。由此,亦可區別不同類型的缺陷。例如表面上之石英之缺陷可產生再組合中心,其中CL-光之發射將為高的。因而,缺陷存在將突顯且可判定位置。亦可以類似方式偵測到結晶缺陷。因而,在使用根據本發明之檢測工具之情況下,可通常運用<10奈米解析度以相對較高速度成像EUV坯件之表面。 In the above, the use of the inspection tool according to the present invention has been described for the purpose of inspecting a semiconductor substrate. However, it can be pointed out that it can also be used to detect EUV blanks or EUV masks, for example. EUV blanks or quartz substrates used to manufacture EUV reticle or patterned devices The board may contain defects. It can be pointed out, for example, that the yield of manufacturing processes for such sufficiently defect-free EUV blanks is relatively low. The detection of this EUV blank before the application of, for example, a multilayer stack of Mo-Si can cause the detection of defective blanks in the initial stage. The detection tool according to the invention can realize such detection. In detail, the CL-light generated when the surface of the EUV blank is exposed to an electron beam is strongly affected by the surface morphology. In this way, different types of defects can also be distinguished. For example, defects in quartz on the surface can produce recombination centers, where the emission of CL-light will be high. Thus, the presence of defects will be highlighted and the location can be determined. Crystallization defects can also be detected in a similar manner. Therefore, in the case of using the inspection tool according to the present invention, the surface of the EUV blank can be imaged at a relatively high speed with a resolution of <10 nm.

另外,亦可應用根據本發明之檢測工具以檢測多層光罩或倍縮光罩,例如具備Mo及Si層之堆疊之光罩。 In addition, the inspection tool according to the present invention can also be applied to inspect a multi-layer mask or a reduced-magnification mask, such as a stacked mask with Mo and Si layers.

因而,在本發明之一實施例中,提供一種用於檢測EUV坯件或EUV光罩之檢測工具,該檢測工具包含- 物件台,其經組態以固持EUV坯件或EUV光罩;- 電子束源,其經組態以將電子束投影至EUV坯件或EUV光罩之所關注區域上;- 陰極發光偵測器,其經組態以偵測自所關注區域發射之陰極發光的光;- 控制單元,其經組態以:- 控制電子束源以將電子束投影至所關注區域上;- 接收表示經偵測到陰極發光的光之信號;- 基於該信號判定所關注區域上之缺陷之特性或部位。 Therefore, in one embodiment of the present invention, there is provided an inspection tool for detecting EUV blanks or EUV masks, the inspection tool comprising-an object table configured to hold an EUV blank or EUV mask;- Electron beam source, which is configured to project the electron beam onto the area of interest of the EUV blank or EUV mask;-Cathodoluminescence detector, which is configured to detect the cathodoluminescence emitted from the area of interest Light;-control unit, which is configured to:-control the electron beam source to project the electron beam onto the area of interest;-receive a signal representing the light detected by the cathode;-determine the area of interest based on the signal The characteristics or locations of the above defects.

此工具可經組態以執行以下檢測方法: 一種檢測方法,該方法包含以下步驟:- 提供具有所關注區域之EUV坯件或EUV光罩;- 使用電子束掃描所關注區域;- 捕捉自所關注區域發射之CL-光;- 基於經捕捉光判定所關注區域上之缺陷之特性或部位。 This tool can be configured to perform the following detection methods: A detection method comprising the following steps:-providing an EUV blank or EUV mask with an area of interest;-scanning the area of interest using an electron beam;-capturing CL-light emitted from the area of interest;-based on the captured Optically determine the characteristics or locations of defects on the area of interest.

可使用以下條項進一步描述實施例: The following items can be used to further describe the embodiment:

1.一種用於檢測一半導體基板之檢測工具,該檢測工具包含- 一基板台,其經組態以固持該基板;- 一電子束源,其經組態以將一電子束投影至該基板之一所關注區域上,該所關注區域包含一埋入式結構;- 一陰極發光偵測器,其經組態以偵測自該埋入式結構發射之陰極發光的光;- 一控制單元,其經組態以:- 接收表示該經偵測到陰極發光的光之一信號;- 基於該信號判定該埋入式結構之一特性。 1. An inspection tool for inspecting a semiconductor substrate, the inspection tool comprising-a substrate stage configured to hold the substrate;-an electron beam source configured to project an electron beam onto the substrate On one of the regions of interest, the region of interest includes an embedded structure;-a cathode luminescence detector configured to detect the light emitted by the cathode from the embedded structure;-a control unit , Which is configured to:-receive a signal representing the detected light emitted by the cathode;-determine a characteristic of the embedded structure based on the signal.

2.如條項1之檢測工具,其中該控制單元經進一步組態以:- 控制該電子束源以將該電子束投影至該所關注區域上; 2. The inspection tool of item 1, wherein the control unit is further configured to:-control the electron beam source to project the electron beam onto the area of interest;

3.如條項1或2之檢測工具,其中該特性為該埋入式結構之至少部分之一材料特性。 3. The inspection tool of item 1 or 2, wherein the characteristic is at least a part of the material characteristic of the embedded structure.

4.如條項3之檢測工具,其中該材料特性為一電氣特性或一化學特性。 4. The inspection tool of item 3, wherein the material characteristic is an electrical characteristic or a chemical characteristic.

5.如條項3或4之檢測工具,其中該控制單元經組態以判定該經偵測到陰極發光的光之一頻譜。 5. The detection tool according to clause 3 or 4, wherein the control unit is configured to determine a spectrum of the detected light emitted by the cathode.

6.如條項5之檢測工具,其中該控制單元經組態以基於該頻譜判定該材料特性。 6. The inspection tool of clause 5, wherein the control unit is configured to determine the material properties based on the frequency spectrum.

7.如條項5或6之檢測工具,其中該控制單元經進一步組態以接收表示該結構之一幾何特性之一信號,且其中該控制單元經組態以基於該材料特性及該幾何特性評估半導體器件之功能性。 7. The inspection tool of clause 5 or 6, wherein the control unit is further configured to receive a signal representing a geometric characteristic of the structure, and wherein the control unit is configured to be based on the material characteristic and the geometric characteristic Evaluate the functionality of semiconductor devices.

8.如條項1或2之檢測工具,其中該特性為該結構之一幾何特性。 8. The inspection tool of item 1 or 2, wherein the characteristic is a geometric characteristic of the structure.

9.如條項8之檢測工具,其中該幾何特性包含該結構之位置資訊。 9. The inspection tool of clause 8, wherein the geometric characteristics include position information of the structure.

10.如條項9之檢測工具,其中該位置資訊包含該結構相對於一另外結構之一位置。 10. The inspection tool of clause 9, wherein the position information includes a position of the structure relative to another structure.

11.如條項10之檢測工具,其中該結構及該另外結構定位在該半導體基板之不同層中。 11. The inspection tool of clause 10, wherein the structure and the additional structure are positioned in different layers of the semiconductor substrate.

12.如條項11之檢測工具,其中該結構及該另外結構形成一疊對標記。 12. The inspection tool of clause 11, wherein the structure and the additional structure form a stack of pairs of marks.

13.如條項8至12中任一項之檢測工具,其中該信號表示該埋入式結構之一影像,且其中該控制單元經組態以基於該影像之影像處理判定該埋入式結構之該幾何特性。 13. The inspection tool of any one of clauses 8 to 12, wherein the signal represents an image of the embedded structure, and wherein the control unit is configured to determine the embedded structure based on image processing of the image The geometric characteristics.

14.如前述條項中任一項之檢測工具,其中該控制單元包含用於輸出表示該經判定特性之一信號之一輸出端子。 14. The inspection tool according to any one of the preceding clauses, wherein the control unit includes an output terminal for outputting a signal representing the determined characteristic.

15.如前述條項中任一項之檢測工具,其中該所關注區域包含橫跨該基板分佈之複數個埋入式結構,且其中該控制單元經進一步組態以針對該複數個埋入式結構判定該特性之一變化。 15. The inspection tool according to any one of the preceding clauses, wherein the region of interest includes a plurality of embedded structures distributed across the substrate, and wherein the control unit is further configured to target the plurality of embedded types The structure determines that one of the characteristics changes.

16.如條項15之檢測工具,其中該控制單元經組態以輸出表示該特性之該變化之一信號。 16. The inspection tool of clause 15, wherein the control unit is configured to output a signal representing the change in the characteristic.

17.如前述條項中任一項之檢測工具,其中該電子束源經組態以將複數個電子束投影至該所關注區域之各別複數個子區域上。 17. The inspection tool according to any one of the preceding clauses, wherein the electron beam source is configured to project a plurality of electron beams onto respective plurality of sub-regions of the region of interest.

18.如前述條項中任一項之檢測工具,其中該電子束源經組態以產生具有一不同能量位準之電子束。 18. The inspection tool of any of the preceding clauses, wherein the electron beam source is configured to generate electron beams with a different energy level.

19.如條項18之檢測工具,其中該控制單元經組態以:- 控制該電子束源以將具有不同能量位準之複數個電子束投影至該所關注區域上;- 接收表示該經偵測到陰極發光的光之各別複數個信號;- 基於該複數個信號判定該埋入式結構之該特性。 19. The inspection tool according to item 18, wherein the control unit is configured to:-control the electron beam source to project a plurality of electron beams with different energy levels onto the region of interest;-receive to indicate that the Multiple signals of the light emitted by the cathode are detected;-based on the multiple signals, the characteristics of the embedded structure are determined.

20.如前述條項中任一項之檢測工具,其進一步包含一反向散射(BS)電子偵測器,該反向散射電子偵測器經組態以偵測自該所關注區域發射之反向散射電子。 20. The detection tool according to any of the preceding items, further comprising a backscatter (BS) electron detector configured to detect the emission from the area of interest Backscattered electrons.

21.如條項20之檢測工具,其中該控制單元經組態以:-接收表示該等經偵測到反向散射電子之一信號,且-基於表示該經偵測到陰極發光的光之該信號及表示該等經偵測到反向散射電子之該信號判定該特性。 21. The detection tool of clause 20, wherein the control unit is configured to:-receive a signal representing the detected backscattered electrons, and-based on the light representing the detected cathode light emission The signal and the signal representing the detected backscattered electrons determine the characteristic.

22.如前述條項中任一項之檢測工具,其中該控制單元經組態以:- 判定該經偵測到陰極發光的光之一角度定向、一偏振或一瞬態。 22. The detection tool according to any one of the preceding clauses, wherein the control unit is configured to:-determine an angular orientation, a polarization, or a transient state of the detected light emitted by the cathode.

23.如條項22之檢測工具,其中該控制單元經組態以:- 基於該經偵測到陰極發光的光之該角度定向、該偏振或該瞬態判定該埋入式結構之該特性。 23. The detection tool of clause 22, wherein the control unit is configured to:-determine the characteristic of the buried structure based on the angular orientation, the polarization, or the transient state of the detected cathodoluminescence light .

24.一種微影設備,其包含:- 一照明系統,其經組態以調節一輻射光束; - 一支撐件,其經建構以支撐一圖案化器件,該圖案化器件能夠在該輻射光束之橫截面中向該輻射光束賦予一圖案以形成一經圖案化輻射光束;- 一投影系統,其經組態以將該經圖案化輻射光束投影至一基板之一目標部分上;其中該設備進一步包含一如前述條項中任一項之檢測工具及一載物台設備,該載物台設備經組態以定位該基板台以便將該經圖案化輻射光束賦予該基板。 24. A lithography apparatus, comprising:-an illumination system configured to adjust a radiation beam; -A support member constructed to support a patterned device capable of imparting a pattern to the radiation beam in the cross-section of the radiation beam to form a patterned radiation beam;-a projection system whose warp Configured to project the patterned radiation beam onto a target portion of a substrate; wherein the device further includes a detection tool as described in any one of the preceding clauses and a stage equipment, the stage equipment is It is configured to position the substrate table so as to impart the patterned radiation beam to the substrate.

25.如條項24之微影設備,其進一步包含一載物台控制單元,該載物台控制單元經組態以控制該載物台設備,該載物台控制單元經組態以接收表示該埋入式結構之該特性之一信號,且其中該載物台控制單元經組態以基於該特性控制該載物台設備。 25. The lithography apparatus of clause 24, further comprising a stage control unit configured to control the stage equipment, the stage control unit configured to receive representations A signal of the characteristic of the embedded structure, and wherein the stage control unit is configured to control the stage equipment based on the characteristic.

26.如條項24或25之微影設備,其進一步包含一投影控制單元,該投影控制單元經組態以控制該投影系統及照明系統,該投影控制單元經組態以接收表示該埋入式結構之該特性之一信號,且其中該投影控制單元經組態以基於該特性控制該投影系統及/或該照明系統。 26. The lithography apparatus of item 24 or 25, further comprising a projection control unit configured to control the projection system and the lighting system, the projection control unit configured to receive the embedded signal A signal of the characteristic of the structure, and wherein the projection control unit is configured to control the projection system and/or the illumination system based on the characteristic.

27.如條項25至26中任一項之微影設備,其中該基板台包含用於將該基板夾持至該基板台之一夾持機構。 27. The lithography apparatus of any one of clauses 25 to 26, wherein the substrate table includes a clamping mechanism for clamping the substrate to the substrate table.

28.如條項26至27中任一項之微影設備,其進一步包含一真空腔室,該真空腔室經組態以圍封該電子束源。 28. The lithography apparatus of any one of clauses 26 to 27, further comprising a vacuum chamber configured to enclose the electron beam source.

29.如條項28之微影設備,其中該真空腔室進一步圍封該投影系統。 29. The lithography apparatus of item 28, wherein the vacuum chamber further encloses the projection system.

30.如條項24至29中任一項之微影設備,其中該載物台設備包含一或多個電磁馬達,且其中該微影設備進一步包含一屏蔽部件,該屏蔽部件經 組態以屏蔽該電子束源以免受該載物台設備之一磁場影響。 30. The lithography apparatus of any one of clauses 24 to 29, wherein the stage apparatus includes one or more electromagnetic motors, and wherein the lithography apparatus further includes a shielding member, the shielding member is It is configured to shield the electron beam source from the magnetic field of one of the stage devices.

31.一種微影系統,其包含:- 一如條項1至23中任一項之檢測工具,及- 一微影設備,其包含:- 一照明系統,其經組態以調節一輻射光束;- 一支撐件,其經建構以支撐一圖案化器件,該圖案化器件能夠在該輻射光束之橫截面中向該輻射光束賦予一圖案以形成一經圖案化輻射光束;- 一投影系統,其經組態以將該經圖案化輻射光束投影至一基板之一目標部分上;- 一載物台設備,其經組態以定位該基板台以便將該經圖案化輻射光束賦予該基板。 31. A lithography system, comprising:-a detection tool as in any one of clauses 1 to 23, and-a lithography equipment, comprising:-an illumination system configured to adjust a radiation beam ;- A support, which is constructed to support a patterned device capable of imparting a pattern to the radiation beam in the cross-section of the radiation beam to form a patterned radiation beam;-A projection system, which Configured to project the patterned radiation beam onto a target portion of a substrate;-a stage device configured to position the substrate table to impart the patterned radiation beam to the substrate.

32.一種檢測方法,該方法包含以下步驟:- 提供具有一所關注區域之一基板,該所關注區域包含一埋入式結構;- 使用一電子束掃描該所關注區域;- 捕捉自該所關注區域發射之CL-光;- 判定該埋入式結構之一特性。 32. A detection method comprising the following steps:-providing a substrate having an area of interest, the area of interest including a buried structure;-scanning the area of interest using an electron beam;-capturing from the site Focus on the CL-light emitted by the area;-Determine one of the characteristics of the buried structure.

33.如條項32之檢測方法,其進一步包含:- 基於該經捕捉CL-光產生該埋入式結構之一影像;及- 基於該經產生影像判定該埋入式結構之該特性。 33. The detection method of clause 32, further comprising:-generating an image of the embedded structure based on the captured CL-light; and-determining the characteristic of the embedded structure based on the generated image.

34.如條項32或33之檢測方法,其中該埋入式結構包含一堆疊,該堆疊包含一第一層及一第二層,該幾何屬性為該第一層中之該埋入式結構之 一第一部分與該第二層中之該埋入式結構之一第二部分之間的一相對位置。 34. The detection method of clause 32 or 33, wherein the embedded structure includes a stack, the stack includes a first layer and a second layer, and the geometric attribute is the embedded structure in the first layer Of A relative position between a first portion and a second portion of the buried structure in the second layer.

35.一種器件製造方法,其包含將一經圖案化輻射光束投影至一基板上,其中將該經圖案化輻射光束投影至該基板上之步驟係在如條項32至34中任一項之檢測方法之前。 35. A device manufacturing method comprising projecting a patterned radiation beam onto a substrate, wherein the step of projecting the patterned radiation beam onto the substrate is detected in any one of items 32 to 34 Before the method.

36.一種用於檢測一半導體基板之檢測工具,該檢測工具包含- 一基板台,其經組態以固持該基板;- 一電子束源,其經組態以將一電子束投影至該基板之一所關注區域上,該所關注區域包含一埋入式結構;- 一聲波產生器,其經組態以在該所關注區域中產生一聲波,藉此在該所關注區域中產生一應變分佈;- 一陰極發光偵測器,其經組態以當該應變分佈存在於該所關注區域中時偵測自該所關注區域發射之陰極發光的光;- 一控制單元,其經組態以:- 接收表示該經偵測到陰極發光的光之一信號;- 基於該信號判定該埋入式結構之一特性。 36. An inspection tool for inspecting a semiconductor substrate, the inspection tool comprising-a substrate stage configured to hold the substrate;-an electron beam source configured to project an electron beam onto the substrate On a region of interest, the region of interest includes an embedded structure;-an acoustic wave generator configured to generate an acoustic wave in the region of interest, thereby generating a strain in the region of interest Distribution;-a cathodoluminescence detector, which is configured to detect the cathodoluminescence light emitted from the area of interest when the strain distribution is present in the area of interest;-a control unit, which is configured To:-receive a signal representing the detected light emitted by the cathode;-determine a characteristic of the embedded structure based on the signal.

37.如條項36之檢測工具,其中該聲波產生器包含一雷射,該雷射經組態以產生一雷射脈衝以用於在該所關注區域中產生該聲波。 37. The inspection tool of clause 36, wherein the acoustic wave generator comprises a laser configured to generate a laser pulse for generating the acoustic wave in the area of interest.

38.如條項36之檢測工具,其中該聲波產生器包含一聲學轉換器,該聲學轉換器用於在該所關注區域中產生該聲波。 38. The inspection tool of clause 36, wherein the acoustic wave generator includes an acoustic transducer for generating the acoustic wave in the area of interest.

39.如條項36至38中任一項之檢測工具,其中該埋入式結構包含一光柵且其中該埋入式結構之該特性包含該光柵之一位置。 39. The inspection tool of any one of clauses 36 to 38, wherein the embedded structure includes a grating and wherein the characteristic of the embedded structure includes a position of the grating.

40.如條項1至24或36至39中任一項之檢測工具,其中該電子束源經 組態以將一電子束投影至該所關注區域上,該電子束之一橫截面區域實質上跨越該所關注區域。 40. The inspection tool according to any one of items 1 to 24 or 36 to 39, wherein the electron beam source is It is configured to project an electron beam onto the area of interest, and a cross-sectional area of the electron beam substantially spans the area of interest.

41.如條項40之檢測工具,其中該陰極發光偵測器包含一光纖陣列,該光纖陣列經組態以接收自該所關注區域發射之該陰極發光的光。 41. The detection tool of clause 40, wherein the cathodoluminescence detector includes an optical fiber array configured to receive the cathodoluminescence light emitted from the area of interest.

42.如條項41之檢測工具,其中該光纖陣列包含一二維光纖陣列,該光纖陣列中之每一光纖經組態以接收自該所關注區域之一子區域發射之陰極發光的光。 42. The inspection tool of clause 41, wherein the optical fiber array comprises a two-dimensional optical fiber array, and each optical fiber in the optical fiber array is configured to receive cathodoluminescence light emitted from a sub-region of the region of interest.

43.如條項41或42之檢測工具,其中該陰極發光偵測器進一步包含一光譜儀陣列,該光譜儀陣列中之每一光譜儀連接至該光纖陣列中之一各別光纖。 43. The detection tool of clause 41 or 42, wherein the cathodoluminescence detector further comprises a spectrometer array, each spectrometer in the spectrometer array being connected to a respective optical fiber in the optical fiber array.

44.如條項40之檢測工具,其中該陰極發光偵測器包含一光纖,該光纖用於接收該陰極發光的光及將該陰極發光的光提供至該陰極發光偵測器之一光譜儀。 44. The detection tool of clause 40, wherein the cathodoluminescence detector includes an optical fiber for receiving the cathodoluminescence light and providing the cathodoluminescence light to a spectrometer of the cathodoluminescence detector.

45.如條項44之檢測工具,其進一步包含一參考光柵,該參考光柵用於賦予該陰極發光的光。 45. The inspection tool of item 44, further comprising a reference grating, which is used to give the cathode light.

46.如條項45之檢測工具,其中該陰極發光的光經成像至該參考光柵上,且其中透射通過該參考光柵之該陰極發光的光經提供至該光譜儀。 46. The inspection tool of clause 45, wherein the cathodoluminescence light is imaged onto the reference grating, and wherein the cathodoluminescence light transmitted through the reference grating is provided to the spectrometer.

47.如條項36至39中任一項之檢測工具,其中該應變分佈包含關於該埋入式結構之資訊。 47. The inspection tool according to any one of clauses 36 to 39, wherein the strain distribution contains information about the embedded structure.

儘管在本文中可特定地參考微影設備在IC製造中之使用,但應理解,本文中所描述之微影設備可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭等等。熟習此項技術者應瞭解,在此等替代應用之內容 背景中,可認為本文中對術語「晶圓」或「晶粒」之任何使用分別與更一般之術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)自動化光阻塗佈及顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢測工具中處理本文中所提及之基板。在適用之情況下,可將本文中之揭露內容應用於此等及其他基板處理工具。此外,可將基板處理多於一次,(例如)以便產生多層IC,使得本文中所使用之術語「基板」亦可指已經含有多個經處理層之基板。 Although specific reference may be made herein to the use of lithographic devices in IC manufacturing, it should be understood that the lithographic devices described herein may have other applications, such as manufacturing integrated optical systems, guides for magnetic domain memories Introduce and detect patterns, flat panel displays, liquid crystal displays (LCD), thin film magnetic heads, etc. Those familiar with this technology should understand the content of these alternative applications In the background, any use of the terms "wafer" or "die" herein may be considered as synonymous with the more general terms "substrate" or "target portion", respectively. This article can be processed in, for example, automated photoresist coating and development systems (tools that typically apply a resist layer to the substrate and develop the exposed resist), metrology tools, and/or inspection tools before or after exposure The mentioned substrate. Where applicable, the disclosures in this article can be applied to these and other substrate processing tools. In addition, the substrate can be processed more than once, for example, to produce a multilayer IC, so that the term "substrate" as used herein may also refer to a substrate that already contains multiple processed layers.

儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例之使用,應瞭解,本發明可用於其他應用(例如,壓印微影)中,且在內容背景允許的情況下不限於光學微影。在壓印微影中,圖案化器件中之構形(topography)界定基板上產生之圖案。可將圖案化器件之構形壓入至被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後,將圖案化器件移出抗蝕劑,從而在其中留下圖案。 Although the above may specifically refer to the use of embodiments of the present invention in the context of optical lithography, it should be understood that the present invention can be used in other applications (for example, embossing lithography), and where the context of the content permits Not limited to optical lithography. In imprint lithography, the topography in the patterned device defines the pattern created on the substrate. The configuration of the patterned device can be pressed into the resist layer supplied to the substrate, where the resist is cured by applying electromagnetic radiation, heat, pressure, or a combination thereof. After the resist is cured, the patterned device is removed from the resist, leaving a pattern therein.

本文中所使用之術語「輻射」及「光束」涵蓋所有類型的電磁輻射,包括紫外線(UV)輻射(例如具有約365、248、193、157或126奈米之波長)及極紫外線(EUV)輻射(例如具有在5至20奈米範圍內之波長),以及粒子束,諸如離子束或電子束。 The terms "radiation" and "beam" as used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (eg, having a wavelength of about 365, 248, 193, 157, or 126 nanometers) and extreme ultraviolet (EUV) Radiation (for example with a wavelength in the range of 5 to 20 nanometers), and particle beams, such as ion beams or electron beams.

術語「透鏡」在內容背景允許之情況下可指各種類型之光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。 The term "lens" may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic, and electrostatic optical components, as the context permits.

雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。舉例而言,本發明可採用以 下各者之形式:電腦程式,其含有描述如上文所揭示之方法之機器可讀指令的一或多個序列;或資料儲存媒體(例如半導體記憶體、磁碟或光碟),其儲存有此電腦程式。 Although specific embodiments of the invention have been described above, it should be understood that the invention may be practiced in other ways than those described. For example, the present invention can be used to In the form of the following: a computer program that contains one or more sequences of machine-readable instructions describing the method as disclosed above; or a data storage medium (such as semiconductor memory, magnetic disk, or optical disk) that stores this Computer program.

以上描述意欲為說明性的,而非限制性的。因此,對於熟習此項技術者而言將顯而易見,可在不脫離下文所闡明之申請專利範圍的範疇的情況下對所描述之本發明進行修改。 The above description is intended to be illustrative, not limiting. Therefore, it will be obvious to those skilled in the art that the described invention can be modified without departing from the scope of the patent application scope set forth below.

1000‧‧‧疊對標記/所關注區域 1000‧‧‧ Stacked pair of marks/area of interest

1005‧‧‧層 1005‧‧‧ storey

1010‧‧‧底部經圖案化層 1010‧‧‧patterned bottom

1012‧‧‧第一結構/表面/區域 1012‧‧‧First structure/surface/area

1014‧‧‧相互作用體積 1014‧‧‧Interaction volume

1015‧‧‧電子束 1015‧‧‧ electron beam

1016‧‧‧方向 1016‧‧‧ direction

1020‧‧‧頂部經圖案化層 1020‧‧‧Top patterned layer

1022‧‧‧第二結構 1022‧‧‧Second structure

1030‧‧‧層 1030‧‧‧ storey

Claims (15)

一種用於檢測一半導體基板之檢測工具,該檢測工具包含:一基板台,其經組態以固持該基板;一電子束源,其經組態以將一電子束投影至該基板之一所關注區域(area of interest)上,該所關注區域包含一埋入式(buried)結構;一陰極發光偵測器,其經組態以偵測自該埋入式結構發射之陰極發光的光(cathodoluminescent light);一控制單元,其經組態以:接收表示該經偵測到陰極發光的光之一信號;基於該信號判定該埋入式結構之一特性。 An inspection tool for inspecting a semiconductor substrate, the inspection tool includes: a substrate stage configured to hold the substrate; an electron beam source configured to project an electron beam to one of the substrates On an area of interest, the area of interest includes a buried structure; a cathodoluminescence detector configured to detect the cathodoluminescence light emitted from the buried structure ( cathodoluminescent light); a control unit configured to: receive a signal representing the detected light emitted by the cathode; determine a characteristic of the embedded structure based on the signal. 如請求項1之檢測工具,其中該控制單元經進一步組態以:控制該電子束源以將該電子束投影至該所關注區域上。 The inspection tool of claim 1, wherein the control unit is further configured to: control the electron beam source to project the electron beam onto the region of interest. 如請求項1或2之檢測工具,其中該特性為該埋入式結構之至少部分之一材料特性。 The inspection tool according to claim 1 or 2, wherein the characteristic is at least a part of the material characteristic of the embedded structure. 如請求項3之檢測工具,其中該材料特性為一電氣特性或一化學特性。 The testing tool according to claim 3, wherein the material property is an electrical property or a chemical property. 如請求項3之檢測工具,其中該控制單元經組態以判定該經偵測到陰極發光的光之一頻譜。 The detection tool according to claim 3, wherein the control unit is configured to determine a spectrum of the detected light emitted by the cathode. 如請求項5之檢測工具,其中該控制單元經組態以基於該頻譜判定該材料特性。 The inspection tool of claim 5, wherein the control unit is configured to determine the material properties based on the frequency spectrum. 如請求項5之檢測工具,其中該控制單元經進一步組態以接收表示該結構之一幾何特性之一信號,且其中該控制單元經組態以基於該材料特性及該幾何特性評估半導體器件之功能性。 The inspection tool of claim 5, wherein the control unit is further configured to receive a signal representing a geometric characteristic of the structure, and wherein the control unit is configured to evaluate the semiconductor device based on the material characteristic and the geometric characteristic Feature. 如請求項1或2之檢測工具,其中該特性為該結構之一幾何特性。 The inspection tool according to claim 1 or 2, wherein the characteristic is a geometric characteristic of the structure. 如請求項8之檢測工具,其中該幾何特性包含該結構之位置資訊。 As in the inspection tool of claim 8, wherein the geometric characteristic includes the position information of the structure. 如請求項9之檢測工具,其中該位置資訊包含該結構相對於一另外結構之一位置。 The inspection tool of claim 9, wherein the position information includes a position of the structure relative to another structure. 如請求項10之檢測工具,其中該結構及該另外結構定位在該半導體基板之不同層中。 The inspection tool of claim 10, wherein the structure and the additional structure are positioned in different layers of the semiconductor substrate. 如請求項8之檢測工具,其中該信號表示該埋入式結構之一影像,且其中該控制單元經組態以基於該影像之影像處理判定該埋入式結構之該幾何特性。 The inspection tool of claim 8, wherein the signal represents an image of the embedded structure, and wherein the control unit is configured to determine the geometric characteristics of the embedded structure based on image processing of the image. 如請求項1或2之檢測工具,其中該電子束源經組態以產生具有一不 同能量位準之電子束,且其中該控制單元經組態以:控制該電子束源以將具有不同能量位準之複數個電子束投影至該所關注區域上;接收表示該經偵測到陰極發光的光之各別複數個信號;基於該複數個信號判定該埋入式結構之該特性。 The inspection tool of claim 1 or 2, wherein the electron beam source is configured to produce a Electron beams of the same energy level, and wherein the control unit is configured to: control the electron beam source to project a plurality of electron beams with different energy levels onto the region of interest; receiving indicates that the detected Multiple signals of the light emitted by the cathode; the characteristics of the embedded structure are determined based on the multiple signals. 如請求項1或2之檢測工具,其進一步包含一反向散射(back-scattering,BS)電子偵測器,該反向散射電子偵測器經組態以偵測自該所關注區域發射之反向散射電子,且其中該控制單元經組態以:接收表示該等經偵測到反向散射電子之一信號,且基於表示該經偵測到陰極發光的光之該信號及表示該等經偵測到反向散射電子之該信號判定該特性。 The detection tool of claim 1 or 2 further includes a back-scattering (BS) electron detector configured to detect the emission from the area of interest Backscattered electrons, and wherein the control unit is configured to: receive a signal representing the detected backscattered electrons, and based on the signal representing the detected light emitted by the cathode and represent the The signal after detecting backscattered electrons determines the characteristic. 如請求項1或2之檢測工具,其中該控制單元經組態以:判定該經偵測到陰極發光的光之一角度定向(angular orientation)、一偏振或一瞬態(transient),且基於該經偵測到陰極發光的光之該角度定向、該偏振或該瞬態判定該埋入式結構之該特性。 The detection tool according to claim 1 or 2, wherein the control unit is configured to: determine an angular orientation, a polarization, or a transient of the detected cathode light, and based on the The angle orientation, the polarization, or the transient state of the light emitted by the cathode is determined to determine the characteristic of the buried structure.
TW107124091A 2017-07-13 2018-07-12 Inspection tool, lithographic apparatus, lithographic system, inspection method and device manufacturing method TWI685725B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
EP17181208.4A EP3428726A1 (en) 2017-07-13 2017-07-13 Inspection tool, lithographic apparatus, lithographic system, inspection method and device manufacturing method
EPEP17181208 2017-07-13
??EP17181208 2017-07-13
??EP17204199 2017-11-28
EPEP17204199 2017-11-28
EP17204199 2017-11-28

Publications (2)

Publication Number Publication Date
TW201917492A TW201917492A (en) 2019-05-01
TWI685725B true TWI685725B (en) 2020-02-21

Family

ID=62620897

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107124091A TWI685725B (en) 2017-07-13 2018-07-12 Inspection tool, lithographic apparatus, lithographic system, inspection method and device manufacturing method

Country Status (2)

Country Link
TW (1) TWI685725B (en)
WO (1) WO2019011608A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111406198B (en) 2020-02-24 2021-02-19 长江存储科技有限责任公司 System and method for semiconductor chip surface topography metrology
CN113008160B (en) 2020-02-24 2023-02-10 长江存储科技有限责任公司 System and method for semiconductor chip surface topography metrology
CN111386441B (en) 2020-02-24 2021-02-19 长江存储科技有限责任公司 System for measuring surface topography of semiconductor chip
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
CN114295908B (en) * 2021-12-01 2023-09-26 昆山毅普腾自动化技术有限公司 Rapid detection method for internal microstructure of nano electronic device based on F-SRU network

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4712057A (en) * 1983-05-25 1987-12-08 Battelle Memorial Institute Method of examining and testing an electric device such as an integrated or printed circuit
US4929041A (en) * 1989-01-09 1990-05-29 Johnston Pump/General Valve, Inc. Cathodoluminescence system for use in a scanning electron microscope including means for controlling optical fiber aperture
US20100059672A1 (en) * 2008-09-04 2010-03-11 Ulrike Zeile Device and method for analyzing a sample
CN103261879A (en) * 2010-10-01 2013-08-21 安托莱特公司 Deconvolution of time-gated cathodoluminescence images
TW201706590A (en) * 2015-05-28 2017-02-16 克萊譚克公司 System and method for dynamic care area generation on an inspection tool

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4712057A (en) * 1983-05-25 1987-12-08 Battelle Memorial Institute Method of examining and testing an electric device such as an integrated or printed circuit
US4929041A (en) * 1989-01-09 1990-05-29 Johnston Pump/General Valve, Inc. Cathodoluminescence system for use in a scanning electron microscope including means for controlling optical fiber aperture
US20100059672A1 (en) * 2008-09-04 2010-03-11 Ulrike Zeile Device and method for analyzing a sample
CN103261879A (en) * 2010-10-01 2013-08-21 安托莱特公司 Deconvolution of time-gated cathodoluminescence images
TW201706590A (en) * 2015-05-28 2017-02-16 克萊譚克公司 System and method for dynamic care area generation on an inspection tool

Also Published As

Publication number Publication date
WO2019011608A1 (en) 2019-01-17
TW201917492A (en) 2019-05-01

Similar Documents

Publication Publication Date Title
TWI685725B (en) Inspection tool, lithographic apparatus, lithographic system, inspection method and device manufacturing method
KR101357081B1 (en) Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
KR100989377B1 (en) A scatterometer, a lithographic apparatus and a focus analysis method
JP4541374B2 (en) Lithographic apparatus and device manufacturing method with use of reduced scribe lanes for substrate metrology
JP5412528B2 (en) Inspection method, inspection system, substrate, and mask
KR101022395B1 (en) Position measurement system and lithographic apparatus
KR101149842B1 (en) Method of determining defects in a substrate and apparatus for exposing a substrate in a lithographic process
JP4812712B2 (en) Method for measuring characteristics of substrate and device measuring method
TWI435182B (en) Angularly resolved scatterometer and inspection method
US20090097008A1 (en) Alignment Method and Apparatus, Lithographic Apparatus, Metrology Apparatus and Device Manufacturing Method
JP5312501B2 (en) Alignment mark, substrate, patterning device set, and device manufacturing method
KR20090095509A (en) Method of providing alignment marks, device manufacturing method and lithographic apparatus
KR101129529B1 (en) Lithographic apparatus and device manufacturing method
TWI460559B (en) Level sensor arrangement for lithographic apparatus, lithographic apparatus and device manufacturing method
US11728129B2 (en) Inspection tool and method of determining a distortion of an inspection tool
TW201945863A (en) Inspection tool, inspection method and computer program product
EP3428725A1 (en) Inspection tool, lithographic apparatus, lithographic system, inspection method and device manufacturing method
EP3428726A1 (en) Inspection tool, lithographic apparatus, lithographic system, inspection method and device manufacturing method
TWI428583B (en) Scatterometer method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees