TWI629683B - Non-volatile memory with overwrite capability and low write amplification - Google Patents

Non-volatile memory with overwrite capability and low write amplification Download PDF

Info

Publication number
TWI629683B
TWI629683B TW103109550A TW103109550A TWI629683B TW I629683 B TWI629683 B TW I629683B TW 103109550 A TW103109550 A TW 103109550A TW 103109550 A TW103109550 A TW 103109550A TW I629683 B TWI629683 B TW I629683B
Authority
TW
Taiwan
Prior art keywords
memory
double
ended
subset
input
Prior art date
Application number
TW103109550A
Other languages
Chinese (zh)
Other versions
TW201514995A (en
Inventor
哈格 納札里安
尙 恩古彥
Original Assignee
美商橫杆股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/952,467 external-priority patent/US9576616B2/en
Application filed by 美商橫杆股份有限公司 filed Critical 美商橫杆股份有限公司
Publication of TW201514995A publication Critical patent/TW201514995A/en
Application granted granted Critical
Publication of TWI629683B publication Critical patent/TWI629683B/en

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0007Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising metal oxide memory material, e.g. perovskites
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0023Address circuits or decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0069Writing or programming circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0097Erasing, e.g. resetting, circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0069Writing or programming circuits or methods
    • G11C2013/0073Write using bi-directional cell biasing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/78Array wherein the memory cells of a group share an access device, all the memory cells of the group having a common electrode and the access device being not part of a word line or a bit line driver
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/79Array wherein the access device being a transistor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Read Only Memory (AREA)

Abstract

本發明提供一種具有覆寫能力及低寫入放大之儲存系統的非揮發性記憶體架構。通過示例的方式,記憶體陣列包含兩終端記憶體單元之區塊及子區塊。在某些實施例中,兩終端記憶體單元可以直接被覆寫,促使寫入放大值為1。此外,記憶體陣列可以具有輸入輸出多工器之配置,減低記憶體操作時記憶體架構中的潛路徑電流。 The invention provides a non-volatile memory architecture of a storage system with overwrite capability and low write amplification. By way of example, the memory array includes blocks and sub-blocks of two terminal memory units. In some embodiments, the two terminal memory cells can be overwritten directly, which causes the write amplification value to be 1. In addition, the memory array may have an input-output multiplexer configuration to reduce the latent path current in the memory architecture during the memory operation.

Description

具有覆寫能力及低寫入放大的非揮發性記憶體 Non-volatile memory with overwrite capability and low write amplification 【相關申請案之交叉參考】[Cross Reference of Related Applications]

本發明主張2013年3月14號申請之美國臨時專利申請案第61/785,979號之優先權,其以引用之方式併入本文中。 The present invention claims priority to US Provisional Patent Application No. 61 / 785,979, filed March 14, 2013, which is incorporated herein by reference.

本發明係有關一種非揮發性記憶體,特別是一種便於覆寫及低寫入放大之非揮發性記憶體架構。 The invention relates to a non-volatile memory, in particular to a non-volatile memory structure which is convenient for overwriting and low write amplification.

積體電路技術領域內最近的創新係為電阻式切換記憶體。雖然許多電阻式切換記憶體技術處於發展階段,但是對於電阻式切換記憶體不同的技術概念已經藉由本發明的專利權人所示範,並且在一或多個驗證階段證明或反駁相關的理論。即便如此,電阻式切換記憶體技術有望在半導體電子工業競爭技術上保有巨大的優勢。 The most recent innovation in integrated circuit technology is resistive switching memory. Although many resistive switching memory technologies are in the development stage, different technical concepts for resistive switching memory have been demonstrated by the patentee of the present invention, and related theories have been proven or refuted in one or more verification stages. Even so, resistive switching memory technology is expected to hold huge advantages in the semiconductor electronics industry's competitive technology.

電阻式隨機存取記憶體(Resistive random access memory,RRAM)是電阻式記憶體的其中一種。發明人相信RRAM具有成為高密度非揮發性資訊儲存技術用於更高密度半導體式裝置的潛力。一般來說,RRAM藉由不同電阻狀態間的可控制切換來儲存資訊。RRAM裝置的其中一種理論實例包含提供介於一對電極間的絕緣層。此裝置適當的被配置能表現出 電脈衝感應遲滯電組切換效應。 Resistive random access memory (RRAM) is one of the types of resistive memory. The inventors believe that RRAM has the potential to become a high-density non-volatile information storage technology for higher-density semiconductor-type devices. Generally, RRAM stores information by controllable switching between different resistance states. One theoretical example of an RRAM device includes providing an insulating layer between a pair of electrodes. The device is properly configured to exhibit Electrical pulses induce the effect of hysteresis power bank switching.

電阻式切換被解釋(在一些理論中)為形成導電結構內另外的電子絕緣媒介的結果。導電結構可以由鄰近電極的離子形成,例如,具有自由離子。在一些理論中,離子的場輔助擴散可以對應於施加至RRAM記憶體單元的適合的電位或電流而發生。根據其他理論,絲的形成可以對應於二元氧化物(例如NiO,TiO2或類似的)的焦耳熱及電化學過程中發生,或藉由包含氧化物、硫族化合物、聚合物等的離子導體的氧化還原過程。 Resistive switching is interpreted (in some theories) as a result of forming another electronically insulating medium within the conductive structure. The conductive structure may be formed of ions adjacent to the electrode, for example, with free ions. In some theories, field-assisted diffusion of ions can occur corresponding to a suitable potential or current applied to an RRAM memory cell. According to other theories, filament formation can correspond to Joule heating and electrochemical processes of binary oxides (such as NiO, TiO 2 or similar), or by ions containing oxides, chalcogenides, polymers, etc. Conductor redox process.

發明人預期基於電極、絕緣體、電極模型,及包含那些成形於多晶矽的電阻式裝置,會有好的耐受性及生命週期。更進一步,發明人預期這些裝置會具有非常高的晶片上密度。據此,電阻式元件可為用於數位資訊儲存的金屬氧化物半導體(metal-oxide semiconductor,MOS)可行的替代物。主要專利申請的發明人,舉例來說,相信電阻式切換記憶體裝置模型在非揮發性FLASH MOS裝置上提供許多潛在優勢的技術。 The inventors expect good resistance and life cycle based on electrodes, insulators, electrode models, and resistive devices including those formed from polycrystalline silicon. Furthermore, the inventors anticipate that these devices will have very high on-wafer densities. Accordingly, the resistive element can be a viable substitute for metal-oxide semiconductor (MOS) for digital information storage. The inventors of the main patent application, for example, believe that the resistive switching memory device model provides many potential advantages over non-volatile FLASH MOS devices.

依據上述所言,發明人希望在記憶體技術及電阻式記憶體上做出更進一步的改善。 Based on the above, the inventors hope to make further improvements in memory technology and resistive memory.

以下呈現本說明書的簡單概述,係為了提供對本說明書一些觀念能有基本的了解。此概述並非本說明書的詳盡敘述。既不識別本說明書的關鍵或重要元素,也不描繪本說明書中或在請求項中的任何範圍中的任何特定實施例的範圍。其目的在於以簡化的形式呈現本說明書的某些概念,作為提出本發明詳細敘述的序言。 A brief overview of this specification is presented below to provide a basic understanding of some of the concepts in this specification. This summary is not an exhaustive description of this specification. It neither identifies key or important elements of this specification nor delineates the scope of any particular embodiment in this specification or in any scope of the claims. Its purpose is to present some concepts of the specification in a simplified form as a prelude to the more detailed description of the invention.

揭示於此的不同實施例提供了用於記憶體儲存系統的具有 低寫入放大的寫入和覆寫能力的非揮發性記憶體架構。在一些揭露的實施例中,寫入放大可以是2或更低。在至少一實施例中,寫入放大可以低到1。 Various embodiments disclosed herein provide a method for a memory storage system. Non-volatile memory architecture with low write amplification and overwrite capability. In some disclosed embodiments, the write amplification may be 2 or lower. In at least one embodiment, the write amplification can be as low as one.

在更進一步的實施例中,提供了具有寫入和覆寫能力之高記憶體單元粒度(granularity)的非揮發性記憶體陣列。非揮發性記憶體陣列可以是,例如寫入一群記憶體單元小到一個字(例如兩個字的2位元組或四位元組,四個字的8位元組,或類似的,根據例如編程操作邏輯或限制)。在一實施例中,非揮發性記憶體能寫入一群記憶體單元小到一個位元組。在至少一另一實施例中,非揮發性記憶體能寫入單一記憶體單元。 In a further embodiment, a non-volatile memory array with high granularity of memory cells with write and overwrite capabilities is provided. A non-volatile memory array can be, for example, a group of memory cells written as small as one word (e.g., two-byte or four-byte, four-word eight-byte, or similar, according to Such as programming operation logic or restrictions). In one embodiment, non-volatile memory can be written into a group of memory cells as small as one byte. In at least one other embodiment, the non-volatile memory can be written into a single memory unit.

上述更進一步,所揭示不同的實施例包含提供低寫入放大的覆寫能力(例如沒有寫入放大)至記憶體儲存系統。覆寫能力包含直接改變儲存於一或多個位址的記憶體單元的資訊的編程過程,不需要抹除含有位址記憶體單元的頁或區塊。直接改變資訊意指無需(或減少)實施垃圾回收、平均抹寫、記憶體位置映射或非直接完成覆寫的其他過程的編程過程,藉由自第一記憶體位置轉換資料至第二記憶體位置,而不是改變或刷新儲存於第一記憶體位置的資訊。在某些實施例中,用於覆寫的寫入放大可以低到1,而在其他實施例,當一或多個與覆寫有關的額外的功能(例如垃圾回收、平均抹寫、記憶體位置映射等)被實施時,寫入放大可以高於1。覆寫能力提供記憶體儲存系統具有低寫入放大並提升對應系統的效能。這可以藉由避免在不同位置的記憶體儲存中使用複製修正的資料;替代的資料在原本的地址位置被修正。藉由覆寫原來的地址位置、傳統演算法例如垃圾回收、平均抹寫、記憶體位置映射等,增加寫入放大可以顯著地減少或消除。 Further to the above, different embodiments disclosed include providing a write capability with low write amplification (eg, no write amplification) to a memory storage system. The overwrite capability includes a programming process that directly changes the information of a memory cell stored at one or more addresses without erasing a page or block containing the address memory cell. Directly changing information means a programming process that does not require (or reduce) garbage collection, average erase, memory location mapping, or other processes that do not directly complete overwriting, by converting data from the first memory location to the second memory Location instead of changing or refreshing the information stored in the first memory location. In some embodiments, the write amplification for overwrite can be as low as 1, while in other embodiments, when one or more additional functions related to overwrite (such as garbage collection, average erase, memory When position mapping, etc. is implemented, write amplification can be higher than one. The overwrite ability provides the memory storage system with low write amplification and improves the performance of the corresponding system. This can be done by avoiding the use of copy-corrected data in different locations of memory storage; replacement data is corrected at the original address location. By overwriting the original address location, traditional algorithms such as garbage collection, average erase, memory location mapping, etc., increasing write amplification can be significantly reduced or eliminated.

在其他實施例中,非揮發性記憶體系統具有低寫入放大可以 包含雙端記憶體單元陣列。在某些實施例中,雙端記憶體可以包含電阻式記憶體,例如電阻式切換記憶體技術。在一或多個進一步的概念中,非揮發性記憶體可以包含一或多個RRAM的陣列。 In other embodiments, non-volatile memory systems have low write amplification. Contains an array of double-ended memory cells. In some embodiments, the dual-ended memory may include resistive memory, such as resistive switching memory technology. In one or more further concepts, the non-volatile memory may include an array of one or more RRAMs.

仍然在其他實施例中,非揮發性記憶體系統具有低寫入放大,次20奈米電阻式單元技術,可以實施做為數位儲存裝置。在某些概念中,數位儲存裝置可以被可卸除地連接至主電腦裝置,並且在替代或其它的概念中可以類似的方式操作作為FLASH驅動。舉例來說,非揮發性記憶體可以計畫性地被排列在NAND或NOR邏輯陣列(雖然其它邏輯陣列為習知或本領域通常知識者能藉由本揭示上下文熟知,被視為是本發明的範圍內)。 In still other embodiments, the non-volatile memory system has a low write amplification, sub-20nm resistive cell technology, and can be implemented as a digital storage device. In some concepts, the digital storage device may be removably connected to the host computer device, and may operate as a flash drive in a similar manner in alternative or other concepts. For example, non-volatile memory can be programmatically arranged in a NAND or NOR logic array (although other logic arrays are known or those skilled in the art can be familiar with the context of this disclosure and are considered to be the invention Within range).

在至少一實施例中,所揭示的儲存裝置包含非揮發性雙端電阻式切換記憶體。儲存裝置可以是具有寫入放大的一個次20奈米技術。在至少一實施例中,儲存裝置可以包含堆疊成三維排列的多個雙端電阻式切換記憶體陣列。儲存裝置可以操作在,包含覆寫、大量記憶體單元的同時(例如頁、區塊等)、或操作在小量記憶體單元(例如字、位元組、或一組位元或甚至單一位元),或大量及小量兩者皆可。據此,儲存裝置對於記憶過程可以包含特殊的靈活性,達到高度的寫入及抹除效能,且快速的,目標覆寫或刷新效能。 In at least one embodiment, the disclosed storage device includes a non-volatile double-ended resistive switching memory. The storage device may be a secondary 20 nanometer technology with write amplification. In at least one embodiment, the storage device may include a plurality of double-ended resistive switching memory arrays stacked in a three-dimensional array. The storage device can be operated at the same time, including overwrite, a large number of memory units (such as pages, blocks, etc.), or a small amount of memory units (such as words, bytes, or a group of bits or even a single bit). Yuan), or both large and small amounts. According to this, the storage device can include special flexibility for the memory process to achieve high writing and erasing performance, and fast, target overwriting or refreshing performance.

在進一步實施例中,所揭露的數位儲存裝置可以具有介於約5ns和約5μs的單元寫入速度。仍然在其他實施例中,所揭露的數位儲存裝置可以具有介於約30ns及1μs的單元讀取速度。在替代或額外的概念中,所揭示的儲存裝置可以包含頁的抹除及覆寫能力、字的抹除及覆寫能力、位元組的抹除或覆寫能力,或位元的抹除或覆寫能力。在至少一揭示的概念中, 抹除/覆寫能力的寫入放大可以小至1。 In a further embodiment, the disclosed digital storage device may have a cell write speed between about 5 ns and about 5 μs. In still other embodiments, the disclosed digital storage device may have a cell read speed between approximately 30 ns and 1 μs. In alternative or additional concepts, the disclosed storage device may include the ability to erase and overwrite pages, the ability to erase and overwrite words, the ability to erase or overwrite bytes, or the erasure of bits Or overwrite capabilities. In at least one revealed concept, The write amplification of the erase / overwrite capability can be as small as one.

以下描述及隨附圖式詳細闡述所揭示之標的物的某些說明性態樣。然而,此等態樣僅指示可使用該革新之原理的各種方式中之僅少數方式,且所揭示之標的物意欲包括所有此等態樣及其等效物。當結合圖式考慮時,所揭示之標的物的其他優勢及新型特徵將自以下對該革新之詳細描述而變得顯而易見。 The following description and accompanying drawings detail certain illustrative aspects of the disclosed subject matter. However, these aspects indicate only a few of the various ways in which the principles of the innovation can be used, and the disclosed subject matter is intended to include all such aspects and their equivalents. When considered in conjunction with the drawings, other advantages and novel features of the disclosed subject matter will become apparent from the detailed description of the innovation below.

100‧‧‧記憶體架構 100‧‧‧Memory Architecture

102‧‧‧位元線 102‧‧‧bit line

104‧‧‧字線 104‧‧‧Word line

106‧‧‧單元 106‧‧‧Unit

108‧‧‧區域字線 108‧‧‧ regional word line

110‧‧‧字線選擇 110‧‧‧Word line selection

112‧‧‧源極線 112‧‧‧Source Line

200‧‧‧記憶體架構 200‧‧‧Memory Architecture

202‧‧‧位元線 202‧‧‧bit line

204‧‧‧字線 204‧‧‧Word line

206‧‧‧已選擇列 206‧‧‧Selected columns

208‧‧‧單元 Unit 208‧‧‧

210‧‧‧區域字線 210‧‧‧ regional word line

212‧‧‧字線選擇 212‧‧‧Word line selection

214‧‧‧源極線 214‧‧‧Source Line

300‧‧‧記憶體裝置 300‧‧‧Memory device

318‧‧‧隨機存取記憶體 318‧‧‧RAM

302‧‧‧控制器 302‧‧‧controller

312‧‧‧錯誤更正元件 312‧‧‧ Error Correction Element

314‧‧‧緩衝器 314‧‧‧Buffer

316‧‧‧中央處理單元 316‧‧‧Central Processing Unit

310‧‧‧主機介面 310‧‧‧Host Interface

306‧‧‧記憶體介面 306‧‧‧Memory Interface

304‧‧‧記憶體 304‧‧‧Memory

308‧‧‧記憶體通/資料匯流排 308‧‧‧Memory Link / Data Bus

400‧‧‧多工器 400‧‧‧ Multiplexer

140‧‧‧輸入/輸出開關 140‧‧‧input / output switch

412‧‧‧偏壓信號開關 412‧‧‧ bias signal switch

414‧‧‧輸入/輸出接點 414‧‧‧input / output contact

416‧‧‧偏壓信號 416‧‧‧ bias signal

418‧‧‧感測電路 418‧‧‧sensing circuit

500‧‧‧輸入/輸出記憶體配置 500‧‧‧Input / output memory configuration

516A‧‧‧第一輸入/輸出接點 516A‧‧‧First input / output contact

516B‧‧‧第二輸入/輸出接點 516B‧‧‧Second input / output contact

516C‧‧‧Yth輸入/輸出接點 516C‧‧‧Y th input / output contact

518A‧‧‧多工器 518A‧‧‧Multiplexer

518B‧‧‧多工器 518B‧‧‧Multiplexer

518C‧‧‧多工器 518C‧‧‧Multiplexer

502‧‧‧子區塊1 502‧‧‧Sub-block 1

504‧‧‧子區塊2 504‧‧‧Sub-block 2

506‧‧‧子區塊Y 506‧‧‧Sub-block Y

510‧‧‧已選擇位元線 510‧‧‧bit line selected

600‧‧‧內存(記憶體)操作 600‧‧‧Memory (memory) operation

本發明的許多概念或特色係參考圖式來描述,其中類似的標號用來表示相同的元件。在本說明書中,對大量具體的細節做了闡述,以提供對於本發明的瞭解。需瞭解到的是,本發明揭示的某些概念即使沒有這些具體細節也能實施,或以其他方法、元件、材料等。在其他實施例中,習知的結構和裝置以方塊圖的形式顯示以便於描述本發明主題。 Many concepts or features of the present invention are described with reference to the drawings, wherein similar reference numerals are used to represent the same elements. In this specification, numerous specific details are set forth in order to provide an understanding of the present invention. It should be understood that certain concepts disclosed herein can be implemented without these specific details, or by other methods, components, materials, and the like. In other embodiments, well-known structures and devices are shown in block diagram form in order to facilitate describing the subject matter of the present invention.

圖1係為本發明具有高元件密度支持低寫入放大(write amplification,WA)之記憶體電路之實施例示意圖。 FIG. 1 is a schematic diagram of an embodiment of a memory circuit with high element density supporting low write amplification (WA) according to the present invention.

圖2係為本發明圖1中的記憶體電路包含選自記憶體操作的一列記憶體之實施例示意圖。 FIG. 2 is a schematic diagram of an embodiment in which the memory circuit in FIG. 1 includes a row of memory selected from a memory operation.

圖3係為本發明具有低寫入放大之即時讀取及寫入能力之電子記憶體系統之實施例方塊圖。 FIG. 3 is a block diagram of an embodiment of an electronic memory system with low read amplification and instant read and write capabilities according to the present invention.

圖4係為本發明促進輸入/輸出為基礎的記憶體架構的多工器之實施例示意圖。 FIG. 4 is a schematic diagram of an embodiment of a multiplexer that promotes an input / output-based memory architecture according to the present invention.

圖5係為本發明用於輸入/輸出基礎的記憶體架構的電路實施例示意圖。 FIG. 5 is a schematic diagram of a circuit embodiment of a memory architecture for an input / output basis of the present invention.

圖6係為本發明用於3位元數位資訊單元的寫入及覆寫操作之實施例示意 圖。 FIG. 6 is a schematic diagram of an embodiment of the invention for writing and overwriting operations of a 3-bit digital information unit Illustration.

圖7係為本發明製造具有低寫入放大之記憶體系統之方法之實施例流程圖。 FIG. 7 is a flowchart of an embodiment of a method for manufacturing a memory system with low write amplification according to the present invention.

圖8係為本發明製造數位儲存裝置進而提供低寫入放大記憶體系統之方法之實施例流程圖。 FIG. 8 is a flowchart of an embodiment of a method for manufacturing a digital storage device and further providing a low write amplification memory system according to the present invention.

圖9係為本發明覆寫雙端數位儲存裝置之子集合之方法實施例流程圖。 FIG. 9 is a flowchart of an embodiment of a method for overwriting a subset of a dual-ended digital storage device according to the present invention.

圖10係為本發明便於實施之操作環境之實施例方塊圖。 FIG. 10 is a block diagram of an embodiment of an operating environment convenient for implementation of the present invention.

圖11係為本發明依據不同實施例之電腦環境之實施例方塊圖。 FIG. 11 is a block diagram of a computer environment according to an embodiment of the present invention.

本發明揭示有關用於數位資訊儲存的雙端記憶體單元。在某些實施例中,雙端記憶體單元可以包含電阻式的技術,例如電阻式切換雙端記憶體單元。電阻式切換雙端記憶體單元(也可以視為電阻式切換記憶體單元或電阻式切換記憶體),如這裡所使用,包含具有介於兩接點間主動區的兩個導電接點(這裡也可以視為電極或終端)的電路元件。雙端記憶體裝置的主動區,在文中的電阻式切換記憶體,展示出複數個穩定或半穩定電阻狀態,每一電阻狀態具有獨特的電阻。此外,複數個狀態中的各一可以對應於施加至兩導電接點合適的電子信號而形成或啟動。合適的電子信號可以是電壓值、電流值、電壓或電流極性或類似的,或其合適的組合。電阻式切換雙端記憶體裝置的一個例子,雖然不是詳盡的,但可以包含電阻式隨機存取記憶體(resistive random access memory,RRAM)。 The invention discloses a double-ended memory unit for digital information storage. In some embodiments, the double-ended memory unit may include resistive technology, such as a resistive switching double-ended memory unit. A resistive switching dual-end memory unit (also referred to as a resistive switching memory unit or a resistive switching memory), as used herein, includes two conductive contacts with an active area between the two contacts (here Can also be considered as an electrode or terminal) circuit element. The active area of the double-ended memory device, the resistive switching memory in the text, shows a plurality of stable or semi-stable resistance states, each of which has a unique resistance. In addition, each of the plurality of states may be formed or activated corresponding to a suitable electronic signal applied to the two conductive contacts. A suitable electronic signal may be a voltage value, a current value, a voltage or current polarity or the like, or a suitable combination thereof. An example of a resistive switching double-ended memory device, although not exhaustive, may include resistive random access memory (RRAM).

本主題揭示的實施例可以提供絲狀基礎的記憶體單元。絲狀基礎的記憶體單元的一個例子可以包含:p型或n型含矽(silicon,Si)層(例如p型或n型多晶矽、p型或n型矽鍺…),電阻式切換層(RSL)及活性金屬層用以 提供絲狀形成離子至RSL。p型或n型含矽層可以包含p型或n型多晶矽、p型或n型矽鍺,或類似的。RSL(也可以被視為本領域中的電阻式切換媒體(RSM)),可以包含例如未摻雜的非晶矽層、具有本質特性的半導體層、矽次氧化物等等。活性金屬層可以包括,尤其是:銀(Ag)、金(Au)、鈦(Ti)、鎳(Ni)、鋁(Al)、鉻(Cr)、鉭(Ta)、鐵(Fe)、錳(Mn)、鎢(W)、釩(V)、鈷(Co)、鉑(Pt)以及鈀(Pd)。其他適合的導電材料,以及化合物和上述組合物,可以用於本發明揭示某些概念的活性金屬層。關於本主題公開的類似於前述示例性實施例的一些細節可以在下列美國專利申請中已授權給本申請的專利的專利權人中找到:2007年10月19日提交的美國專利申請號11/875,541以及2009年10月8日提交的美國專利申請號12/575,921,其中每一個都通過引用其各自的全部內容,並出於所有目的結合於此。 Embodiments disclosed by this subject matter can provide a filament-based memory unit. An example of a filament-based memory cell may include: a p-type or n-type silicon (Si) layer (such as p-type or n-type polycrystalline silicon, p-type or n-type silicon germanium, etc.), a resistive switching layer ( RSL) and active metal layer Provides filamentous formation ions to the RSL. The p-type or n-type silicon-containing layer may include p-type or n-type polycrystalline silicon, p-type or n-type silicon germanium, or the like. The RSL (can also be regarded as a resistive switching medium (RSM) in the art) may include, for example, an undoped amorphous silicon layer, a semiconductor layer with intrinsic characteristics, a silicon suboxide, and the like. The active metal layer may include, in particular: silver (Ag), gold (Au), titanium (Ti), nickel (Ni), aluminum (Al), chromium (Cr), tantalum (Ta), iron (Fe), manganese (Mn), tungsten (W), vanadium (V), cobalt (Co), platinum (Pt), and palladium (Pd). Other suitable conductive materials, as well as the compounds and the above-mentioned compositions, can be used in the active metal layer of the present invention to reveal certain concepts. Some details regarding the subject disclosure similar to the foregoing exemplary embodiments can be found in the following US patent applications, the patentees of which have been granted patents to this application: US Patent Application No. 11 /, filed October 19, 2007 875,541 and US Patent Application No. 12 / 575,921, filed October 8, 2009, each of which is incorporated herein by reference in its entirety and for all purposes.

本主題揭示提供了具有高元件密度和低寫入放大(write amplification,WA)的雙端記憶體結構。在某些概念中,雙端記憶體可以包含20奈米(nanometer,nm)技術,然而在其他概念中,雙端記憶體可以包含次20奈米技術(例如15nm、10nm、5nm及其他)。再者,雙端記憶體可以具有小於5F2的元件區域。在某些概念中,雙端記憶體陣列的三維堆疊可以被提供以減少元件區域。舉例來說,一個4.28F2的裝置對於具有兩個堆疊層的三維裝置可以具有2.14F2的有效元件區域。作為另一例子,4.28F2的裝置對於具有四個堆疊層的三維裝置可以具有1.07F2的有效元件區域,依此類推。 The subject disclosure provides a double-ended memory structure with high element density and low write amplification (WA). In some concepts, double-ended memory may include 20 nanometer (nanometer, nm) technology, but in other concepts, double-ended memory may include sub-20 nanometer technology (eg, 15nm, 10nm, 5nm, and others). Furthermore, the double-ended memory may have an element area smaller than 5F 2 . In some concepts, a three-dimensional stack of double-ended memory arrays may be provided to reduce element area. For example, a 4.28F 2 device may have an active element area of 2.14F 2 for a three-dimensional device with two stacked layers. As another example, a three-dimensional device 4.28F 2 having four stacked layers of the device may have an effective element region 1.07F 2, and so on.

在其他揭示於此的實施例中,提供一種包含雙端記憶體的數位儲存裝置。在某些實施例中,這樣的數位儲存裝置可以是可被移除的連接至電腦裝置(例如主機電腦)。在其他實施例中,數位儲存裝置可以與電腦 裝置整合在一起(例如唯讀記憶體、隨機存取記憶體等)。在特定的實施例中,數位儲存裝置可以是能被連接至主機電腦上的記憶體介面的FLASH裝置(例如:主機介面例如USB、或其他適合的介面;例如圖10及圖11,下文)並且可以儲存和擷取資訊,並消除所儲存的資訊,對應於主機裝置所發出的指令。 In other embodiments disclosed herein, a digital storage device including double-ended memory is provided. In some embodiments, such a digital storage device may be a removable connection to a computer device (eg, a host computer). In other embodiments, the digital storage device can communicate with a computer Devices (e.g., read-only memory, random access memory, etc.). In a specific embodiment, the digital storage device may be a FLASH device that can be connected to a memory interface on the host computer (eg, a host interface such as USB, or other suitable interfaces; such as FIG. 10 and FIG. 11, below) and Information can be stored and retrieved, and stored information can be erased, corresponding to commands issued by the host device.

發明人視FLASH裝置為具有兩個獨特邏輯的架構,NAND和NOR架構,兩者都基於半導體電晶體的不同排列。每個邏輯架構具有不同屬性,包含對應各自的優點及缺點。NAND是最普遍使用的消費性FLASH驅動應用,然而,大部分是由於它的記憶體密度及低成本。 The inventor considers the FLASH device as a framework with two unique logics, NAND and NOR architectures, both of which are based on different arrangements of semiconductor transistors. Each logical architecture has different attributes, including their respective advantages and disadvantages. NAND is the most commonly used consumer FLASH driver application, however, mostly due to its memory density and low cost.

NAND FLASH是用於小型的FLASH裝置、USB裝置、SD卡、固態硬碟(solid state drives,SSDs)以及儲存及記憶體,以及其他的結構因數。NAND在過去十年已被證明在推動驅動器為更小尺寸及更高晶片密度上是成功的技術。然而,隨著技術的按比例縮小過去的72奈米的記憶體單元的技術,本申請的發明人相信一些結構和電氣問題變得十分明顯。舉例而言,位元錯誤率(bit error rates,BERs)顯著地增加,而記憶循環(關於記憶耐受性)降低。 NAND FLASH is used for small-scale FLASH devices, USB devices, SD cards, solid state drives (SSDs), storage and memory, and other structural factors. NAND has proven to be a successful technology in driving drives to smaller sizes and higher chip densities over the past decade. However, as the technology scales down the past 72nm memory cell technology, the inventors of the present application believe that some structural and electrical problems become very obvious. For example, bit error rates (BERs) increase significantly, while memory cycles (in terms of memory tolerance) decrease.

除了尺寸縮到更小的技術問題外,FLASH也有一些固有的缺點。NAND FLASH技術的一個限制是記憶體的一頁(例如連接至記憶體裝置中單一全域字線的記憶體單元,例如4kB)無法不處理記憶體的整個區塊就直接修改或重寫(例如2MB)。更進一步,多個區塊處理涉及重寫記憶體的頁。作為一個例子,修改記憶體的頁可以涉及備份記憶體頁所在的區塊,抹除該區塊以及寫入該備份資料,包含修改的記憶體頁-回到該區塊。如同 這個例子所示,NAND FLASH無法不先抹除而更新,不管記憶體粒度(例如區塊、頁、字、位元組、位元等等)。 In addition to shrinking the size to smaller technical issues, FLASH also has some inherent disadvantages. One limitation of NAND FLASH technology is that one page of memory (such as a memory cell connected to a single global word line in a memory device, such as 4kB) cannot directly modify or rewrite (such as 2MB) without processing the entire block of memory ). Furthermore, multiple block processing involves rewriting pages of memory. As an example, modifying a memory page may involve backing up the block where the memory page is located, erasing the block and writing the backup data, including the modified memory page-back to the block. as As shown in this example, NAND FLASH cannot be updated without erasing first, regardless of the memory granularity (such as block, page, word, byte, bit, etc.).

為了繼續上述頁覆寫的例子,減少記憶體區塊的P/E週期可以涉及以修改記憶體頁寫入備份資料到記憶體的第二區塊,不同於頁所在的區塊。雖然這涉及了寫入至記憶體兩個區塊,但它移除了第一區塊的抹除程序,減少涉及從兩個區塊操作(例如抹除區塊、重寫區塊)覆寫記憶體的頁至一個區塊操作(例如寫入至第二區塊)的整體記憶體操作。在此例中,邏輯-實體(logical to physical,L2P)映射表是藉由記憶體控制器維持與更新,以保持修改的備份資料的新位置軌道。L2P增加控制器負荷,包含記憶及程序。 In order to continue the example of page overwriting, reducing the P / E cycle of the memory block may involve writing backup data to the second block of memory by modifying the memory page, which is different from the block where the page is located. Although this involves writing two blocks to memory, it removes the erase procedure of the first block and reduces the number of overwrite operations involving two blocks (such as erase block, rewrite block) A page-to-block operation of a memory (eg, a write to a second block) as a whole memory operation. In this example, the logical-to-physical (L2P) mapping table is maintained and updated by the memory controller to maintain the new location track of the modified backup data. L2P increases the load on the controller, including memory and programs.

除了上述之外,NAND FLASH在退化前通常不具有高度編程/抹除(program/erase,P/E)週期數。因此,NAND裝置常常包含平均抹寫組合以減少對於記憶體給定區塊的P/E週期,或散佈記憶體中大部分或全部區塊的P/E週期。平均抹寫演算法試圖均衡對於NAND裝置跨過各記憶體區塊的複數個P/E週期。這可以獨立實現於主操作指令和檔案系統操作。有效的平均抹寫演算法嘗試在記憶體最高週期區塊和最低週期區塊之間維持低的P/E週期差異。雖然改進了產品生命週期,但是平均抹寫演算法也增加了計算和處理的負荷。 In addition to the above, NAND FLASH generally does not have a high number of program / erase (P / E) cycles before degradation. Therefore, NAND devices often include an average erase combination to reduce P / E cycles for a given block of memory, or to distribute P / E cycles for most or all blocks in memory. The average erase algorithm attempts to equalize the multiple P / E cycles for each NAND device across each memory block. This can be achieved independently from the main operating instructions and file system operations. An effective averaging algorithm attempts to maintain a low P / E cycle difference between the highest and lowest cycle blocks of memory. Although the product life cycle is improved, the average erasure algorithm also increases the calculation and processing load.

除了藉由平均抹寫演算法及L2P映射而增加的負荷,垃圾回收演算法通常使用NAND裝置,特別是對於具有較低耐受力平均抹血及垃圾回收演算法的較小的技術節點,對於增加感知到的耐受性週期是必須的。重新寫入資料的頁或區塊到晶片上的其他位置留下殘餘資料的原始位置。多次重寫後,無論是由主機指令或平均抹寫,顯著數量的記憶體頁或區塊 可以被留下殘餘資料。因為許多NAND FLASH裝置無法事先抹除他們而覆寫記憶體單元,所以垃圾回收演算法被設計為藉由抹除他們來釋放這些頁或區塊,以使新的資料可以被寫入至他們。 In addition to the increased load through the average wipe algorithm and L2P mapping, garbage collection algorithms typically use NAND devices, especially for smaller technology nodes that have lower tolerances for average wipe and garbage collection algorithms. It is necessary to increase the perceived tolerance cycle. Rewriting a page or block of data to another location on the chip leaves the original location of the residual data. After multiple rewrites, whether by host command or average erase, significant number of pages or blocks of memory Remnants can be left behind. Because many NAND FLASH devices cannot overwrite the memory cells by erasing them in advance, the garbage collection algorithm is designed to release these pages or blocks by erasing them so that new data can be written to them.

對於NAND FLASH,一種覆寫程序,以及垃圾回收及平均抹寫,常常有關於多個P/E週期。這些數量的P/E週期係與稱作寫入放大(write amplification,WA)的記憶體特性有關。WA可以視為記憶體控制器的效能量測,且通常藉由記憶體裝置和與記憶體裝置有關的記憶體控制器的特性而定義。更具體地說,WA是指一些記憶體控制器關於執行單一主機寫入指令至記憶體的寫入過程。理想的WA是1,是表示對於每一處主機寫入指令的單一記憶體控制器寫入過程。NAND FLASH通常具有介於3到4之間的WA,但是,反映了缺乏直接覆寫的能力。因為記憶體的可靠性和壽命是受到增加P/E週期的影響,所以記憶體裝置的WA會直接影響記憶體裝置的可靠性和效能。 For NAND FLASH, an overwrite procedure, as well as garbage collection and average erase, often involve multiple P / E cycles. These numbers of P / E cycles are related to the characteristics of memory called write amplification (WA). WA can be regarded as a performance measure of a memory controller, and is generally defined by characteristics of a memory device and a memory controller related to the memory device. More specifically, WA refers to the writing process of some memory controllers to execute a single host write instruction to the memory. The ideal WA is 1, which indicates a single memory controller write process for each host write command. NAND FLASH usually has a WA between 3 and 4, but it reflects the lack of the ability to directly overwrite. Because the reliability and life of the memory are affected by increasing the P / E cycle, the WA of the memory device will directly affect the reliability and performance of the memory device.

又另一影響儲存系統效能和負荷的因素是減少記憶體單元保留,以及對應增加的BER。如同上述提及,隨著半導體電晶體技術具有小的尺寸,會產生相關記憶保留的減少以及BER的增加。增加的BER地方進一步要求NAND FLASH的錯誤更正碼(error correction code,ECC)的需求。對於給定的記憶體大小(例如1kB)的ECC更正數量增加,在ECC要求結果的增加,關於與ECC有關的複數個晶片電晶體增加、程序週期及功率消耗。進一步加劇了更強大的數位信號處理演算法(例如低密度奇偶檢查碼(low density parity check codes,LPDC))與傳統ECC演算法結合的問題。這些碼可以增加ECC更正效果,但顯著地增加了負荷和功率消耗至記憶體儲存系統 中的所有元件。本主題揭示的發明人的看法是,記憶體裝置需要更多的備用記憶體來容納增加的ECC需求,控制器需要更多的電晶體,以及系統需要更大容量的DRAM元件。 Yet another factor that affects storage system performance and load is reducing memory unit retention and correspondingly increasing BER. As mentioned above, as semiconductor transistor technology has a small size, there is a reduction in associated memory retention and an increase in BER. The increased BER places further require the need for error correction code (ECC) for NAND FLASH. For a given memory size (for example, 1 kB), the number of ECC corrections increases, the result of the ECC request increases, and the number of chip transistors related to ECC increases, program cycles, and power consumption. This further exacerbates the problem of combining more powerful digital signal processing algorithms (such as low density parity check codes (LPDC)) with traditional ECC algorithms. These codes can increase the effect of ECC correction, but significantly increase the load and power consumption to the memory storage system All components in. The inventor's opinion disclosed in this subject is that memory devices require more spare memory to accommodate increased ECC requirements, controllers require more transistors, and systems require larger capacity DRAM components.

除了記憶保留之外,裝置壽命與系統負荷挑戰了上述的討論,NAND FLASH儲存系統具有固有的緩慢的頁讀取速度。許多NAND FLASH的典型讀取速度大約是25μs。這個潛在因素也許不適合於較新的應用,例如企業儲存、即時嵌入式記憶體應用或類似的。舉例來說,在這些和其他的記憶體應用中,次100ns讀取存取時間是較佳的。NAND FLASH相對低的讀取電流(例如少於約300nA)構成了用於增進此技術讀取時間的問題。此外,NAND FLASH的記憶體架構運用了固有的挑戰至快速隨機讀取操作。 In addition to memory retention, device life and system load challenge the above discussion. NAND FLASH storage systems have inherently slow page read speeds. The typical read speed of many NAND FLASH is about 25 μs. This potential factor may not be appropriate for newer applications, such as enterprise storage, real-time embedded memory applications, or the like. For example, in these and other memory applications, a 100ns read access time is better. The relatively low read current (for example, less than about 300 nA) of NAND FLASH constitutes a problem for improving the read time of this technology. In addition, the memory architecture of NAND FLASH utilizes inherent challenges to fast random read operations.

NAND FLASH在近年已經是可攜式記憶體儲存裝置中的領導技術。要有效地擴展在節點尺寸的能力,結合快速寫入和抹除速度的能力,相當不錯的壽命和製造讓NAND FLASH在商用和消費市場中成為最流行的可移除式存取裝置。雖然NAND已滿足可伸縮性的需求最多20X的技術,但本申請的發明人相信其它技術將開始取代傳統的閘及操作電晶體的記憶體應用,特別是在與下面的20nm的單元技術。 NAND FLASH has been the leading technology in portable memory storage devices in recent years. To effectively expand the capacity at the node size, combined with the ability to write and erase quickly, a fairly good life and manufacturing make NAND FLASH the most popular removable access device in the commercial and consumer markets. Although NAND has met the scalability requirements of up to 20X technology, the inventors of this application believe that other technologies will begin to replace traditional gate and operation transistor memory applications, especially in the cell technology below 20nm.

有鑑於此,本主題揭示提供了包含雙端記憶體形式的記憶體單元技術的記憶體陣列。雙端記憶體技術的例子包含電阻式記憶體(例如電阻式切換記憶體)、鐵磁式記憶體、相變記憶體、磁阻式記憶體、有機記憶體、導電橋接式記憶體等。更進一步,雙端記憶體技術可以促使寫入至或重新寫入至記憶體位置無需事先抹除記憶體位置所在記憶體區塊。在本主 題揭示的某些概念中,所揭示的記憶體裝置可以寫入至記憶體位置無需事先抹除他本身的記憶體位置。據此,這種記憶體裝置可以避免垃圾回收演算法及相關的負荷成本。此外,這些記憶體裝置提供了低到1的WA值,對於儲存系統的理想WA值。 In light of this, the subject disclosure provides a memory array including a memory cell technology in the form of double-ended memory. Examples of double-ended memory technology include resistive memory (eg, resistive switching memory), ferromagnetic memory, phase change memory, magnetoresistive memory, organic memory, conductive bridge memory, and the like. Furthermore, the dual-end memory technology can facilitate writing to or rewriting to the memory location without first erasing the memory block where the memory location is located. In the Lord In some concepts revealed by the question, the disclosed memory device can be written to a memory location without having to erase his own memory location in advance. Accordingly, such a memory device can avoid garbage collection algorithms and related load costs. In addition, these memory devices provide WA values as low as 1, ideal for storage systems.

在其他實施例中,所揭示的記憶體裝置包含具有快速讀取(例如頁讀取)特性的雙端記憶體陣列。在至少一實施例中,用於所揭示記憶體裝置的記憶體單元讀取速度可以是大約30ns到1μs。更進一步,記憶體裝置可以具有低的BER、高度耐受性以及健全的週期特性,減輕ECC和平均抹寫演算法的限制,並降低控制器的負荷和功率消耗。在不同的實施例中,雙端記憶體技術提供給所揭示的記憶體陣列,可以具有約10年或更久(例如在攝氏85度)的記憶保留,以及大約1x10e8的P/E週期的單元耐受性。在其他實施例中,雙端記憶體技術可容易地按比例縮小到5nm的節點,但是本主題公開不局限於具有這種可伸縮性雙端記憶體技術。 In other embodiments, the disclosed memory device includes a double-ended memory array with fast read (eg, page read) characteristics. In at least one embodiment, the memory cell reading speed for the disclosed memory device may be approximately 30 ns to 1 μs. Furthermore, the memory device can have a low BER, a high tolerance, and a robust cycle characteristic, alleviating the limitations of the ECC and average erase algorithms, and reducing the load and power consumption of the controller. In various embodiments, the two-terminal memory is provided to the memory array technology disclosed, may have about 10 or more years (e.g. at 85 ° C) to retain the memory, and the P / E cycle of approximately 1x10e 8 Unit tolerance. In other embodiments, the double-ended memory technology can be easily scaled down to a 5nm node, but the subject disclosure is not limited to having such a scalable double-ended memory technology.

在一或多個其他實施例中,所揭示的記憶體陣列可以實現於三維堆疊排列。三維堆疊排列可以由例如是多個二維記憶體陣列(例如二維NAND陣列、二維NOR陣列等)組成。在至少一所揭示的概念中,三維堆疊排列可以包含一對二維記憶體陣列,堆疊成三維。在其他概念中,三維堆疊排列可以包含四個二維記憶體陣列,堆疊成三維。在又一其他概念中,其他數量的二維記憶體陣列(例如3、5、6、7等)可以堆疊成三維以提供三維堆疊排列。 In one or more other embodiments, the disclosed memory array may be implemented in a three-dimensional stacked arrangement. The three-dimensional stacked arrangement may be composed of, for example, a plurality of two-dimensional memory arrays (for example, two-dimensional NAND arrays, two-dimensional NOR arrays, etc.). In at least one disclosed concept, the three-dimensional stacked arrangement may include a pair of two-dimensional memory arrays stacked in three dimensions. In other concepts, a three-dimensional stacked arrangement may include four two-dimensional memory arrays stacked in three dimensions. In yet other concepts, other numbers of two-dimensional memory arrays (eg, 3, 5, 6, 7, etc.) can be stacked in three dimensions to provide a three-dimensional stacked arrangement.

根據其他的實施例,記憶體裝置揭示具有高寫入或覆寫粒度。寫入或覆寫粒度,如同這裡所使用,係指可以用單一記憶體操作而編 程、重新編程或刷新的最小數量單元。高粒度係指較低的最小數量單元,而低粒度係指較高的最小數量單元。接續上述,高度寫入或覆寫粒度可以藉由增加編程解碼器如同輸入輸出基礎(input-output based,I/O-based)的解碼器來完成至少部分。I/O-based解碼器可以用於實現記憶體裝置的I/O-based記憶體操作(例如編程、抹除、覆寫等)。I/O-based記憶體操作可以促使寫入或覆寫粒度等於或小於記憶體頁。在某些實施例中,I/O-based解碼器可以促使多個字(例如兩個字、四個字等)的寫入或覆寫粒度、或甚至資料的單一個字(例如資料的一位元組、資料的許多位元等)。在至少一實施例中,I/O-based解碼器可以促使資料單一位元的寫入或覆寫粒度(例如單一記憶體單元)。 According to other embodiments, the memory device is disclosed to have a high write or overwrite granularity. Write or overwrite granularity, as used herein, means that it can be programmed with a single memory operation Process, reprogram, or refresh the minimum number of units. High granularity refers to a lower minimum number of units, while low granularity refers to a higher minimum number of units. Continuing the above, the high write or overwrite granularity can be accomplished at least in part by adding a programming decoder like an input-output based (I / O-based) decoder. The I / O-based decoder can be used to implement I / O-based memory operations (such as programming, erasing, overwriting, etc.) of the memory device. I / O-based memory operations can cause the write or overwrite granularity to be equal to or smaller than the memory page. In some embodiments, the I / O-based decoder can cause the writing or overwriting granularity of multiple words (e.g., two words, four words, etc.), or even a single word (e.g., one word of data) Bytes, many bits of data, etc.). In at least one embodiment, the I / O-based decoder can cause a single bit of data to be written or overwritten (eg, a single memory unit).

在至少一實施例中,本主題提供了固態非揮發性記憶體儲存驅動,可以被移除地連接至主電腦裝置,且由雙端記憶體單元技術構成。雙端記憶體單元技術可以包含電阻式切換記憶體在某些概念中(例如電阻式隨機存取記憶體等)。在一實施例中,儲存驅動可以具有以200MB轉換速率的8位元記憶通道,且介於每通道1及8裝置之間。或者,或額外的,儲存驅動可以具有一或多個以下的特色:大約100MHz的資料轉換速率、8位元寬的匯流排、大約4kB大小的頁、大約20μs的時間位移、大約25μs的時間位移(位移時間+25%OH)、大約28μs的編程時間、大約1μs的讀取延遲、寫入放大1、或大約160MB的最大轉移速率。 In at least one embodiment, the subject matter provides a solid-state non-volatile memory storage drive that can be removably connected to a host computer device and is comprised of dual-end memory cell technology. Double-ended memory cell technology can include resistive switching memory in some concepts (eg, resistive random access memory, etc.). In one embodiment, the storage driver may have an 8-bit memory channel at a 200 MB conversion rate, and be between 1 and 8 devices per channel. Alternatively, or in addition, the storage driver may have one or more of the following characteristics: a data conversion rate of about 100 MHz, an 8-bit wide bus, a page of about 4 kB size, a time shift of about 20 μs, and a time shift of about 25 μs (Displacement time + 25% OH), a programming time of about 28 μs, a read delay of about 1 μs, a write amplification of 1, or a maximum transfer rate of about 160 MB.

請參照附圖,圖1為本發明記憶體架構100之實施例示意圖。記憶體架構100可以是併入作為部分非揮發性、固態記憶體儲存裝置記憶體陣列的子集合在某些揭示的概念中。舉例來說,記憶體架構100可以是記憶 體區塊的子區塊,其中子區塊包含記憶體區塊的全域字線,以及記憶體區塊位元線的子集合共享一組共同的區域字線的是獨占的記憶體區塊的子區塊。 Please refer to the drawings, FIG. 1 is a schematic diagram of an embodiment of a memory architecture 100 according to the present invention. The memory architecture 100 may be a subset of a memory array incorporated as part of a non-volatile, solid-state memory storage device in certain disclosed concepts. For example, the memory architecture 100 may be a memory Sub-blocks of the volume block, where the sub-block contains the global word line of the memory block, and a subset of the bit lines of the memory block that share a common set of regional word lines is an exclusive memory block Subblock.

記憶體架構100可以包含一組位元線102。一組位元線102包含個別的位元線BL0、BL1、BL2…BLX,其中X為大於1的正整數。交叉組位元線102是一組字線104。一組字線104包含個別的字線WL0、WL1…WLN,其中N為大於1的正整數。在一實施例中,X可以是等於8的整數且N可以是等於512的正整數;但是,X和N並不以此限制,也可以是其他適合的值。 The memory architecture 100 may include a set of bit lines 102. A set of bit lines 102 includes individual bit lines BL 0 , BL 1 , BL 2 ... BL X , where X is a positive integer greater than 1. The cross-group bit line 102 is a group of word lines 104. A set of word lines 104 includes individual word lines WL 0 , WL 1 ... WL N , where N is a positive integer greater than one. In an embodiment, X may be an integer equal to 8 and N may be a positive integer equal to 512; however, X and N are not limited thereto, and may also be other suitable values.

如上述所提到,一組位元線102可以與記憶體區塊的子區塊相關聯,以使一組位元線102共享獨佔記憶體區塊的子區塊的一組區域字線108。該組區域字線108中的各個係連接至一記憶體單元群組106。記憶體單元106具有第一端連接至一組位元線102中之一,以及第二端連接至一組區域字線108中之一。區域字線108藉由各字線選擇電晶體110連接至源極線112。每個字線選擇電晶體110被定位以電連接(當被啟動或在導通狀態)或電斷開(當不被啟動或在電阻狀態)個各區域字線108與/從源極線112。各字線選擇電晶體110可以是閘極電晶體(例如單一閘極、浮動閘極等)在某些實施例中。各字線選擇電晶體110的閘極藉由一組字線104中的各個連接並且被控制,如述。 As mentioned above, a set of bit lines 102 may be associated with sub-blocks of a memory block, so that a set of bit lines 102 share a set of regional word lines 108 of a sub-block of an exclusive memory block . Each of the sets of regional word lines 108 is connected to a memory cell group 106. The memory cell 106 has a first end connected to one of the set of bit lines 102 and a second end connected to one of the set of word lines 108. The regional word line 108 is connected to the source line 112 through each word line selection transistor 110. Each word line selection transistor 110 is positioned to be electrically connected (when activated or in a conducting state) or electrically disconnected (when not activated or in a resistive state) the respective region word line 108 and / or the source line 112. Each word line selection transistor 110 may be a gate transistor (eg, a single gate, a floating gate, etc.) in some embodiments. The gate of each word line selection transistor 110 is connected and controlled by each of a group of word lines 104, as described.

適合的電信號應用至已選擇的位元線102之一及已選擇的區域字線108之一能促進執行記憶體操作在記憶體單元106中的目標之一。施加電信號至已選擇的區域字線108之一可以藉由源極線112和相關的一組字線104中之一(例如圖2,下文)來實現。記憶體單元操作可以被實現利用記憶 體架構100的電路可以包含啟動、關閉、編程、重新編程、抹除等,目標記憶體單元106,藉由施加合適的電信號至位元線102之一及區域字線108之一連接至目標記憶體單元106(如圖6,下文)。 Appropriate electrical signals are applied to one of the selected bit lines 102 and one of the selected area word lines 108 to facilitate performing a memory operation on one of the targets in the memory cell 106. Applying an electrical signal to one of the selected regional word lines 108 may be achieved by one of the source lines 112 and an associated set of word lines 104 (eg, FIG. 2, below). Memory unit operations can be implemented using memory The circuit of the body architecture 100 may include startup, shutdown, programming, reprogramming, erasing, etc. The target memory unit 106 is connected to the target by applying a suitable electrical signal to one of the bit lines 102 and one of the area word lines 108 Memory unit 106 (see Fig. 6, below).

圖2繪示本發明記憶體架構200之實施例示意圖。在至少一實施例中,記憶體架構200可以實質上與上述圖1中的記憶體架構100相同。但是並非以此限制;舉例來說,在其他實施例記憶體架構100可以根據與記憶體架構200不同的記憶體操作過程而被編程、覆寫或抹除。 FIG. 2 is a schematic diagram of an embodiment of a memory architecture 200 according to the present invention. In at least one embodiment, the memory architecture 200 may be substantially the same as the memory architecture 100 in FIG. 1 described above. However, this is not a limitation; for example, in other embodiments, the memory architecture 100 may be programmed, overwritten, or erased according to a different memory operation process than the memory architecture 200.

記憶體架構200可以包含記憶體裝置的位元線202,包含位元線BL0…BLX以及記憶體裝置的字線204,包含WL0…WLN,如圖2所示。記憶體架構繪示出位元線202及字線204垂直排列(例如二為交叉陣列),但並不以此為限。在某些實施例中,記憶體架構200可以是三維記憶體陣列排列的一部分,其中多個二維陣列(例如,包括記憶體架構200)相交的位線和字線被堆疊成三維。 The memory architecture 200 may include bit lines 202 of the memory device, including bit lines BL 0 ... BL X, and word lines 204 of the memory device, including WL 0 ... WL N , as shown in FIG. 2. The memory architecture shows that the bit lines 202 and the word lines 204 are arranged vertically (for example, the two are cross arrays), but it is not limited thereto. In some embodiments, the memory architecture 200 may be part of a three-dimensional memory array arrangement, where bit lines and word lines intersected by a plurality of two-dimensional arrays (eg, including the memory architecture 200) are stacked in three dimensions.

一般而言,記憶體架構200包含一個記憶體單元208對於每個位元線202之一和字線204之一的交叉。然而,各記憶體單元208不需要字線204交叉位元線202的實體位置。如述,一組區域字線210可以穿插於各字線204之間。各組記憶體單元208可以包含那些在區域字線210之一共享終端接點的記憶體單元208。舉例來說,記憶體單元208群組連接已選擇列206可以各自具有一端連接至與已選擇列206相連的區域字線210其中之一。此外,在記憶體單元208群組中的各記憶體單元208可以具有連接至位元線202中之一的第二端。在此種方式下,通過啟動已選擇列206,以及施加操作電壓至已選擇位元線202其中之一,記憶體單元群組中的單一記憶體單元208(例 如在已選擇列206上連接至區域字線210記憶體單元208)可以是記憶體操作的目標(例如讀、寫、抹除、覆寫等)。 Generally speaking, the memory architecture 200 includes a memory cell 208 for each intersection of one of the bit lines 202 and one of the word lines 204. However, each memory cell 208 does not need a physical position where the word line 204 crosses the bit line 202. As mentioned, a group of regional word lines 210 may be interspersed between the word lines 204. Each group of memory cells 208 may include those memory cells 208 that share terminal contacts on one of the regional word lines 210. For example, the group of memory cells 208 connected to the selected column 206 may each have one end connected to one of the regional word lines 210 connected to the selected column 206. In addition, each memory cell 208 in the group of memory cells 208 may have a second end connected to one of the bit lines 202. In this way, by activating the selected column 206 and applying an operating voltage to one of the selected bit lines 202, a single memory cell 208 in the memory cell group (for example, For example, the memory cell 208 connected to the area word line 210 on the selected column 206 may be a target of a memory operation (eg, read, write, erase, overwrite, etc.).

一組字線選擇電晶體212可以被配置成電連接或電斷開與源極線214相連的各區域字線210。相連的字線204其中之一可以連接至各字線選擇電晶體212的閘極。因此,適合的啟動/關閉信號施加在字線WL1可以啟動或關閉與已選擇列206相連的區域字線210。當連接到字線204 WL1的字線選擇電晶體212被啟動時,則已選擇列206的區域字線210和記憶體單元群組的終端連接到耦接於源極線214的區域字線210。此過程使得能夠選擇已選擇列206。舉例來說,施加啟動信號至字線204 WL1將已選擇列206的區域字線連接至源極線214。然後,通過是加適合的信號至已選擇位元線202和源極線214,信號可以藉由在已選擇位元線202上具有第一接點之已選擇列206的記憶體單元208來觀察。 A set of word line selection transistors 212 may be configured to electrically connect or disconnect each region word line 210 connected to the source line 214. One of the connected word lines 204 may be connected to a gate of each word line selection transistor 212. Accordingly, a suitable on / off signal is applied to the word line WL 1 can enable or disable the selected local word line 206 is connected to the column 210. When the word line selection transistor 212 connected to the word line 204 WL 1 is activated, the region word line 210 of the selected column 206 and the terminal of the memory cell group are connected to the region word line coupled to the source line 214 210. This process enables selection of the selected column 206. For example, applying a start signal to the word line 204 WL 1 connects the regional word line of the selected column 206 to the source line 214. Then, by adding a suitable signal to the selected bit line 202 and the source line 214, the signal can be observed by the memory cell 208 of the selected row 206 having the first contact on the selected bit line 202 .

圖3描述本發明記憶體裝置300之實施例方塊圖。在某些實施例中,記憶體裝置300可以是可被移除的儲存裝置,例如FLASH裝置,可以藉由通訊介面(通用序列匯流排(USB)介面等)從主機電腦裝置(例如電腦、筆記型電腦、終端機、智慧型手機、桌上型電腦等)連接或斷開。在其他實施例中,記憶體裝置300可以配置在用於與伺服裝置或其他電腦裝置連接的硬體卡。在其他實施例中,記憶體裝置300可以是透過適合的遠端通訊平台(例如無線介面、無線電訊介面、衛星介面、有線介面、乙太網路介面、寬頻電力線介面等,或適合的其組合)與遠端主機裝置通訊的單機裝置。 FIG. 3 illustrates a block diagram of a memory device 300 according to an embodiment of the present invention. In some embodiments, the memory device 300 may be a removable storage device, such as a FLASH device, and may be communicated from a host computer device (such as a computer, a notepad, etc.) through a communication interface (universal serial bus (USB) interface, etc.). Computer, terminal, smart phone, desktop computer, etc.). In other embodiments, the memory device 300 may be configured on a hardware card for connection with a servo device or other computer devices. In other embodiments, the memory device 300 may be through a suitable remote communication platform (such as a wireless interface, a radio communication interface, a satellite interface, a wired interface, an Ethernet interface, a broadband power line interface, etc.), or a suitable combination thereof. ) A stand-alone device that communicates with a remote host device.

在不同實施例中,記憶體裝置300可以可操作的透過合適的通訊介面與主機電腦裝置電力通訊。在至少一實施例中,記憶體裝置300可 以包含電力線;然而在其他實施例中,記憶體裝置300可以經由通訊介面供電。在至少一替代的實施例中,記憶體裝置300可以包含電源,且也可以透過通訊介面獲得電力。在其他實施例中,記憶體裝置300可以整合於電腦裝置內,或可操作獨佔的主機電腦裝置。本領域的技術人員將了解其他合適的構造於記憶體裝置300是本主題公開的考慮範圍之內。為此,記憶體裝置300可以比圖3的描述更包含額外的元件,作為合適的(例如包含多目的處理元件、包含操作多目的處理元件利用資料儲存在記憶體裝置300的應用程式等)。 In various embodiments, the memory device 300 may be operatively in electrical communication with the host computer device through a suitable communication interface. In at least one embodiment, the memory device 300 may To include a power line; however, in other embodiments, the memory device 300 may be powered via a communication interface. In at least one alternative embodiment, the memory device 300 may include a power source, and may also obtain power through a communication interface. In other embodiments, the memory device 300 may be integrated in a computer device, or may operate an exclusive host computer device. Those skilled in the art will appreciate that other suitable constructions of the memory device 300 are within the scope of the subject disclosure disclosed herein. For this reason, the memory device 300 may include additional components as appropriate (for example, a multi-purpose processing element, an application program for operating the multi-purpose processing element using data stored in the memory device 300, etc.) as appropriate in the description of FIG. 3.

記憶體裝置300可以包含記憶體控制器302。記憶體控制器302可用以透過主機介面310與主機電腦裝置通訊。主機介面310可以操作以接收從關於在記憶體裝置上300的記憶體模組304的主機電腦裝置的主機指令。適合的主機指令可以包含寫入指令、讀取指令、抹除指令、覆寫指令等,或適合的其組合。此外,主機介面310可用以自主機電腦裝置相關的主機指令接收資料,或提供儲存於一或多個記憶體模組304的資料至對應於主機指令的主機裝置。 The memory device 300 may include a memory controller 302. The memory controller 302 can be used to communicate with the host computer device through the host interface 310. The host interface 310 is operable to receive host commands from a host computer device regarding the memory module 304 on the memory device 300. Suitable host commands may include write commands, read commands, erase commands, overwrite commands, etc., or a suitable combination thereof. In addition, the host interface 310 can receive data from a host command related to the host computer device, or provide data stored in one or more memory modules 304 to the host device corresponding to the host command.

在不同實施例中,記憶體控制器302更可包含記憶體介面306用以與連接於記憶體模組304連接的執行記憶體操作通訊,透過一或多個通道/資料匯流排308(這裡稱作記憶體通道308)。在至少一概念,記憶體通道308可以是8位元通道,但是在不同實施例中並不以此限制,以及一或多個可用於非記憶體通道308的其他尺寸通道。在某些實施例中,記憶體控制器302可以記憶體模組304執行低水平記憶體操作,包含寫入、抹除、讀取等。在其他實施例中,記憶體控制器302可以記憶體302區塊執行高水平記憶體 功能,各記憶體模組304的記憶體控制器(圖未示)轉換高水平記憶體功能(例如主機讀取、寫入、抹除指令等)至低水平記憶體功能(例如記憶卡、記憶寫入、記憶抹除等),並完成低水平記憶體功能。 In various embodiments, the memory controller 302 may further include a memory interface 306 for communicating with a memory module 304 for performing memory operation communication, and through one or more channels / data buses 308 (herein referred to as For memory channel 308). In at least one concept, the memory channel 308 may be an 8-bit channel, but is not limited thereto in different embodiments, and one or more channels of other sizes may be used for the non-memory channel 308. In some embodiments, the memory controller 302 can perform low-level memory operations, including writing, erasing, reading, etc., on the memory module 304. In other embodiments, the memory controller 302 may execute high-level memory in the memory 302 block. Function, the memory controller (not shown) of each memory module 304 converts high-level memory functions (such as host read, write, erase commands, etc.) to low-level memory functions (such as memory card, memory, etc.) Write, memory erase, etc.) and perform low-level memory functions.

在不同揭示的實施例中,記憶體控制器302更可包含結合ECC的錯誤更正元件312。在至少一實施例中,ECC演算法可以是相對非複雜的ECC(例如漢明碼、BCH碼、RS碼等),是由於一或多個記憶體模組304的覆寫能力(例如減少導致降低的錯誤率的P/E週期)的低位元錯誤率。在其他實施例中,ECC可替代地併入複雜的演算法,例如LDPC碼等。在一實施例中,記憶體控制器302也可以包含記憶體緩衝器314及中央處理單元316,用以執行在記憶體模組304上的記憶體操作。在其他實施例中,記憶體裝置300可以包含隨機存取記憶體(RAM)318(例如動態RAM或其他適合的RAM)用以暫存、高速操作記憶體或其他本技術領域之通常知識者顯而易見其他目的,應考慮在本發明的範圍內。 In various disclosed embodiments, the memory controller 302 may further include an error correction element 312 in combination with the ECC. In at least one embodiment, the ECC algorithm may be a relatively non-complex ECC (such as a Hamming code, a BCH code, an RS code, etc.), due to the overwriting ability of one or more memory modules 304 (for example, a reduction leads to a reduction (The P / E period of the error rate). In other embodiments, ECC may alternatively incorporate complex algorithms, such as LDPC codes and the like. In one embodiment, the memory controller 302 may also include a memory buffer 314 and a central processing unit 316 for performing memory operations on the memory module 304. In other embodiments, the memory device 300 may include a random access memory (RAM) 318 (such as a dynamic RAM or other suitable RAM) for temporary storage, high-speed operation of the memory or other obvious to those skilled in the art. Other purposes should be considered within the scope of the present invention.

記憶體模組304可以包含記憶體單元的陣列用以儲存數位資訊,控制硬體用以存取及寫入資訊、緩衝記憶體(例如RAM等)以促進控制硬體程序及記憶體轉換操作、快取等或其適合的組合。在某些實施例中,記憶體單元的陣列可以包含雙端記憶體單元(例如電阻式記憶體單元、電阻式切換記憶體單元等)的交錯排列。在交錯排列中,記憶體陣列中交叉的字線和位元線可以用來促進施加電信號至雙端記憶體單元一或多個。更進一步,記憶體模組304可以包含能直接覆寫、提供WA值1至記憶體裝置300的雙端記憶體單元技術。此種雙端記憶體單元技術的例子可以包含,但不以此限制,電阻式記憶體單元例如電阻式切換記憶體、電阻式隨機存取記憶 體等,或其適合的組合。藉由使用具有WA值1的雙端記憶體單元的交錯排列,本申請案發明人相信記憶體裝置300能夠提供好的彈性於執行記憶體操作。具體來說,記憶體模組304能夠直接覆寫記憶體單元中各陣列已選擇的記憶體單元。發明人相信記憶體裝置300可以減輕或避免,在他們的觀點中,NAND FLASH的缺點,NAND FLASH無法直接覆寫記憶體單元沒有先抹除記憶體單元所在記憶體區塊的缺點。NAND FLASH的特徵導致高寫入放大值(大約介於3到4),垃圾回收功能的裝置負荷、複雜的ECC演算法等等。據此,記憶體裝置300和記憶體模組304在操作效率、記憶保留、記憶壽命、讀寫速度及其他特性上可以具有顯著的優點,係因於在此描述的直接覆寫能力。 The memory module 304 may include an array of memory units to store digital information, control hardware to access and write information, buffer memory (such as RAM, etc.) to facilitate control of hardware procedures and memory conversion operations, Cache, etc. or a suitable combination thereof. In some embodiments, the array of memory cells may include a staggered arrangement of double-ended memory cells (eg, resistive memory cells, resistive switching memory cells, etc.). In a staggered arrangement, word lines and bit lines crossing in the memory array can be used to facilitate the application of electrical signals to one or more of the double-ended memory cells. Furthermore, the memory module 304 may include a dual-end memory cell technology capable of directly overwriting and providing a WA value of 1 to the memory device 300. Examples of such double-ended memory cell technology can include, but are not limited to, resistive memory cells such as resistive switching memory, resistive random access memory Body, etc., or a suitable combination thereof. By using a staggered arrangement of double-ended memory cells having a WA value of 1, the inventors of the present application believe that the memory device 300 can provide good flexibility for performing memory operations. Specifically, the memory module 304 can directly overwrite the selected memory unit of each array in the memory unit. The inventors believe that the memory device 300 can alleviate or avoid the disadvantages of NAND FLASH in their point of view. NAND FLASH cannot directly overwrite the memory unit without first erasing the disadvantage of the memory block where the memory unit is located. The characteristics of NAND FLASH lead to high write amplification values (about 3 to 4), device load for garbage collection functions, complex ECC algorithms, and more. Accordingly, the memory device 300 and the memory module 304 can have significant advantages in operating efficiency, memory retention, memory life, read / write speed, and other characteristics due to the direct overwrite capability described herein.

在替代或另外的實施例中,一或多個記憶體模組304的記憶體陣列可以各自包含多個記憶體區塊,其中至少一記憶體之各區塊包含多個記憶體子區塊。子區塊之實施例示意圖藉由上文圖1及圖2所描述。記憶體子區塊與記憶體子區塊相連之一的位元線之一子集合連接。位元線子集合的數量可以依據不同實施例作改變,如同在給定位元線子集合之位元線數量。每一子區塊和連接的位元線子集合具有可以獨佔子區塊的相關的區域字線組。每一子區塊也包含一群組的雙端記憶體單元數量與記憶體模組304之複數個字線相同。子區塊中的單一群記憶體單元包含連接至其各自一端與記憶體子區塊之一區域字線之雙端記憶體單元。此外,子區塊中每一群組的記憶體單元連接其各自一端至子區塊之位元線子集合之一位元線。因為記憶體單元每一群組共享一區域字線的共同終端、漏電流,也稱為潛路徑電流,所以可能沿著各區域字線記憶體子區塊內發生。舉例來說,如 上述圖2,假如電壓施加於位元線BL0用以操作在已選擇列206的最左邊雙端記憶體單元,及其他位元線BL1~BLX觀察差動電壓(例如0V或浮動),共同路徑沿著已選擇列206的區域字線210將允許潛路徑電流以發生介於位元線BL0及每一位元線BL1~BLX之間。這些潛路徑電流可降低感測器322的感測邊緣及其他影響。 In alternative or additional embodiments, the memory arrays of the one or more memory modules 304 may each include multiple memory blocks, where each block of at least one memory includes multiple memory sub-blocks. A schematic diagram of an embodiment of the sub-block is described by FIG. 1 and FIG. 2 above. The memory sub-block is connected to a sub-set of one of the bit lines connected to the memory sub-block. The number of bit line sub-sets can be changed according to different embodiments, just like the number of bit lines of a given bit line sub-set. Each sub-block and the connected bit line sub-set has an associated regional word line group that can monopolize the sub-block. Each sub-block also contains a group of double-ended memory cells with the same number of word lines as the memory module 304. A single group of memory cells in a sub-block includes two-terminal memory cells connected to their respective ends and a region word line of a memory sub-block. In addition, the memory cells of each group in the sub-block connect their respective ends to one of the bit-lines of the bit-line subset of the sub-block. Because each group of memory cells shares a common terminal and leakage current of a regional word line, which is also called a latent path current, it may occur in the memory sub-blocks along the word line of each area. For example, as shown in FIG. 2 above, if a voltage is applied to the bit line BL 0 to operate the leftmost double-ended memory cell in the selected column 206, and other bit lines BL 1 to BL X observe the differential voltage ( For example, 0V or floating), the common path along the area word line 210 of the selected column 206 will allow a latent path current to occur between the bit line BL 0 and each bit line BL 1 -BL X. These sub-path currents can reduce the sensing edges and other effects of the sensor 322.

在不同的實施例中,記憶體模組304可以是根據I/O配置構造(例如,參見圖4,圖5和圖6,下文),以減輕在記憶體操作的潛路徑電流的作用。對於I/O-based連接,這樣的記憶體單元群組中的兩或多個記憶體單元(例如,位元組、字、多個字、頁等)係從記憶體區塊的多個子區塊中選擇。在圖1和圖2的記憶體的排列,因此,記憶體單元群組將不共享共同的區域字線。因此,作為一個例子,記憶體的單一個字可以從記憶體區塊的分開的16個子區塊所在的雙端記憶體單元群組中選擇。這些雙端記憶體單元將被連接到16個不同的區域字線,獨佔於記憶體的16個子區塊中的各個。選用這種方式可以起到減輕或避免連接到記憶體的字的各記憶體單元的位線之間的潛路徑電流。在I/O-based連接可以通過多工器排列配置從用於記憶體操作的多個記憶體子區塊中選擇複數個位元線(例如,參見圖4,下文)。在一方面中,複數個位線的數量可以等於多個子區塊的數目,這意味著任何給定的子區塊的唯一位元線被選擇用於給定的記憶體操作。然而,其他實施例是允許的,其中,所述多個位線的數量大於所選擇的多個子區塊的數目,這意味著一個以上的位元線從一個選定的子區塊中的一個或多個可以被選擇用於給定記憶體操作。在後面的情況中,所選擇的位元線之間的潛路徑電流將高於前一種情況,但仍少於上述所討論的情況,即子區塊的 所有位元線被啟動於記憶體操作。 In various embodiments, the memory module 304 may be configured according to the I / O configuration (for example, see FIG. 4, FIG. 5, and FIG. 6, below) to reduce the effect of the latent path current operating in the memory. For I / O-based connections, two or more memory cells (e.g., bytes, words, multiple words, pages, etc.) in such a memory cell group are from multiple sub-regions of the memory block Block selection. The memory arrangement in FIGS. 1 and 2 therefore, the memory cell groups will not share a common regional word line. Therefore, as an example, a single word of memory can be selected from a group of double-ended memory cells in which the 16 sub-blocks of the memory block are located. These double-ended memory cells will be connected to 16 different regional word lines, exclusive to each of the 16 sub-blocks of the memory. This method can be used to reduce or avoid the latent path current between the bit lines of each memory cell connected to the word of the memory. In the I / O-based connection, a plurality of bit lines can be selected from a plurality of memory sub-blocks for memory operation through a multiplexer arrangement configuration (for example, see FIG. 4, below). In one aspect, the number of multiple bit lines may be equal to the number of multiple sub-blocks, which means that the unique bit line of any given sub-block is selected for a given memory operation. However, other embodiments are allowed, wherein the number of the plurality of bit lines is greater than the number of the selected plurality of sub-blocks, which means that more than one bit line is from one of the selected sub-blocks or Multiple can be selected for a given memory operation. In the latter case, the latent path current between the selected bit lines will be higher than the previous case, but still less than the case discussed above, that is, the subblock's All bit lines are initiated in memory operation.

圖4示出了本發明多工器400之實施例電路示意圖。在一實施例中,多個電路配置為類似於多工器400可以被用來選擇性地連接或斷開具有感測放大器的記憶體區塊的個子集合的位元線、一個記憶體介面(例如,相關聯於記憶體介面的I/O接點)、電源(例如,與供應電壓相關聯的偏壓信號),或類似的,或其合適的組合。 FIG. 4 shows a circuit diagram of an embodiment of a multiplexer 400 according to the present invention. In one embodiment, the multiple circuits are configured similar to a bit line of a multiplexer 400 that can be used to selectively connect or disconnect a subset of a memory block with a sense amplifier, a memory interface ( For example, an I / O contact associated with a memory interface), a power source (eg, a bias signal associated with a supply voltage), or the like, or a suitable combination thereof.

在其他實施例中,多工器400可以用來選擇性地互連記憶體陣列的一或多個位元線,包括具有偏壓信號接點416的位元線BL0 402、BL1 404、BL2 406…BLX 408(統稱為位元線402-408),或I/O接點414。在一實施例中,I/O接點414可以與感測電路418相連以促進讀取已選擇的位元線402-408之一。於此實施例中,多工器400可當作促進讀取記憶體單元的解碼器。在其他實施例中,I/O接點414可以連接於電源以促進編程、抹除或覆寫已選擇的位元線402-408。於此實施例中,多工器400可當作促進寫入及抹除記憶體單元的解碼器。偏壓信號接點416可以用於施加適當的偏壓信號至一或多個位元線402-408。偏壓信號可以通過一個外部電源供給-未示出(例如電壓源,電流源)。偏壓信號可以被用來抑制非選定的位元線402-408。例如,在位元線402-408中的第一個被選擇用於編程,並且連接到I/O接點414,其他的位元線402-408可以被連接,而不是向偏壓信號接點416,其可被驅動到一個禁止電壓,或浮動,或其他合適的信號用於減輕其他的位元線402-408的編程。如在下文中更詳細地描述,多工器400可經配置以選擇位元線402-408的第一子集合,以連接到(或斷開從)I/O接點414(例如,用於編程,讀取,抹除等),而同時選擇位元線402-408的第二子集合,以連接到(或斷 開連接)的偏壓信號接點416(例如,用於抑制編程,擦除等,或其他合適的目的)。 In other embodiments, the multiplexer 400 may be used to selectively interconnect one or more bit lines of the memory array, including bit lines BL 0 402, BL 1 404, having bias signal contacts 416, BL 2 406 ... BL X 408 (collectively referred to as bit lines 402-408), or I / O contacts 414. In an embodiment, the I / O contact 414 may be connected to the sensing circuit 418 to facilitate reading one of the selected bit lines 402-408. In this embodiment, the multiplexer 400 can be used as a decoder that facilitates reading the memory unit. In other embodiments, I / O contacts 414 may be connected to a power source to facilitate programming, erasing, or overwriting selected bit lines 402-408. In this embodiment, the multiplexer 400 can be used as a decoder that facilitates writing and erasing memory cells. The bias signal contact 416 may be used to apply an appropriate bias signal to one or more bit lines 402-408. The bias signal can be supplied by an external power source-not shown (eg, voltage source, current source). Bias signals can be used to suppress unselected bit lines 402-408. For example, the first of the bit lines 402-408 is selected for programming and is connected to the I / O contact 414, and the other bit lines 402-408 may be connected instead of bias signal contacts 416, which can be driven to a disabled voltage, or floated, or other suitable signal to ease programming of other bit lines 402-408. As described in more detail below, multiplexer 400 may be configured to select a first subset of bit lines 402-408 to connect to (or disconnect from) I / O contacts 414 (eg, for programming , Read, erase, etc.) while selecting a second subset of bit lines 402-408 to connect to (or disconnect from) the bias signal contact 416 (for example, to inhibit programming, erasing Etc., or other suitable purpose).

除了上述內容,應當認識到,多工器400可以被操作來動態地選擇位元線402-408的不同子集合,用於從I/O接點414連接或斷開,以/或動態地選擇位元線402-408的不同子集合用於連接或斷開到/從偏壓信號接點416,各種記憶體操作。不同地,以選擇得位元線的子集合可以動態地改變於連續的記憶體操作。例如,多工器400可以選擇位元線402-408的第一子集合來連接至偏壓信號接點416的第一存儲器操作,然後選擇位元線402-408的第二子集合,不同於位元線402-408的第一子集合,連接到偏壓信號接點416於第二記憶體操作,等等。類似地,多工器400可以選擇位元線402-408的第三子集合(不同於第一子集合,第二子集合)來連接到I/O接點414為第一記憶體操作,然後選擇位元線402-408的第四子集合(不同於第一子集合,第二子集合,第三子集合)來連接到I/O接點414的第二記憶體操作,或類似的。 In addition to the above, it should be recognized that the multiplexer 400 can be operated to dynamically select different subsets of the bit lines 402-408 for connecting or disconnecting from the I / O contacts 414 to / or dynamically select Different subsets of the bit lines 402-408 are used to connect or disconnect to / from the bias signal contacts 416 for various memory operations. Differently, the selected subset of bit lines can be dynamically changed by successive memory operations. For example, the multiplexer 400 may select a first subset of the bit lines 402-408 to connect to the first memory operation of the bias signal contact 416, and then select a second subset of the bit lines 402-408, unlike A first subset of bit lines 402-408 are connected to a bias signal contact 416 for operation in a second memory, and so on. Similarly, the multiplexer 400 may select a third subset of bit lines 402-408 (different from the first subset, the second subset) to connect to the I / O contact 414 for the first memory operation, and then A fourth subset (different from the first subset, the second subset, and the third subset) of the bit lines 402-408 is selected to connect to the second memory operation of the I / O contact 414, or the like.

每個位元線402-408都有一組相關的開關,包括各自的輸入/輸出開關410和各自的偏壓信號開關412。因此,BL<0>402有一個相關的輸入/輸出開關410和相關的偏壓信號開關412,同樣地,對於其它位元線402-408。各輸入/輸出開關410被啟動或關閉由各自的I/O選擇信號(I/OSEL<0>,I/OSEL<1>,I/OSEL<2>,...,I/OSEL<X>,其中X是一個合適的正整數),包括I/OSEL<0>用於與BL<0>402相關的輸入/輸出開關410,I/OSEL<1>用於與BL<1>404相關的輸入/輸出開關410,等等。一個特定的I/O開關的啟動連接相應的位元線402-408與I/O接點414(例如,在相應的位元線402-408執行記憶體操作)。除了以上所述,各偏壓信號開關412被啟動或關閉藉由各偏壓選擇 信號(BiasSEL<0>,BiasSEL<1>,BiasSEL<2>,…,BiasSEL<X>),其中包括BiasSEL<0>用於與BL<0>402有關的偏壓信號開關412,BiasSEL<1>用於與BL<1>有關的偏壓信號開關412等。啟動特定的偏壓信號開關412連接具有偏壓信號接點416的對應的位元線402-408。 Each bit line 402-408 has a related set of switches, including a respective input / output switch 410 and a respective bias signal switch 412. Therefore, BL <0> 402 has an associated input / output switch 410 and an associated bias signal switch 412, as well, for the other bit lines 402-408. Each input / output switch 410 is turned on or off by its respective I / O selection signal (I / O SEL <0> , I / O SEL <1> , I / O SEL <2> , ..., I / O SEL <X> , where X is a suitable positive integer), including I / O SEL <0> for input / output switch 410 related to BL <0> 402, I / O SEL <1> for BL related <1> 404 related input / output switches 410, and so on. The activation of a particular I / O switch connects the corresponding bit lines 402-408 and I / O contacts 414 (for example, performing a memory operation on the corresponding bit lines 402-408). In addition to the above, each bias signal switch 412 is turned on or off by each bias selection signal (Bias SEL <0> , Bias SEL <1> , Bias SEL <2> , ..., Bias SEL <X> ), These include Bias SEL <0> for bias signal switch 412 related to BL <0> 402, Bias SEL <1> for bias signal switch 412 related to BL <1> , and so on. The specific bias signal switch 412 is activated to connect the corresponding bit lines 402-408 having bias signal contacts 416.

在操作中,多工器400可以選擇性地將位元線402-408的子集合連接到I/O接點414,通過啟動位元線402-408的子集合相應的I/O選擇信號,並停用相應的位元線402-408的子集合的偏壓選擇信號。其他的位元線402-408可以從I/O接點414通過停用這些位元線402-408對應的I/O選擇信號隔離。可選擇地,其他位元線402-408可以抑制或浮接,通過啟動這些其他位元線402-408的偏壓選擇信號,從而將其它位元線402-408連接至偏壓信號接點416,其可以連接到一個禁止信號或浮接。在一個操作示例中,多工器400可被操作,以便位元線402-408的第一子集合來連接到I/O接點414,並且將位元線402-408的第二子集合連接到偏壓信號416,或操作以抑制位元線402-408的第二子集合。 In operation, the multiplexer 400 may selectively connect a subset of the bit lines 402-408 to the I / O contact 414, and by activating the corresponding I / O selection signal of the subset of the bit lines 402-408, And the bias selection signals of the corresponding subset of the bit lines 402-408 are disabled. Other bit lines 402-408 can be isolated from I / O contacts 414 by disabling the I / O selection signals corresponding to these bit lines 402-408. Alternatively, other bit lines 402-408 can be suppressed or floated. By activating the bias selection signals of these other bit lines 402-408, the other bit lines 402-408 are connected to the bias signal contact 416. It can be connected to a disable signal or floating. In one example of operation, the multiplexer 400 may be operated so that a first subset of the bit lines 402-408 is connected to the I / O contacts 414 and a second subset of the bit lines 402-408 is connected To the bias signal 416, or operate to suppress a second subset of the bit lines 402-408.

圖5描繪本發明輸入/輸出記憶體配置500之實施例方塊圖。輸入/輸出記憶體配置500可以促進1位元覆寫能力因為它可以存取和執行寫入、讀取和抹除記憶體子區塊的單一位元。 FIG. 5 depicts a block diagram of an input / output memory configuration 500 according to an embodiment of the present invention. The input / output memory configuration 500 can promote 1-bit overwrite capability because it can access and perform writing, reading, and erasing a single bit of a memory sub-block.

輸入/輸出記憶體配置500可以包括1電晶體-z電阻(1TzR)的排列,其中Z是大於1的正整數。在一個實施方案中,Z可以具有8的值,儘管本主題公開不限定於本實施例。那些本領域的技術人員將理解替代的或額外的電晶體、電阻器的配置,如1T4R,1T16R,1T128R和其他合適的配置,並且本主題公開的考慮範圍之內。 The input / output memory configuration 500 may include an arrangement of 1 transistor-z resistors (1TzR), where Z is a positive integer greater than 1. In one embodiment, Z may have a value of 8, although the subject disclosure is not limited to this example. Those skilled in the art will understand alternative or additional transistor, resistor configurations, such as 1T4R, 1T16R, 1T128R, and other suitable configurations, and are within the scope of the considerations disclosed in this subject.

在進一步的實施方案中,輸入/輸出記憶體配置500可以包括雙端記憶體單元具有直接的覆寫能力。記憶體單元有覆寫能力可以是有效的輸入/輸出記憶體配置500。由於高效的覆寫能力,用於輸入/輸出記憶體配置500的雙端記憶體單元可以用於輸入/輸出記憶體配置500以提供小於許多典型可比較的記憶體配置(例如NAND FLASH)的WA值。在具體的實施方案中,WA值可以是2或更小。在至少一實施例中,WA值可以是1。因此,在一些實施例中,輸入/輸出記憶體配置500可被用作記憶體儲存裝置的一部分,並且有助於改進資料保留和裝置壽命,由於與低WA值相關聯的較低的P/E週期。另外,本申請的發明人相信,這樣的記憶體儲存裝置可以具有顯著較低的負荷比摻入垃圾回收演算法,複雜的ECC碼,以及複雜的平均抹寫演算法的設備。 In a further embodiment, the input / output memory configuration 500 may include a dual-end memory unit with direct overwrite capability. The memory unit has an overwrite capability which can be an effective input / output memory configuration 500. Due to the efficient overwrite capability, a dual-end memory unit for the I / O memory configuration 500 can be used for the I / O memory configuration 500 to provide a WA that is smaller than many typical comparable memory configurations (e.g., NAND FLASH) value. In a specific embodiment, the WA value can be 2 or less. In at least one embodiment, the WA value may be one. Therefore, in some embodiments, the input / output memory configuration 500 may be used as part of a memory storage device and help improve data retention and device life due to the lower P / E cycle. In addition, the inventor of the present application believes that such a memory storage device can have a device with significantly lower load ratio incorporating a garbage collection algorithm, a complex ECC code, and a complex average erase algorithm.

輸入/輸出記憶體配置500可以包含多個記憶體子區塊,包括子區塊1 502、子區塊2 504到子區塊Y 506,其中Y是大於1的合適整數。記憶體子區塊被統稱為子區塊502-506。每一記憶體子區塊502-506包括相應的N個位元線,其中N為大於1的合適的整數。具體地,子區塊1 502包括第一組位元線的BL1<0:N>508,子區塊2 504包括第二組位元線BL2<0:N>512,和子區塊Y 506包括第Y組位元線BLY<0:N>514(統稱為位元線組508,512,514)。每組位元線508,512及514包括相應的一組區域字線是專用於各組位元線508,512,514中的相應一個。記憶體單元群組可以被啟動,藉由啟動全域字線關聯於特定群組記憶體單元,以及藉由信號施加於影響特定記憶體子區塊502-506(例如見圖1及圖2之記憶體子區塊的全域字線及選擇線)的選擇線。記憶體單元群組啟動的特定的記憶體單元可以藉由關聯於啟動的 群組的位元線組508、512、514其中一組的個別位元線定址。 The input / output memory configuration 500 may include multiple memory sub-blocks, including sub-block 1 502, sub-block 2 504 to sub-block Y 506, where Y is a suitable integer greater than 1. Memory sub-blocks are collectively referred to as sub-blocks 502-506. Each memory sub-block 502-506 includes a corresponding N bit lines, where N is a suitable integer greater than 1. Specifically, sub-block 1 502 includes BL 1 <0: N> 508 of the first group of bit lines, sub-block 2 504 includes a second group of bit lines BL 2 <0: N> 512, and sub-block Y 506 includes the Y-th bit line BL Y <0: N> 514 (collectively referred to as bit line groups 508, 512, 514). Each set of bit lines 508, 512, and 514 includes a corresponding set of regional word lines that are dedicated to a respective one of the set of bit lines 508, 512, 514. Memory cell groups can be activated, by activating global word lines associated with specific groups of memory cells, and by applying signals to affect specific memory sub-blocks 502-506 (see, for example, memories in Figures 1 and 2 Global word line and selection line of the body sub-block). A particular memory cell activated by a memory cell group may be addressed by an individual bit line of one of the bit line groups 508, 512, 514 associated with the activated group.

圖5示出一組選定的位元線510,包括BL1<0>510A的子區塊1 502、BL2<0>510B的子區塊2 504,到子區塊506的BLY<0>510C,其中每個位元線510A,510B群組選位元線510的510C是的一員的存儲器不同的子區塊502-506。由於記憶體每個子區塊502-506有區域字線專用於記憶體的子區塊502-506,沒有直接的路徑沿著任何存在的輸入/輸出記憶體配置500不同的子區塊的位元線之間的局部字線記憶體502-506。因此,與所選擇的組的相關聯的記憶體操作位元線可以有選擇的位元線510。這種最小的最小潛路徑電流有助於在1TzR內存配置較高的數字的z,提高輸入/輸出記憶體配置500整體的記憶體密度。 Figure 5 shows a selected set of bit lines 510, including sub-block 1 502 of BL 1 <0> 510A, sub-block 2 504 of BL 2 <0> 510B, and BL Y <0 to sub-block 506 > 510C, where each bit line 510A, 510B group selection bit line 510 is a member of a different sub-block 502-506 of memory. Because each sub-block of the memory 502-506 has a regional word line dedicated to the sub-blocks 502-506 of the memory, there is no direct path to configure the bits of 500 different sub-blocks along any existing input / output memory Local word line memory 502-506 between lines. Therefore, the memory operation bit line associated with the selected group may have the selected bit line 510. This minimum minimum latent path current helps to configure a higher number z in 1TzR memory, and improves the overall memory density of the input / output memory configuration 500.

在操作中,I/O內存配置500可以包括一組多路復用到連接位線508,512,514與各自的I/O觸點的集合的子集。該組多路復用器包括一個多路轉換器518A用於相對於子塊1502,多路復用器518B用於相對於子塊2504,通過多路轉換器518C用於相對於子塊狀506(統稱為多路轉換器518A-518C)。相應的多路復用器518A-518C可以被配置為選擇性地連接或斷開位線508,512,514中各組的一個子集與第一I/O接點516A,通過第Y I/O接點516C第二個I/O接點516B(統稱為I/O觸點516A-516C)。在由圖5中,最左邊的位線510A,510B,510C中所描繪的示例(統稱為最左邊的位線510A-510℃)-506包括所選的位線510,這是由相應的多路轉換器連接相應的子塊502的518A-518C,以各自的I/O觸點516A-516C。通過I/O觸點516A-516C,最左邊的位線510A的存儲單元-510C可以針對內存的操作。在一些實施例中,I/O觸點516A-516C可連接到電源,從而使電源可 以適用於最左邊的位線510A-510C(結合的存儲器各個子塊中的一個選擇線502-506,例如,參見圖6,下文),以便寫入,擦除或最左邊的位線覆蓋的一個或多個存儲器單元510A-510C。在替代或額外實施例中,I/O觸點516A-516C可連接的傳感器(例如,見傳感圖4,同上的電路418),從而使最左邊的位線510A-510C可以被讀取作為讀取操作的一部分。 In operation, the I / O memory configuration 500 may include a set of subsets multiplexed to a set of connection bit lines 508, 512, 514 and respective I / O contacts. The group of multiplexers includes a multiplexer 518A for subblock 1502, a multiplexer 518B for subblock 2504, and a multiplexer 518C for subblock 506. (Collectively referred to as multiplexers 518A-518C). The corresponding multiplexers 518A-518C can be configured to selectively connect or disconnect a subset of each of the bit lines 508, 512, 514 and the first I / O contact 516A through the YI / O Contact 516C The second I / O contact 516B (collectively referred to as I / O contacts 516A-516C). In Figure 5, the example depicted in the leftmost bit lines 510A, 510B, 510C (collectively referred to as the leftmost bit lines 510A-510 ° C) -506 includes the selected bit line 510, which is determined by the corresponding multi The converters are connected to the 518A-518C of the corresponding sub-blocks 502 to the respective I / O contacts 516A-516C. Through the I / O contacts 516A-516C, the memory cell-510C of the leftmost bit line 510A can be operated for the memory. In some embodiments, I / O contacts 516A-516C can be connected to a power source, making the power source available With the leftmost bit lines 510A-510C (one selection line 502-506 in each sub-block of the combined memory, for example, see FIG. 6, below) for writing, erasing, or covering the leftmost bit lines One or more memory cells 510A-510C. In alternative or additional embodiments, I / O contacts 516A-516C can be connected to sensors (see, for example, sensing figure 4, ibid. Circuit 418) so that the leftmost bit lines 510A-510C can be read as Part of a read operation.

應當理解的是,雖然I/O存儲器結構500示出一組選定的位線510,一個來自存儲器502的每個子塊-506,其它數量的所選位線510的可用於存儲器操作,而不是激活。例如,更多或更少的選擇的位線510可以被激活。在至少一個實施例中,單個位線可被選擇用於存儲器操作。特別是在一個刷新的情況下,或覆蓋操作中,單個位線/存儲器單元的存儲器粒度可能導致對覆蓋或刷新數據有很大的靈活性。但是應當理解的是,其他數量的位線可以連接到I/O接點516A-516C。在至少一個實施例,至多所有位元線508,512,514的各組。根據與大量寫或擦除操作相結合,後者實施方案(S),例如,IO記憶體配置500可以執行頁擦除,子區塊擦除,區塊擦除等,或頁/子區塊/區塊寫入。 It should be understood that although the I / O memory structure 500 shows a selected set of bit lines 510, one from each sub-block -506 of the memory 502, other numbers of the selected bit lines 510 may be used for memory operations, rather than activated . For example, more or fewer selected bit lines 510 may be activated. In at least one embodiment, a single bit line may be selected for a memory operation. Especially in the case of a refresh, or during an overwrite operation, the memory granularity of a single bit line / memory cell may result in great flexibility in overwriting or refreshing data. It should be understood, however, that other numbers of bit lines may be connected to the I / O contacts 516A-516C. In at least one embodiment, at most all groups of bit lines 508, 512, 514. According to the latter implementation (S) in combination with a large number of write or erase operations, for example, the IO memory configuration 500 can perform page erase, sub-block erase, block erase, etc., or page / sub-block / Block write.

圖6示出了根據本主題公開的進一步實施例的示例存儲器操作600的示意圖。在一個或多個實施例中,內存操作600可以包括一個I/O類的存儲器操作。因此,在這種實施方式中,針對存儲器操作600的多個存儲單元被從存儲器陣列中的不同的子集,即不直接連接於字線或本地字線選中。因此,內存操作600具有一定程度的固有潛通路減輕對內存操作600。 FIG. 6 shows a schematic diagram of an example memory operation 600 according to a further embodiment disclosed by the subject matter. In one or more embodiments, the memory operation 600 may include an I / O-type memory operation. Therefore, in this embodiment, multiple memory cells for the memory operation 600 are selected from different subsets of the memory array, that is, not directly connected to a word line or a local word line. Therefore, the memory operation 600 has a certain degree of inherent latent pathways to mitigate the memory operation 600.

記憶體操作600工作記憶,包括一組記憶體子區塊,其中包括的子塊1602,分塊2604,通過子塊狀606(統稱為記憶體的子區塊 602-606)。一組記憶體單元,從選定從記憶體602-606的每個子區塊的單一相應位元線,可以有針對性的記憶體操作(例如,在寫操作中,重寫操作,讀操作,擦除操作,刷新操作,依此類推)。注意,該組存儲器單元的大小可改變關於各種公開的實施例中,從單個位線的單個存儲單元中,向一個頁面上的所有位線的存儲器單元相交的字線或多個字線,所有的記憶體區塊的單元,或者記憶體單元的一些其它合適的組合的單元。 Memory operation 600 working memory, including a set of memory sub-blocks, which includes sub-blocks 1602, 2604, and sub-blocks 606 (collectively called sub-blocks of memory 602-606). A set of memory cells, from a single corresponding bit line of each sub-block selected from memory 602-606, can be targeted for memory operations (e.g., in a write operation, a rewrite operation, a read operation, a erase operation Division operation, refresh operation, and so on). Note that the size of the group of memory cells may vary. In various disclosed embodiments, from a single memory cell of a single bit line to a word line or multiple word lines intersecting memory cells of all bit lines on a page, all A unit of a memory block, or some other suitable combination of memory units.

選擇用於存儲器操作中,三個總在這個實例的存儲單元,被包圍在圖6中的描繪陰影的橢圓形。在這種情況下,一個三比特信息1-0-1被編程到三個選擇的存儲器單元。注意,這3比特信息可以被編程到三個所選存儲器單元而不管它們當前被擦除或編程的,在至少一個實施例。來完成編程的三比特信息,節目信號608被施加到BL1<0>的子塊1602,並以BLY子塊狀606的<0>,如所描繪的,與地面或零電壓610被施加到源線subblock1602分塊狀606。此施加正電壓信號到所選擇的存儲器單元中的這些子塊602,606,這些靶細胞編程為1的邏輯狀態。此外,零伏特610或地面施加到BL2的子塊2604<0>,和一個擦除信號612被施加到子塊2604的源線,擦除該靶細胞為0的邏輯狀態。在一個實施例中,擦除信號612可以具有相同的大小作為節目信號608。在另一實施例中,擦除信號612和節目信號608可具有不同的幅度。 Selected for use in memory operations, three memory cells that are always in this example are enclosed in shaded ovals in FIG. 6. In this case, one three-bit information 1-0-1 is programmed into three selected memory cells. Note that this 3-bit information can be programmed into three selected memory cells regardless of whether they are currently being erased or programmed, in at least one embodiment. To complete the programming of the three-bit information, the program signal 608 is applied to the subblock 1602 of BL1 <0>, and the <0> of the BLY subblock 606, as depicted, is applied to the source with ground or zero voltage 610 The line subblock1602 is divided into blocks 606. This applies a positive voltage signal to the sub-blocks 602, 606 in the selected memory cell, and the target cells are programmed to a logic state of one. In addition, zero volt 610 or ground is applied to the sub-block 2604 <0> of BL2, and an erase signal 612 is applied to the source line of the sub-block 2604 to erase the logic state of the target cell to zero. In one embodiment, the erasure signal 612 may have the same size as the program signal 608. In another embodiment, the erasure signal 612 and the program signal 608 may have different amplitudes.

前述圖已經描述了相對於相互作用的存儲單元的由這樣的存儲單元的數個組件,或內存體系結構之間。但是應當理解的是,在本主題公開的一些合適的可供選擇的方面,如圖表可以包括在其中指定的那些組件和結構,某些指定的組件/硬件架構,或附加的組件/硬件架構的。子組 件也可以被實現為電連接到其他子組件而不是包括在父架構內。此外,應該注意的是一個或多個公開的方法可以被組合成一個單一的過程提供聚合功能。例如,沉積工藝可包括填充或蝕刻工藝,退火工藝等,或者反之亦然,以促進沉積,通過聚合的方式填充或記憶細胞層的蝕刻流程。所公開的體系結構的組件也可以與一個或多個未具體描述本文中但已知由這些領域的技術人員在其他組件交互。 The aforementioned figures have described relative to the interacting memory cells between several components of such a memory cell, or between memory architectures. It should be understood, however, that some suitable alternative aspects disclosed in this subject matter, such as diagrams, may include those components and structures specified therein, certain specified components / hardware architectures, or additional components / hardware architectures. . Subgroup Components can also be implemented to be electrically connected to other child components rather than included in the parent architecture. In addition, it should be noted that one or more of the disclosed methods can be combined into a single process to provide aggregation functions. For example, the deposition process may include a filling or etching process, an annealing process, or the like, or vice versa, to promote deposition, to fill or memorize the cell layer by an etching process in a polymerized manner. The components of the disclosed architecture may also interact with one or more other components not specifically described herein but known by those skilled in the art.

在圖中的示例性圖表的描述同上,處理方法可以是根據所公開的主題實現將可更好地理解。參照圖7,圖8及流程圖9,雖然為了簡單起見的說明中,圖7,圖8和圖9的方法中示出和描述為一系列的方框,它是可以理解和明白,所要求保護的主題並不受的順序的限制方塊,可以按不同順序發生某些塊或同時與其它方框所描繪和描述。此外,並非所有示出的塊可能被要求。實施本文中所描述的方法。另外,還應該進一步理解,整個說明書中所公開的方法能夠被存儲在製品的製造,以方便運輸和傳送這些方法的電子設備。製造的術語製品,如使用的,意在包括計算機程序從任何合適的計算機可讀設備訪問,設備在與載體結合,存儲介質,或類似物,或合適的組合。 The description of the exemplary diagrams in the figure is the same as above, and the processing method may be better understood according to the disclosed subject matter implementation. Referring to FIG. 7, FIG. 8, and flowchart 9, although in the description for simplicity, the methods of FIG. 7, FIG. 8, and FIG. 9 are shown and described as a series of blocks, it is understandable and understandable, so The claimed subject matter is not limited by the order of the blocks, which may be depicted and described in certain blocks in different orders or concurrently with other blocks. In addition, not all illustrated blocks may be required. Implement the methods described herein. In addition, it should be further understood that the methods disclosed throughout the specification can be stored in the manufacture of articles to facilitate the transportation and delivery of electronic devices for these methods. The term article of manufacture, as used, is intended to include a computer program accessed from any suitable computer-readable device, the device being combined with a carrier, storage medium, or the like, or a suitable combination.

圖7示出了用於製造的示例性方法700的流程圖。存儲裝置,根據本主題公開的其他實施例。在702,方法700可以包括創建排列成陣列相對於多個雙端子的存儲器單元字線和位線的襯底上。雙端存儲器單元可以是電阻式記憶體單元在一個實施例中(例如,阻變存儲器,電阻隨機存取存儲器等)。在其他實施例中,另一雙端存儲器技術,可以使用為多個雙端子的存儲器單元。在各種實施例中,方法700可以進一步包括佈置所述多 個雙端存儲單元劃分成多個存儲塊,分別具有多個雙端記憶體單元的子區塊。 FIG. 7 shows a flowchart of an exemplary method 700 for manufacturing. A storage device according to other embodiments disclosed in this subject. At 702, method 700 may include creating a substrate array of memory cell word lines and bit lines arranged in an array relative to a plurality of two terminals. The double-ended memory cell may be a resistive memory cell in one embodiment (eg, resistive memory, resistive random access memory, etc.). In other embodiments, another dual-ended memory technology may be used as a plurality of dual-terminal memory cells. In various embodiments, the method 700 may further include arranging the plurality The double-ended memory unit is divided into a plurality of memory blocks, each of which has multiple sub-blocks of the double-ended memory unit.

在704,方法700可包括連接的一組本地的各自一個字線到雙端記憶體單元的各組上內的一個單一的字線字線的集合。在一個實施例中,所述一組局部字線可以是獨占的所述一個多個記憶體區塊。在附加的實施方案中,該組中的兩個雙端記憶體單元可以是專用於所述多個帶的所述一個相關聯的子塊中的一或多個記憶體區塊。 At 704, method 700 may include connecting a respective set of local word lines to a single set of word lines on each set of double-ended memory cells. In one embodiment, the set of local word lines may be the one or more memory blocks exclusive. In an additional embodiment, the two double-ended memory units in the set may be dedicated to one or more memory blocks in the one associated sub-block of the plurality of bands.

在706,方法700可以包括:提供一組輸入輸出接口配置為提供電功率的同時對多個位線或者多個字線。在各種實施例中,該組輸入輸出接口可以被配置為連接到雙端存儲器單元中的I/O存儲器的配置的一個子集。在一些實施例中,該組輸入輸出接口可以被佈置為選擇性地連接或斷開的多個位線或多個字線的一感測電路,用於讀出雙端記憶體單元的子集合。 At 706, method 700 may include providing a set of input-output interfaces configured to provide electrical power to multiple bit lines or multiple word lines simultaneously. In various embodiments, the set of input-output interfaces may be configured as a subset of the configuration of the I / O memory connected to the double-ended memory unit. In some embodiments, the set of input-output interfaces may be arranged as a sensing circuit of a plurality of bit lines or a plurality of word lines that are selectively connected or disconnected, for reading a subset of the double-ended memory cells. .

在708,方法700可以包括:提供被配置為存儲器控制器促進直接重寫若干雙端存儲器單元。在各種實施例中,可以提供存儲器控制器,以便覆蓋雙端存儲器單元而不第一擦除的存儲器內的雙端存儲器的細胞駐留的塊,或者沒有擦除雙端存儲器細胞本身。在另一個實施例中,存儲器可以提供控制裝置,以便覆蓋若干雙端存儲器單元是比二端的存儲單元的一個單頁小。在至少一個實施例中,該可以提供存儲器控制器,以便覆蓋兩個終端內存儲的多個字細胞中,雙端存儲器單元的一個字,或者少至兩末端中的單個存儲器單元。 At 708, method 700 may include providing a memory controller configured to facilitate direct rewriting of several double-ended memory cells. In various embodiments, a memory controller may be provided so as to cover the double-ended memory unit without first resident blocks of the double-ended memory cells within the erased memory, or without erasing the double-ended memory cells themselves. In another embodiment, the memory may provide control means so as to cover several double-ended memory cells that are smaller than a single page of the two-ended memory cells. In at least one embodiment, a memory controller may be provided so as to cover one word of a double-ended memory cell among a plurality of word cells stored in two terminals, or as little as a single memory cell at both ends.

圖8示出了示例性方法800的流程圖用於製造存儲器。根據本 發明的其它實施例中陣列。在802,方法800可包括形成電阻式存儲器單元的陣列。形成所述陣列還可以包括在電阻存儲單元佈置成存儲器的多個塊。在一些實施例中,在電阻存儲單元佈置成存儲器的多個塊可以進一步包括佈置所述電阻式存儲器單元中的存儲器單元的多個塊的相應的一個到存儲單元的多個子區塊。 FIG. 8 illustrates a flowchart of an exemplary method 800 for manufacturing a memory. According to this Arrays in other embodiments of the invention. At 802, method 800 may include forming an array of resistive memory cells. Forming the array may further include a plurality of blocks arranged as a memory in the resistance storage unit. In some embodiments, the plurality of blocks arranged as a memory in the resistive memory unit may further include a corresponding one of the plurality of blocks of the memory unit arranged in the resistive memory unit to a plurality of sub-blocks of the memory unit.

在804,方法800可包括創建一組位線對的數組電阻式存儲器單元。在806,方法800可包括連接的相應子集線與存儲器單元的各個子塊。在808,方法800可包括創建一組字線的內存。在810,方法800可以包括在連接子集字線的存儲器單元的各個塊。在812,方法800可包括形成一置的輸入-輸出接口,用於將信號施加到多個子集的相應的位線的輸入輸出接口。在816,方法800可以包括:提供存儲器控制器配置成使該解碼器/多路轉換器從一個或中相應的一個連接一個位線的存儲單元相應的輸入-輸出接口的多個子塊,以方便一個對於電阻式存儲器單元陣列的I/O為基礎的內存配置。 At 804, method 800 may include creating an array of resistive memory cells for a set of bit line pairs. At 806, method 800 may include connecting respective subset lines to respective sub-blocks of the memory cell. At 808, method 800 may include creating memory for a set of word lines. At 810, method 800 may include various blocks of memory cells connecting subset word lines. At 812, method 800 may include forming an input-output interface for applying signals to the input-output interfaces of the corresponding bit lines of the plurality of subsets. At 816, method 800 may include providing a plurality of sub-blocks of a memory controller configured to cause the decoder / multiplexer to connect a corresponding input-output interface of a memory cell of a bit line from one or a corresponding one to facilitate An I / O-based memory configuration for a resistive memory cell array.

圖9示出了用於操作存儲器的一個示例方法900的流程圖。根據一個或多個本文所公開的其它實施例中陣列。在902,方法900可包括接收一組數據被編程為一個邏輯存儲塊的一個子集NAND或NOR的邏輯基於非易失性固態存儲器。在904,方法900可包括相互連接的存儲器,以各自的塊的子集的編程路徑那些一組寫接口。在906,方法900可包括寫入的數據集的存儲器中的塊的子集。在908,方法900可以包括接收第二組數據的八個或更少的位和的塊的子集的存儲單元的相應數存儲器來覆蓋所述第二組數據。此外,方法900,在910中,可以包括覆蓋八個或更少的比特來存 儲元件的對應數目的寫小於2。此外擴增,重寫可以包括保持所述子集設定寫入的存儲器中的塊的子集的其他存儲器單元的數據。中的至少一個實施例中,方法900可以包括相互連接的位線,與每一個相關聯的相應的存儲單元的數目和位於該塊的單獨的子塊存儲器,具有在輸入輸出接口的子集的相應的一個。在另一個實施例中,方法900可包括將正向極性編程電壓施加到每個連接的位線到的八個或更少的比特之一以通過所述第二組數據被編程為邏輯1。在其它實施例中,方法900可以包括施加反極性的擦除電壓到連接的八個或更少的比特之一的每個位線對由被編程為邏輯0第二組數據。 FIG. 9 illustrates a flowchart of an example method 900 for operating a memory. Arrays according to one or more other embodiments disclosed herein. At 902, method 900 may include receiving a set of data that is programmed as a subset of a logical memory block, NAND or NOR, logic based on non-volatile solid state memory. At 904, method 900 may include interconnecting the memory to a set of write interfaces to the programming paths of a subset of the respective blocks. At 906, method 900 may include a subset of the blocks in memory of the written data set. At 908, method 900 may include receiving a corresponding number of memory cells of a second subset of the memory unit of a subset of eight or fewer bits and blocks to cover the second set of data. In addition, method 900, in 910, may include covering eight or fewer bits to store The corresponding number of writes to the storage element is less than two. In addition to augmentation, rewriting may include data of other memory cells that maintain a subset of the blocks in the memory to which the subset settings are written. In at least one embodiment, the method 900 may include interconnected bit lines, the number of corresponding memory cells associated with each, and a separate sub-block memory located in the block, having a subset of the input-output interface. The corresponding one. In another embodiment, method 900 may include applying a forward polarity programming voltage to one of eight or fewer bits to each connected bit line to be programmed as a logic one through the second set of data. In other embodiments, method 900 may include applying a reverse polarity erase voltage to each bit line pair of one of eight or fewer bits connected by a second set of data programmed to logic zero.

為了提供背景,對於所公開的主題的各個方面的物,圖10,以及下面的討論中,本發明旨在提供一種簡單的,一般的一個合適的環境的描述,其中的各個方面公開的主題可以實現或處理。雖然本主題已經在上面已經描述半導體的架構和工藝方法製造或一般情況下操作這樣的體系結構,本領域的技術人員將認識到,本公開內容也可以與其它結構或過程的方法組合來實現。此外,本領域的技術人員將理解,所公開的過程可以是的處理系統或計算機的處理器,或者單獨或者內實施與主計算機,它可以包括單處理器或多處理器相結合計算機系統,小型計算設備,大型計算機,以及個人計算機,手持式計算設備(例如,PDA,智能手機,手錶),microprocessorbased或可編程消費或工業電子設備等。圖示方面也可以在分佈式計算環境中,任務是實施由通過通信網絡鏈接的遠程處理設備執行。然而,一些人來說,如果所要求保護的創新不是所有方面都可以在獨立的實施電子器件,諸如存儲卡,FLASH存儲器模塊,可移動存儲器,或類似物。在分佈式計算環境中,程序模塊可以位於兩個本地和遠程存儲器存儲 模塊或設備。 In order to provide background, for each aspect of the disclosed subject matter, FIG. 10 and the following discussion, the present invention aims to provide a simple, general description of a suitable environment, in which the disclosed subject matter of each aspect can Implementation or processing. Although the subject matter has been described above in terms of the semiconductor architecture and process methods of manufacturing or generally operating such an architecture, those skilled in the art will recognize that the present disclosure may also be implemented in combination with other methods of structure or process. In addition, those skilled in the art will understand that the disclosed process may be a processing system or a processor of a computer, or may be implemented alone or within a host computer, which may include a single processor or a combination of multiple processors computer systems, small Computing devices, mainframe computers, as well as personal computers, handheld computing devices (eg, PDAs, smartphones, watches), microprocessor-based or programmable consumer or industrial electronic devices, and the like. The illustrated aspect can also be implemented in a distributed computing environment where tasks are performed by remote processing devices linked through a communication network. However, for some, if not all aspects of the claimed innovation can be implemented independently in electronic devices such as memory cards, flash memory modules, removable memory, or the like. In a distributed computing environment, program modules can be located in two local and remote memory stores Module or device.

圖10示出了示例的操作和控制的方框圖。環境1000的存儲單元陣列1002根據本主題的各方面信息披露。在本主題公開的至少一個方面中,存儲單元陣列1002可包括各種存儲單元技術。特別是,存儲單元陣列1002可包括雙端存儲器如阻變存儲器單元,如所述。 FIG. 10 shows a block diagram of an example operation and control. The storage cell array 1002 of the environment 1000 is disclosed in accordance with various aspects of the subject matter. In at least one aspect of the subject disclosure, the memory cell array 1002 may include various memory cell technologies. In particular, the memory cell array 1002 may include a double-ended memory such as a resistive memory cell, as described.

列控制器1006可形成相鄰的存儲單元陣列1002。而且,列控制器1006可以電與位線的存儲器單元的耦合陣列1002列控制器1006可以控制相應的位線,運用合適的編程,擦除或讀出電壓,選擇位元線。 The column controller 1006 may form an adjacent memory cell array 1002. Moreover, the column controller 1006 can electrically couple the memory cells of the bit line to the array 1002. The column controller 1006 can control the corresponding bit line, use appropriate programming, erase or read voltage, and select the bit line.

此外,操作和控制環境1000可以包括排控制器1004行控制器1004可形成相鄰列控制器1006,和用的存儲單元陣列1002的行控制器1004罐的字線電連接。選擇與合適的選擇電壓的特定行的存儲單元的。此外,排控制器1004可以方便編程,擦除或通過施加合適的電壓,讀出操作在選定的字線。 In addition, the operation and control environment 1000 may include row controllers 1004, row controllers 1004 may form adjacent column controllers 1006, and word lines electrically connected with row controllers 1004 tanks of the memory cell array 1002. Select a specific row of memory cells with the appropriate selection voltage. In addition, the bank controller 1004 can be easily programmed, erased or read out on a selected word line by applying a suitable voltage.

時脈源極1008可以提供相應的時鐘脈衝,以便時機讀,寫,行控制1004和列控1006時鐘的程序操作源極1008可以進一步方便選擇字線或位線的響應於通過操作和控制環境1000的接收外部或內部命令輸入/輸出緩衝器1012可以連接到外部主機裝置,諸如計算機或其他處理設備(未示出)由I/O緩衝區或其他方式I/O通信接口。輸入/輸出緩衝器1012可以被配置為接收寫入數據,收到一個擦除指令,輸出讀出的數據,並且接收地址數據和命令數據,以及地址數據為各自的指令。地址的數據可以傳輸到行控制器1004和列控制器1006由地址寄存器1010另外,輸入數據通過信號輸入線傳遞到存儲單元陣列1002,和輸出數據是通過信號輸出線從存儲器單 元陣列1002接收。輸入數據可從主機裝置接收,並輸出數據可以經由被傳遞到主機設備I/O的緩衝區。 The clock source 1008 can provide corresponding clock pulses for timing read, write, row control 1004 and column control 1006 clock program operation source 1008 can further facilitate the selection of word line or bit line in response to the operation and control environment 1000 The receiving external or internal command input / output buffer 1012 may be connected to an external host device, such as a computer or other processing device (not shown) by an I / O buffer or other means of I / O communication interface. The input / output buffer 1012 may be configured to receive write data, receive an erase instruction, output read data, and receive address data and command data, and the address data are respective instructions. Address data can be transferred to the row controller 1004 and column controller 1006 by the address register 1010. In addition, input data is transferred to the memory cell array 1002 through signal input lines, and output data is transferred from the memory unit through signal output lines. The meta array 1002 receives. Input data can be received from the host device, and output data can be passed to the I / O buffer of the host device.

命令從主機裝置接收到可被提供給一個命令接口1014的命令接口1014可以被配置成接收外部控制來自主機裝置的信號,並確定是否有數據輸入到輸入/輸出緩衝器1012是寫入數據,命令或地址。輸入命令可被轉移到一個狀態機1016。 Command received from the host device. The command interface 1014 may be provided to a command interface 1014. The command interface 1014 may be configured to receive external control signals from the host device and determine whether data is input to the input / output buffer. Or address. Input commands can be transferred to a state machine 1016.

狀態機1016可以被配置為管理程序和的存儲單元陣列1002的狀態機1016的重新編程,從接收到的命令經由輸入/輸出接口1012和命令接口1014,與主機裝置管理著讀,寫,擦除,數據輸入,數據輸出,以及與相關聯的類似的功能存儲單元陣列1002在一些方面中,狀態機1016可發送和接收關於成功接收或執行確認和否定確認的各種命令。 The state machine 1016 can be configured to manage the reprogramming of the state machine 1016 of the program and the memory cell array 1002, from the received command via the input / output interface 1012 and the command interface 1014, to manage reading, writing, and erasing with the host device , Data input, data output, and associated similar function memory cell array 1002. In some aspects, the state machine 1016 may send and receive various commands regarding successful reception or execution of confirmations and negative confirmations.

為執行讀,寫,擦除,輸入,輸出等,功能,狀態機1016可以控制時鐘源極(S)1008控制時鐘源極(S)1008可引起輸出脈衝配置為便於行控制器1004和列控制器1006執行特定功能。輸出脈衝可以傳送到選定的位線通過柱控制器1006,舉例來說,或字線由行控制器1004,例如。 To perform read, write, erase, input, output, etc. functions, the state machine 1016 can control the clock source (S) 1008. Controlling the clock source (S) 1008 can cause the output pulses to be configured to facilitate row controller 1004 and column control. The controller 1006 performs a specific function. The output pulses can be delivered to selected bit lines through the column controller 1006, for example, or word lines by the row controller 1004, for example.

本發明的所說明的方面也可以在分佈式實施其中某些任務由遠程處理設備執行的計算環境的通過通信網絡被鏈接。在分佈式計算環境中,程序模塊或存儲的信息,指令,或類似的,可以位於本地或遠程存儲器存儲設備。 The illustrated aspects of the invention may also be linked over a communication network in a distributed implementation of a computing environment in which certain tasks are performed by a remote processing device. In a distributed computing environment, program modules or stored information, instructions, or similar, can be located in local or remote memory storage devices.

此外,可以理解的是,本文所描述的各種組件可以包括電電路(次),其可以包括部件和合適的值的電路元件為了實現本主題創新(次)的實施方案。此外,也可以是理解的是,許多不同的組件可以在一個或多 個集成電路芯片來實現。例如,在一個實施例中,一組元件可以在一個單一的集成電路芯片來實現。在其它實施例中,一個或多個相應的部件被製造或實施上獨立的IC芯片。 In addition, it can be understood that the various components described herein may include electrical circuits (secondary), which may include components and circuit elements of suitable values in order to implement the subject innovation (secondary) implementation. In addition, it is also understood that many different components can be implemented in one or more Integrated circuit chip to achieve. For example, in one embodiment, a group of components can be implemented on a single integrated circuit chip. In other embodiments, one or more corresponding components are manufactured or implemented on a separate IC chip.

在與圖11的連接,下面描述的系統和過程可內的硬件實施,如單個集成電路(IC)芯片中,多個集成電路,一個特殊應用集成電路(ASIC)或類似物。此外,為了在其中一些或所有的處理塊中出現的每個進程不應當被認為是限制性的。相反,它應理解的是,一些過程塊可以以各種命令,不執行所有的可在此明確說明。 In connection with FIG. 11, the systems and processes described below can be implemented in hardware, such as a single integrated circuit (IC) chip, multiple integrated circuits, an application specific integrated circuit (ASIC), or the like. Furthermore, each process that appears in some or all of its processing blocks should not be considered limiting. Instead, it should be understood that some process blocks can be executed in various commands and not all can be explicitly stated here.

參考圖11,一個合適的環境1100實施所要求保護的主題的各個方面包括計算機1102,計算機1102包括處理單元1104,系統存儲器1106,編解碼器1135,以及系統總線1108。系統總線1108的系統組件耦合,包括但不限於,系統存儲器1106到處理單元1104,處理單元1104可以是任何不同的可用的處理器。雙微處理器和其他多處理器體系結構也可以是可用作處理單元1104。 11, a suitable environment 1100 implements various aspects of the claimed subject matter including a computer 1102, which includes a processing unit 1104, a system memory 1106, a codec 1135, and a system bus 1108. The system components of the system bus 1108 are coupled, including, but not limited to, a system memory 1106 to a processing unit 1104. The processing unit 1104 may be any different available processor. Dual microprocessors and other multiprocessor architectures may also be used as the processing unit 1104.

系統總線1108可以是若干類型的總線結構,其中包括了的存儲器總線或存儲器控制器,外圍總線或外部總線,和/或使用的任何一種的局部總線各種可用的總線體系結構包括,但不限於,工業標準體系結構(ISA),微通道體系結構(MCA),擴展ISA(EISA),智能驅動器電子(IDE),VESA局部總線(VLB),外圍組件互連(PCI),卡總線,通用串行總線(USB),高級圖形端口(AGP),個人計算機存儲卡國際協會總線(PCMCIA),火線(IEEE1394),以及小型計算機系統接口(SCSI)。 The system bus 1108 may be several types of bus structures, including a memory bus or a memory controller, a peripheral bus or an external bus, and / or any of the local buses used. Various available bus architectures include, but are not limited to, Industry Standard Architecture (ISA), Micro Channel Architecture (MCA), Extended ISA (EISA), Intelligent Drive Electronics (IDE), VESA Local Bus (VLB), Peripheral Component Interconnect (PCI), Card Bus, Universal Serial Bus (USB), Advanced Graphics Port (AGP), Personal Computer Memory Card International Association Bus (PCMCIA), FireWire (IEEE1394), and Small Computer System Interface (SCSI).

系統存儲器1106包括易失性存儲器1110和非易失性存儲器 1112的基本輸入/輸出系統(BIOS),包含基本例程來傳輸在計算機1102內的元件之間的信息,諸如在啟動期間,被存儲在非易失性存儲器1112。此外,根據本創新,編解碼器1135可包括至少一個編碼器或解碼器,其中,所述編碼器或解碼器的至少一個可以由硬件,軟件或硬件和軟件的組合。雖然,編解碼器1135被描述為單獨的組件,編解碼器1135可包含在非易失性存儲器1112以說明的方式,而非限制,非易失性存儲器1112可包括只讀存儲器(ROM),可編程ROM(PROM),電可編程ROM(EPROM),電可擦除可編程ROM(EEPROM)或快閃存儲器。易失性存儲器1110包括隨機存取存儲器(RAM),其充當外部高速緩衝存儲器。根據本方面,所述非易失性存儲器可存儲的寫入操作的重試邏輯(未圖11)等所示。通過說明而非限制的方式,RAM以提供許多形式,如靜態RAM(SRAM),動態RAM(DRAM),同步DRAM(SDRAM),雙倍數據速率SDRAM(DDR SDRAM),和增強型SDRAM(ESDRAM)。 System memory 1106 includes volatile memory 1110 and non-volatile memory The basic input / output system (BIOS) of 1112 contains basic routines to transfer information between elements within the computer 1102, such as during startup, and is stored in the non-volatile memory 1112. Further, according to the present innovation, the codec 1135 may include at least one encoder or decoder, wherein at least one of the encoder or decoder may be made of hardware, software, or a combination of hardware and software. Although the codec 1135 is described as a separate component, the codec 1135 may be included in the non-volatile memory 1112 by way of illustration, and not limitation, the non-volatile memory 1112 may include read-only memory (ROM), Programmable ROM (PROM), electrically programmable ROM (EPROM), electrically erasable programmable ROM (EEPROM) or flash memory. The volatile memory 1110 includes a random access memory (RAM), which acts as an external cache memory. According to this aspect, the retry logic (not shown in FIG. 11) of the write operation that can be stored in the non-volatile memory is shown. By way of illustration and not limitation, RAM is provided in many forms, such as static RAM (SRAM), dynamic RAM (DRAM), synchronous DRAM (SDRAM), double data rate SDRAM (DDR SDRAM), and enhanced SDRAM (ESDRAM) .

計算機1102還可以包括可移動/不可移動,易失性/非易失性的計算機存儲介質。圖11示出,例如,磁盤存儲1114磁盤存儲1114包括,但不限於,諸如磁盤驅動器,固態盤(SSD)軟盤驅動器,磁帶驅動器,Jaz驅動器,Zip驅動器,LS-100驅動器,閃存卡,或記憶堅持。此外,磁盤存儲1114可單獨使用或組合包括存儲介質與其他存儲介質包括,但不限於,光盤驅動器,例如CD磁盤設備的ROM(CD-ROM),可記錄CD驅動器(CD-R驅動器),可擦寫光盤驅動器(CD-RW驅動器)或數字多功能盤ROM驅動器(DVD-ROM)。為便於磁盤的連接存儲設備1114到系統總線1108,可移動或不可移動接口是通常使用諸如接口1116可以理解,存儲設備1114可以存 儲有關的用戶信息。這樣的信息可以被存儲在或提供到服務器或在用戶設備上運行的應用程序。在一個實施例中,用戶可以被通知(例如,通過的信息的類型的輸出設備(S)1136),後者被存儲在磁盤存儲1114的方法和/或發送到服務器或應用程序。可以向用戶提供機會來選擇啟用或選擇退出有這樣的信息收集和/或與服務器或應用程序共享(例如,從輸入設備(S)1128輸入的方式)。 The computer 1102 may also include removable / non-removable, volatile / nonvolatile computer storage media. Figure 11 shows, for example, disk storage 1114 disk storage 1114 includes, but is not limited to, such as disk drives, solid state disk (SSD) floppy disk drives, tape drives, Jaz drives, Zip drives, LS-100 drives, flash memory cards, or memory adhere to. In addition, the disk storage 1114 may be used alone or in combination including storage media and other storage media including, but not limited to, optical disk drives, such as ROMs (CD-ROMs) of CD disk devices, recordable CD drives (CD-R drives), Erasing an optical disc drive (CD-RW drive) or digital versatile disc ROM drive (DVD-ROM). In order to facilitate the connection of the storage device 1114 to the system bus 1108, the removable or non-removable interface is commonly used, such as the interface 1116. It can be understood that the storage device 1114 can store Store information about users. Such information may be stored or provided to a server or an application running on a user device. In one embodiment, the user may be notified (eg, by the output device (S) 1136 of the type of information), which is stored in the disk storage 1114 method and / or sent to a server or application. The user may be provided the opportunity to opt in or opt out of having such information collected and / or shared with a server or application (eg, by entering from an input device (S) 1128).

但是應當理解的是,圖11描述了軟件,它作為一個在合適的操作說明用戶和基本的計算機資源之間的中介環境1100這樣的軟件包括操作系統1118。操作系統1118,可以存儲在磁盤存儲1114,其作用是控制和分配計算機資源系統1102應用1120乘虛而入資源通過操作管理系統1118通過程序模塊1124和程序數據1126,如引導/關機交易表等,存放或者在系統存儲器1106或磁盤存儲1114是可以理解,所要求保護的主題可以用各種操作系統中實現系統或操作系統的組合。 It should be understood, however, that FIG. 11 depicts software, which includes an operating system 1118 as software such as an intermediary environment 1100 between suitable operating instructions users and basic computer resources. The operating system 1118 can be stored in the disk storage 1114, and its role is to control and allocate computer resources. The system 1102 uses 1120 to multiply resources into the resource. It operates through the operation management system 1118 through program modules 1124 and program data 1126, such as boot / shutdown transaction tables. Or it can be understood in the system memory 1106 or the disk storage 1114 that the claimed subject matter can be implemented in various operating systems or a combination of operating systems.

用戶輸入的命令或信息輸入到計算機1102通過輸入設備(次)1128的輸入設備1128包括,但不限於,指示設備,如鼠標,軌跡球,指示筆,觸摸墊,鍵盤,話筒,操縱桿,遊戲墊,圓盤式衛星天線,掃描儀,TV調諧卡,數碼相機,數碼攝像機,網絡攝像頭等等。這些和其它輸入設備通過經由系統總線1108連接到處理單元1104接口端口1130接口端口1130包括,例如,一個串行端口,並行端口,遊戲端口,以及通用串行總線(USB)。輸出裝置(次)1136使用一些相同類型的端口作為輸入裝置(S)的1128因此,例如,USB端口可用於提供輸入至計算機1102和輸出信息從計算機1102向輸出設備1136輸出適配器1134被設置以說明存在一些輸出設備 1136等顯示器,揚聲器,和打印機以及其他輸出設備1136,這需要特殊的適配器。該輸出適配器1134包括,通過舉例說明的方式而不是限制,視頻卡和聲卡提供輸出設備1136和系統總線1108。這之間的連接裝置應當指出,其他設備和/或設備的系統提供輸入和輸出功能,如遠程計算機(S)1138。 Commands or information entered by the user are input to the computer 1102 via input devices (times) 1128. Input devices 1128 include, but are not limited to, pointing devices such as a mouse, trackball, stylus, touch pad, keyboard, microphone, joystick, game Pad, satellite dish, scanner, TV tuner card, digital camera, digital video camera, web camera, etc. These and other input devices are connected to the processing unit 1104 via the system bus 1108. The interface port 1130 includes, for example, a serial port, a parallel port, a game port, and a universal serial bus (USB). The output device (time) 1136 uses some of the same types of ports as the input device (S) 1128. Therefore, for example, a USB port can be used to provide input to the computer 1102 and output information from the computer 1102 to the output device 1136. The output adapter 1134 is set to illustrate There are some output devices 1136 monitors, speakers, and printers as well as other output devices 1136, which require special adapters. The output adapter 1134 includes, by way of illustration and not limitation, a video card and a sound card that provide an output device 1136 and a system bus 1108. The connection between these should indicate that other devices and / or systems of the device provide input and output functions, such as a remote computer (S) 1138.

計算機1102可以在網絡環境中使用的邏輯連接操作到一個或多個遠程計算機,如遠程計算機(次)1138的遠程計算機(次)1138可以是個人計算機,服務器,路由器,網絡PC,工作站,基於微處理器的設備,對等設備,智能手機,平板電腦或其他網絡節點,並且通常包括許多元件的描述相對於計算機1102的目的簡潔起見,只有存儲器存儲設備1140被示出與遠程計算機(次)1138。遠程計算機(S)1138通過一個網絡接口邏輯連接到電腦1102通過通訊接口(S)1144網絡接口11421142,然後連接包括有線和/或無線通信網絡,例如局域網(LAN)的和廣域網(WAN)和蜂窩網絡。LAN技術包括光纖分佈式數據接口(FDDI),銅分佈式數據接口(CDDI),以太網,令牌環等。WAN技術包括,但不限於,點對點鏈路,電路交換網,如綜合業務數字網(ISDN)及變體在其上分組交換網絡,以及數字用戶線(DSL)。 Computer 1102 can operate to one or more remote computers using logical connections in a network environment, such as remote computer (time) 1138. Remote computer (time) 1138 can be a personal computer, server, router, network PC, workstation, micro-based Processor devices, peer devices, smartphones, tablets or other network nodes, and often include many elements. Description relative to the purpose of computer 1102. Only the memory storage device 1140 is shown with a remote computer (second). 1138. The remote computer (S) 1138 is logically connected to the computer 1102 through a network interface, and the communication interface (S) 1144 is network interface 11421142, and then connected to include wired and / or wireless communication networks such as local area network (LAN) and wide area network (WAN) and cellular The internet. LAN technologies include Fiber Distributed Data Interface (FDDI), Copper Distributed Data Interface (CDDI), Ethernet, and Token Ring. WAN technologies include, but are not limited to, point-to-point links, circuit-switched networks, such as Integrated Services Digital Network (ISDN) and variants on which packet-switched networks, and digital subscriber lines (DSL).

通信連接(次)1144指的是硬件/軟件來網絡接口1142連接到總線1108。儘管通信連接1144被示出為清楚起見內部計算機1102中,它也可以是外部的計算機1102。需要用於連接到網絡接口1142包括,硬件/軟件僅出於示例性目的,內部和外部技術,諸如,調製解調器,包括常規電話級調製解調器,電纜調製解調器和DSL調製解調器,ISDN適配器,以及有 線和無線以太網卡,集線器和路由器。 Communication connection (secondary) 1144 refers to hardware / software to connect network interface 1142 to bus 1108. Although the communication connection 1144 is shown in the internal computer 1102 for clarity, it may also be an external computer 1102. Required for connecting to the network interface 1142 includes hardware / software for exemplary purposes only, internal and external technologies such as modems, including conventional telephone-grade modems, cable modems and DSL modems, ISDN adapters, and there are Wire and wireless Ethernet cards, hubs and routers.

如本文中所使用的,術語“組件”,“系統”,“架構”等是旨在指計算機或電子相關的實體,或者是硬件的組合,硬件和軟件,軟件(例如,執行中的),或固件。例如,一個組件可以是一個或更多個晶體管,存儲單元,晶體管或存儲器單元的佈置中,門陣列,可編程門陣列,專用集成電路,控制器,一處理器,所述處理器,對象,可執行程序,程序或應用程序上運行的進程訪問或使用半導體存儲器中,計算機或類似物,或合適的接口它們的組合。該組件可以包括可擦除編程(例如,過程至少部分地指示存儲在可擦寫存儲器)或硬編程(例如,過程在製造燒入不可擦除存儲器中的指令)。 As used herein, the terms "component", "system", "architecture", etc. are intended to refer to a computer or electronic related entity, or a combination of hardware, hardware and software, software (eg, in execution), Or firmware. For example, a component may be one or more transistors, memory cells, transistors or memory cells in an arrangement, a gate array, a programmable gate array, an application specific integrated circuit, a controller, a processor, the processor, an object, An executable program, program or process running on an application program accesses or uses a semiconductor memory, a computer or the like, or a combination of suitable interfaces. The component may include erasable programming (e.g., the process indicates, at least in part, stored in erasable memory) or hard programming (e.g., the process creates instructions that burn into non-erasable memory).

通過舉例說明的方式,在處理來自存儲器和處理器執行都可以是組件。作為另一個例子,一個體系結構可以包括佈置電子硬件(例如,並行或串行晶體管),處理指令以及處理器,該實施方式的處理指令適合的佈置電子硬件。此外,架構可包括單個組件(例如,一個晶體管,門陣列,...)或組分(排列如串聯或並聯晶體管的結構中,門陣列與程序電路,電源引線,電氣連接地,輸入信號線和輸出信號線,依此類推)。一個系統可以包括一個或多個組分以及一種或多種結構。一個示例性系統可以包括一個開關塊結構,其包含跨過輸入/輸出線和傳輸門晶體管,以及動力源(S),信號發生器(S),通信總線(SES),控制器,I/O接口,地址寄存器,等等。但是應當理解的是,在定義一些重疊預期,以及一個架構或系統可以是一個獨立部件,或另一種架構的組件,系統等。 By way of illustration, the processing from memory and processor execution can be components. As another example, an architecture may include arranging electronic hardware (eg, parallel or serial transistors), processing instructions, and a processor. The processing instructions of this embodiment are suitable for arranging electronic hardware. In addition, the architecture may include individual components (e.g., a transistor, a gate array, ...) or components (arranged in a structure such as a series or parallel transistor, the gate array and program circuits, power leads, electrical connection ground, input signal lines And output signal lines, and so on). A system may include one or more components and one or more structures. An exemplary system may include a switch block structure that includes input / output lines and pass gate transistors, as well as a power source (S), signal generator (S), communication bus (SES), controller, I / O Interface, address register, etc. It should be understood, however, that in defining some overlapping expectations, and that an architecture or system can be a separate component, or a component, system, etc. of another architecture.

除了上述之外,本公開的主題可以被實現為方法,裝置或製 造的用典型的製造,編程或工程技術來產生硬件,固件,軟件,或任何適當的組合物品它們的控制,以實現所公開的主題的電子裝置。條款“裝置”和“製品”,其中本文使用意在包含一個電子設備,半導體設備,計算機或計算機程序的訪問任何計算機可讀設備,載體或介質。計算機可讀介質可以包括硬件介質或軟件介質。此外,該介質可以包括非臨時性的媒體,或傳輸介質。在一個實例中,非短暫性媒介可包括計算機可讀的硬件媒體。計算機可讀介質的硬件的具體實例可包括但不限於於磁存儲設備(例如,硬盤,軟盤,磁條...),光盤(例如,緊湊盤(CD),數字多功能盤(DVD)...),智能卡,以及閃存設備(例如,卡,棒,鍵驅動器...)。計算機可讀傳輸介質可包括載波,或等。當然,本領域的技術人員將認識到許多變型中,可以向本配置而不脫離本公開的主題的範圍或精神。 In addition to the above, the subject matter of the present disclosure may be implemented as a method, an apparatus, or a system. An electronic device manufactured using typical manufacturing, programming, or engineering techniques to produce hardware, firmware, software, or any suitable combination of items to implement the disclosed subject matter. The terms "apparatus" and "article of manufacture", as used herein, are intended to include an electronic device, semiconductor device, computer or computer program to access any computer-readable device, carrier or medium. Computer-readable media can include hardware media or software media. In addition, the media may include non-transitory media, or transmission media. In one example, non-transitory media may include computer-readable hardware media. Specific examples of hardware of the computer-readable medium may include, but are not limited to, magnetic storage devices (for example, hard disks, floppy disks, magnetic stripe ...), optical disks (for example, compact disks (CD), digital versatile disks (DVD). ..), smart cards, and flash devices (for example, cards, sticks, key drives ...). Computer-readable transmission media may include carrier waves, or the like. Of course, those skilled in the art will recognize that many variations can be made to the present configuration without departing from the scope or spirit of the subject matter of the present disclosure.

以上所描述的包括本發明的實施例。它是當然,不可能描述組件的所有可能的組合或方法為了描述本主題創新的,但普通技術人員在該一個技術人員可認識到,許多進一步的組合本主題創新的和排列是可能的。因此,所公開的主題旨在包括所有這些變更,修改和變型落入本發明的精神和範圍內。此外,該術語“包括”的程度,“包含”,“具有”或“具有”及其變體的使用在詳細描述或權利要求書中來說,此術語旨在是包容性的方式類似於術語“包括”作為“包含”時,作為過渡所解釋範圍。 What has been described above includes embodiments of the invention. It is, of course, impossible to describe all possible combinations or methods of components in order to describe the subject innovation, but one of ordinary skill in the art can recognize that many further combinations of the subject innovation and permutation are possible. Accordingly, the disclosed subject matter is intended to include all such alterations, modifications, and variations which fall within the spirit and scope of the invention. Furthermore, the extent to which the term "includes", "includes", "has" or "has" and its variants is used in the detailed description or claims, this term is intended to be inclusive in a manner similar to the term When "including" is used as "including," the scope is interpreted as a transition.

此外,詞語“示範性”在本文中用於表示用作示例,實例或說明。本文中描述為“示範性”的任何方面或設計並不一定應當被解釋為優於或勝過其他方面或設計。相反,使用的字示例性旨在以具體方式呈現概念。如在本申請中,術語“或”意在表示包含性“或”而不是排他性“或”。即,除非 另外指定,或者從上下文清楚,“X使用A或B”意指任何的自然包括性排列。也就是說,如果X使用A;X使用B;或X採用A和B,則“X使用A或B”是在任何以上實例都滿足。此外,冠詞“一”和“一個”用在本申請和所附權利要求書一般應解釋為是指“一個或多個”,除非另有指定或從上下文可以清楚指以單數形式。 Furthermore, the word "exemplary" is used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as "exemplary" should not necessarily be construed as superior to or superior to other aspects or designs. Rather, the words used are exemplary to present concepts in a concrete manner. As used in this application, the term "or" is intended to mean an inclusive "or" rather than an exclusive "or". That is, unless As otherwise specified, or clear from the context, "X uses A or B" means any natural inclusive arrangement. That is, if X uses A; X uses B; or X uses A and B, then "X uses A or B" is satisfied in any of the above examples. Furthermore, the articles "a" and "an" as used in this application and the appended claims should generally be construed to mean "one or more" unless specified otherwise or clear from context to be directed to a singular form.

此外,的詳細描述中的一些部分已在呈現職權範圍內對電子存儲數據位的算法或過程的操作。這些過程描述或表示是那些認識到在現有技術中採用的機制有效地傳達其工作的他人同樣熟練的物質。進程是在這裡,通常被認為是導致期望結果的行為的自洽序列。行為是物理量的那些需要物理操縱。典型地,雖然不必須的,這些量採用電和/或磁信號經過的能力的形式存儲,傳送,組合,比較,和/或以其他方式操縱。 In addition, some parts of the detailed description have been performed on algorithms or processes for electronically storing data bits within the scope of presentation authority. These process descriptions or representations are equally proficient for others who recognize that the mechanisms employed in the prior art effectively convey their work. Process is here, and is usually considered a self-consistent sequence of behaviors leading to the desired result. Those whose behavior is a physical quantity require physical manipulation. Typically, although not necessarily, these quantities are stored, transmitted, combined, compared, and / or otherwise manipulated in the form of the ability of electrical and / or magnetic signals to pass through.

他被已經證明,主要是為了通用的原因,將參考這些信號稱為比特,值,元素,符號,字符,術語,數字,或諸如此類。它應該但是記住,所有這些和類似的術語將與相關聯的適當的物理量,並且僅是應用於這些量的方便的標籤。除非特別聲明,否則或表觀從前面的討論中,應當理解在整個公開的主題,討論利用諸如處理,計算,複製,模仿,確定或發送等,指的是動作和處理系統的過程,和/或類似的消費者或工業電子設備或機,該操縱或變換表示為物理數據或信號(電或電子)的電子設備(S),進入的電路,寄存器或存儲器中的數量其它數據信號類似地表示為物理量的機器或計算機內系統存儲器或寄存器或其它這種信息存儲,傳輸和/或顯示設備。 He has been shown to refer to these signals as bits, values, elements, symbols, characters, terms, numbers, or the like, mainly for general reasons. It should but remember that all these and similar terms will be associated with the appropriate physical quantities and are merely convenient labels applied to these quantities. Unless specifically stated otherwise, or apparent from the foregoing discussion, it should be understood throughout the disclosed subject matter that discussion of the use of processes such as processing, computing, copying, mimicking, determining or sending, etc., refers to the processes of action and processing systems, and / Or similar consumer or industrial electronic equipment or machines, the manipulation or transformation of electronic equipment (S) represented as physical data or signals (electrical or electronic), the number of incoming circuits, registers or memories, and other data signals are similarly represented System memory or register or other such information storage, transmission and / or display device in a physical quantity machine or computer.

在關於由上述組件執行的各種功能,體系結構,電路,處理 和類似的術語(包括提及的“裝置”),用於描述這些組件的目的是對應的,除非另有說明,任何組件,它執行所描述組件的指定功能(例如,功能性等效),即使結構上不等同於所公開的結構,其執行函數中的實施例的本文所說明的示範性方面的問題。另外,同時特定特徵可能已經公開僅相對於若干實現中的一個,這樣的特徵可以與其他實現的一個或多個其它特徵相結合可能期望和有利的任何給定或特定的應用程序。它也將是認識到,實施例包括一種系統以及一種計算機可讀介質具有用於進行各種動作和/或事件的計算機可執行指令流程。 About the various functions, architectures, circuits, processes performed by the above components And similar terms (including the reference to "means") used to describe these components for a corresponding purpose, unless otherwise stated, any component that performs a specified function (e.g., a functional equivalent) of the described component, Even if the structure is not identical to the disclosed structure, the implementation aspect of the embodiments in the function described herein is a matter of exemplary aspects. In addition, while a particular feature may have been disclosed only with respect to one of several implementations, such a feature may be combined with one or more other features of other implementations for any given or particular application that may be desirable and advantageous. It will also be recognized that embodiments include a system and a computer-readable medium having a flow of computer-executable instructions for performing various actions and / or events.

Claims (19)

一種固態非揮發性記憶體儲存裝置,用以通信連接至一計算機裝置,包含:複數雙端記憶體單元之一陣列,係配置為可配合複數條字線及位元線操作;以及一記憶體控制器,用以從該計算機裝置接收一組資料並存取該些雙端記憶體單元之至少一子集合,該子集合包含相同或少於該些雙端記憶體元件之一頁,以及用以寫入該組資料至該些雙端記憶體單元之該子集合並覆寫一存在之資料於該些雙端記憶體單元之該子集合,其中該記憶體控制器用以覆寫該存在之資料無需抹除一實體位置區域來儲存該存在之資料,提供該固態非揮發性記憶體儲存裝置一寫入放大等於1。A solid-state non-volatile memory storage device for communication connection to a computer device includes: an array of a plurality of double-ended memory cells, which is configured to operate with a plurality of word lines and bit lines; and a memory A controller for receiving a set of data from the computer device and accessing at least a subset of the double-ended memory units, the subset containing the same or less than one page of the double-ended memory elements, and using Writing the set of data to the subset of the double-ended memory units and overwriting an existing data to the subset of the double-ended memory units, wherein the memory controller is used to overwrite the existing The data does not need to erase a physical location area to store the existing data, and the write amplification of the solid non-volatile memory storage device is equal to 1. 如請求項1所述之固態非揮發性記憶體儲存裝置,其中該計算機裝置包含一記憶體儲存裝置及用以控制該記憶體儲存裝置之一主控制器。The solid-state non-volatile memory storage device according to claim 1, wherein the computer device includes a memory storage device and a main controller for controlling the memory storage device. 如請求項2所述之固態非揮發性記憶體儲存裝置,更包含一第一區域字線,係連接於該些雙端記憶體單元之該子集合之一雙端記憶體單元的第一終端,以及一第二區域字線連接於該些雙端記憶體單元之該子集合之一第二雙端記憶體單元,該第一區域字線及該第二區域字線係藉由該複數條字線之其中一條字線來啟動。The solid-state non-volatile memory storage device according to claim 2, further comprising a first area word line connected to a first terminal of a dual-end memory unit connected to a subset of the dual-end memory units. And a second regional word line connected to a second dual-end memory cell of the subset of the dual-end memory cells, the first regional word line and the second regional word line being through the plurality of One of the word lines is activated. 如請求項3所述之固態非揮發性記憶體儲存裝置,其中啟動該區域字線促使於該些雙端記憶體單元之該子集合之該雙端記憶體單元寫入該組資料之一部分及覆寫該存在之資料之一部分。The solid-state non-volatile memory storage device according to claim 3, wherein activating the area word line causes the double-ended memory unit of the subset of the double-ended memory units to write a part of the set of data and Overwrite part of the information that exists. 如請求項1所述之固態非揮發性記憶體儲存裝置,其中該子集合包含8個或更少的雙端記憶體單元。The solid-state non-volatile memory storage device according to claim 1, wherein the subset includes 8 or less double-ended memory units. 如請求項1所述之固態非揮發性記憶體儲存裝置,其中該子集合包含單一個雙端記憶體單元。The solid-state non-volatile memory storage device according to claim 1, wherein the subset comprises a single double-ended memory unit. 如請求項1所述之固態非揮發性記憶體儲存裝置,更包含:一組輸入輸出介面;一組區域字線,至少部分藉由該複數條字線其中之一控制;以及一多工元件,用以配合一記憶體操作選擇性地將雙端記憶體元件群組之各個雙端記憶體單元與該組輸入輸出介面之各個輸入輸出介面介接。The solid-state non-volatile memory storage device according to claim 1, further comprising: a set of input-output interfaces; a set of regional word lines controlled at least in part by one of the plurality of word lines; and a multiplexing element Is used to selectively connect each double-ended memory unit of the double-ended memory element group with each input-output interface of the set of input-output interfaces in cooperation with a memory operation. 如請求項7所述之固態非揮發性記憶體儲存裝置,其中該雙端記憶體單元群組包含耦接於該組區域字線之不同區域字線的至少兩個雙端記憶體單元。The solid-state non-volatile memory storage device according to claim 7, wherein the double-ended memory cell group includes at least two double-ended memory cells coupled to different regional word lines of the set of regional word lines. 如請求項8所述之固態非揮發性記憶體儲存裝置,其中該多工元件配合該記憶體操作將耦接至該組區域字線之一第一區域字線之該群組之一第一雙端記憶體單元連接至該組輸入輸出介面之一第一輸入輸出介面,以及將耦接至該組區域字線之一第二區域字線之該群組之一第二雙端記憶體單元連接至該組輸入輸出介面之一第二輸入輸出介面。The solid-state non-volatile memory storage device according to claim 8, wherein the multiplexing element cooperates with the memory operation to be coupled to one of the first set of regional word lines and the first one of the groups in the group. The double-ended memory unit is connected to a first input-output interface of the set of input-output interfaces, and a second double-ended memory unit of the group that is to be coupled to one of the set of regional word lines and the second regional word line. Connected to one of the two I / O interfaces. 如請求項7所述之固態非揮發性記憶體儲存裝置,其中:該記憶體控制器用以從在該組區域字線之一子集合之各個區域字線上的一雙端記憶體單元選擇該雙端記憶體單元群組之各個雙端記憶體單元;以及該多工元件配合該記憶體操作促使在該雙端記憶體單元群組之該組區域字線之該子集合之各區域字線之該雙端記憶體單元與該組輸入輸出介面之各輸入輸出介面互連。The solid-state non-volatile memory storage device according to claim 7, wherein: the memory controller is configured to select the dual-end memory unit from a pair of memory cells on each of the regional word lines on a subset of the set of regional word lines. Each dual-end memory cell of the end-memory cell group; and the multiplexing element cooperates with the memory operation to cause each of the regional word lines of the subset of the set of area-word lines of the dual-end memory cell group to The double-ended memory unit is interconnected with each input-output interface of the set of input-output interfaces. 如請求項7所述之固態非揮發性記憶體儲存裝置,其中該多工元件促使該雙端記憶體單元群組其中之一與該組輸入輸出介面其中之一互連,並同時不連接或抑制該群組之其餘雙端記憶體單元與該組輸入輸出介面集合。The solid-state non-volatile memory storage device according to claim 7, wherein the multiplexing component causes one of the double-ended memory cell groups to be interconnected with one of the input-output interfaces and is not connected or Inhibits the remaining dual-end memory units in the group and the set of input-output interfaces. 如請求項11所述之固態非揮發性記憶體儲存裝置,其中該記憶體控制器更用以寫入或覆寫該雙端記憶體單元群組之該其中之一而不寫入或覆寫該群組之該其餘雙端記憶體單元。The solid-state non-volatile memory storage device according to claim 11, wherein the memory controller is further configured to write or overwrite one of the dual-end memory cell groups without writing or overwriting The remaining double-ended memory units of the group. 一種製造雙端記憶體陣列的方法,包含:對應於一基板上的複數條字線及複數條位元線產生多個雙端記憶體單元之一陣列;在該複數條字線之單一條字線上,連接一組區域字線之各區域字線至各雙端記憶體單元群組;提供一組輸入輸出介面,用以同時供應電力至複數條該位元線或複數條該字線;以及提供一記憶體控制器,用以接收一組資料並直接覆寫該組資料至複數個雙端記憶體單元相同或小於該雙端記憶體單元之單一群組。A method for manufacturing a double-ended memory array includes: generating an array of a plurality of double-ended memory cells corresponding to a plurality of word lines and a plurality of bit lines on a substrate; and a single word on the plurality of word lines On the line, each regional word line of a group of regional word lines is connected to each pair of double-ended memory cell groups; a set of input and output interfaces are provided for supplying power to a plurality of the bit lines or a plurality of the word lines at the same time; and A memory controller is provided for receiving a set of data and directly overwriting the set of data to a single group of a plurality of double-ended memory units which are the same or smaller than the double-ended memory units. 如請求項13所述之方法,更包含將該多個雙端記憶體單元、該複數條字線及該複數條位元線排列於一NAND或NOR記憶體介面或架構。The method according to claim 13, further comprising arranging the plurality of double-ended memory cells, the plurality of word lines, and the plurality of bit lines in a NAND or NOR memory interface or architecture. 如請求項13所述之方法,更包含提供一多工解碼器用以選擇性地連接或不連接該複數條位元線或該複數條字線之子集合至該輸入輸出介面之子集合。The method according to claim 13, further comprising providing a multiplexing decoder for selectively connecting or disconnecting the plurality of bit lines or a subset of the plurality of word lines to a subset of the input / output interface. 如請求項15所述之方法,更包含配置該多工解碼器以便於選擇性地將該位元線中單一條與該輸入輸出介面集合中單一個互連,以便於寫入或覆寫不高於該多個雙端記憶體單元中的單一個。The method according to claim 15, further comprising configuring the multiplexing decoder to selectively selectively interconnect a single line in the bit line with a single line in the input-output interface set, so as to facilitate writing or overwriting. Higher than a single one of the multiple double-ended memory units. 如請求項15所述之方法,更包含:配置該多工解碼器以便於選擇性地將複數條該位元線與該組輸入輸出介面所對應之一子集合互連,該複數條位元線包含由該陣列中各多個位元線子集合中所選擇之一位元線;以及配置該記憶體控制器以同時讀取、寫入、抹除或覆寫至少一雙端記憶體單元在各該複數條位元線。The method according to claim 15, further comprising: configuring the multiplexing decoder to selectively interconnect a plurality of the bit lines with a corresponding subset of the set of input / output interfaces, the plurality of bits The line includes a bit line selected from each of a plurality of bit line sub-sets in the array; and the memory controller is configured to simultaneously read, write, erase, or overwrite at least one double-end memory cell Each of the plurality of bit lines. 一種操作一固態非揮發性記憶體之方法,包含:接收基於非揮發性固態記憶體被編程至一邏輯NAND或NOR之記憶體之一區塊之一子集合之一組資料;將該記憶體區塊之該子集合的編程路徑互連至各組寫入介面;寫入該組資料至該記憶體區塊之該子集合;接收8位元或更少位元的第二組資料及該記憶體區塊之該子集合之對應的複數個記憶體單元,用以覆寫該第二組資料;以及當維持該組資料之該子集合寫入至該記憶體區塊之該子集合的其他記憶體單元時,覆寫該8位元或更少位元至具有一寫入放大等於1的該對應的複數個記憶體單元。A method for operating a solid non-volatile memory, comprising: receiving a group of data based on a non-volatile solid-state memory that is programmed into a logical NAND or NOR block of a block of memory; the memory The programming path of the sub-set of the block is interconnected to each set of writing interfaces; writing the set of data to the sub-set of the memory block; receiving a second set of data of 8 bits or less and the A plurality of memory cells corresponding to the subset of the memory block to overwrite the second set of data; and when the subset of the set of data is maintained is written to the subset of the memory block For other memory units, the 8-bit or less bits are overwritten to the corresponding plurality of memory units having a write amplification equal to 1. 如請求項18所述之方法,其中覆寫該8位元或更低位元更包含:互連一位元線與該輸入輸出介面之一組之各輸入輸出介面,該位元線係與該對應的複數個記憶體單元中的每一條以及位於該記憶體區塊之分開的子區塊之間有關;施加一正向極性編程電壓至耦接於該8位元或更少位元其中之一的每條位元線,用以被該第二組資料編程為邏輯1;以及施加一反向極性抹除電壓至耦接於該8位元或更少位元其中之一的每條位元線,用以被該第二組資料編程為邏輯0。The method according to claim 18, wherein overwriting the 8-bit or lower bit further comprises: interconnecting a bit line with each input-output interface of a group of the input-output interface, the bit line is related to the Each of the corresponding plurality of memory cells is related to separate sub-blocks located in the memory block; a positive polarity programming voltage is applied to one of the 8-bit or less bits Each bit line of one is used to be programmed as a logic one by the second set of data; and a reverse polarity erase voltage is applied to each bit coupled to one of the eight bits or less A meta-line is used to program a logic 0 to the second set of data.
TW103109550A 2013-03-14 2014-03-14 Non-volatile memory with overwrite capability and low write amplification TWI629683B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361785979P 2013-03-14 2013-03-14
US61/785,979 2013-03-14
US13/952,467 US9576616B2 (en) 2012-10-10 2013-07-26 Non-volatile memory with overwrite capability and low write amplification
US13/952,467 2013-07-26

Publications (2)

Publication Number Publication Date
TW201514995A TW201514995A (en) 2015-04-16
TWI629683B true TWI629683B (en) 2018-07-11

Family

ID=50287848

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103109550A TWI629683B (en) 2013-03-14 2014-03-14 Non-volatile memory with overwrite capability and low write amplification

Country Status (3)

Country Link
EP (1) EP2779174B1 (en)
CN (1) CN104050998B (en)
TW (1) TWI629683B (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8860117B2 (en) 2011-04-28 2014-10-14 Micron Technology, Inc. Semiconductor apparatus with multiple tiers of memory cells with peripheral transistors, and methods
US10254969B2 (en) * 2016-05-13 2019-04-09 Seagate Technology Llc Data refresh in flash memory
US10074430B2 (en) * 2016-08-08 2018-09-11 Micron Technology, Inc. Multi-deck memory device with access line and data line segregation between decks and method of operation thereof
KR20190055660A (en) * 2017-11-15 2019-05-23 에스케이하이닉스 주식회사 cross-point array device and method of fabricating the same
US11450381B2 (en) 2019-08-21 2022-09-20 Micron Technology, Inc. Multi-deck memory device including buffer circuitry under array
CN112825054B (en) * 2019-11-21 2023-09-05 杭州海康威视数字技术股份有限公司 Data processing method and electronic equipment
CN111583976B (en) * 2020-05-11 2022-09-13 群联电子股份有限公司 Data writing method, memory control circuit unit and memory storage device
CN113325040B (en) * 2021-05-28 2022-05-13 中国农业大学 Sensing and computing integrated micro-nano electronic device and preparation method thereof

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6816405B1 (en) * 2003-06-02 2004-11-09 International Business Machines Corporation Segmented word line architecture for cross point magnetic random access memory
US6871260B2 (en) * 2002-07-24 2005-03-22 C-One Technology Corporation Non-volatile memory based storage system capable of directly overwriting without using redundancy and its writing method
US20070025144A1 (en) * 2005-07-29 2007-02-01 International Business Machines Corporation Write operations for phase-change-material memory
US20070035990A1 (en) * 2005-08-15 2007-02-15 Micron Technology, Inc. Method and apparatus providing a cross-point memory array using a variable resistance memory cell and capacitance
US20090141567A1 (en) * 2007-11-09 2009-06-04 Kwang Jin Lee Semiconductor device having memory array, method of writing, and systems associated therewith
US7567471B2 (en) * 2006-12-21 2009-07-28 Intel Corporation High speed fanned out system architecture and input/output circuits for non-volatile memory
US20090268513A1 (en) * 2008-04-29 2009-10-29 Luca De Ambroggi Memory device with different types of phase change memory
US20100118587A1 (en) * 2008-11-12 2010-05-13 Seagate Technology Llc Resistive sense memory array with partial block update capability
US20120087169A1 (en) * 2010-10-07 2012-04-12 Crossbar, Inc. Circuit for concurrent read operation and method therefor
US20120173795A1 (en) * 2010-05-25 2012-07-05 Ocz Technology Group, Inc. Solid state drive with low write amplification

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6871260B2 (en) * 2002-07-24 2005-03-22 C-One Technology Corporation Non-volatile memory based storage system capable of directly overwriting without using redundancy and its writing method
US6816405B1 (en) * 2003-06-02 2004-11-09 International Business Machines Corporation Segmented word line architecture for cross point magnetic random access memory
US20040240265A1 (en) * 2003-06-02 2004-12-02 International Business Machines Corporation Segmented word line architecture for cross point magnetic random access memory
US20070025144A1 (en) * 2005-07-29 2007-02-01 International Business Machines Corporation Write operations for phase-change-material memory
US20070035990A1 (en) * 2005-08-15 2007-02-15 Micron Technology, Inc. Method and apparatus providing a cross-point memory array using a variable resistance memory cell and capacitance
US7567471B2 (en) * 2006-12-21 2009-07-28 Intel Corporation High speed fanned out system architecture and input/output circuits for non-volatile memory
US20090141567A1 (en) * 2007-11-09 2009-06-04 Kwang Jin Lee Semiconductor device having memory array, method of writing, and systems associated therewith
US20090268513A1 (en) * 2008-04-29 2009-10-29 Luca De Ambroggi Memory device with different types of phase change memory
US20100118587A1 (en) * 2008-11-12 2010-05-13 Seagate Technology Llc Resistive sense memory array with partial block update capability
US20120173795A1 (en) * 2010-05-25 2012-07-05 Ocz Technology Group, Inc. Solid state drive with low write amplification
US20120087169A1 (en) * 2010-10-07 2012-04-12 Crossbar, Inc. Circuit for concurrent read operation and method therefor

Also Published As

Publication number Publication date
CN104050998A (en) 2014-09-17
TW201514995A (en) 2015-04-16
EP2779174B1 (en) 2020-06-10
CN104050998B (en) 2019-09-03
EP2779174A1 (en) 2014-09-17

Similar Documents

Publication Publication Date Title
TWI629683B (en) Non-volatile memory with overwrite capability and low write amplification
US9576616B2 (en) Non-volatile memory with overwrite capability and low write amplification
TWI607441B (en) Nand array comprising parallel transistor and two-terminal switching device
US10741245B2 (en) Resistive memory device and resistive memory system including a plurality of layers, and method of operating the system
TWI616009B (en) Resistive memory cell with intrinsic current control
KR102511459B1 (en) Non-volatile memory device and method of operating the same
US9600410B1 (en) ReRAM based NAND like architecture with configurable page size
US9971545B1 (en) Non-volatile write and read cache for storage media
US9524210B1 (en) Separating bits represented by a MLC in connection with ECC
US9734011B1 (en) Two-terminal memory set features type mechanisms enhancements
US10248333B1 (en) Write distribution techniques for two-terminal memory wear leveling
US9286160B2 (en) System and method for phase change memory with erase flag cells
TW201444131A (en) Resistive random access memory with non-linear current voltage relationship
JP7214793B2 (en) Power-off restoration in cross-point memory with threshold-switching selectors
US10169128B1 (en) Reduced write status error polling for non-volatile resistive memory device
US20220113892A1 (en) Multi-level memory programming and readout
US10409714B1 (en) Logical to physical translation for two-terminal memory
US20230260573A1 (en) Spike based programming of a memory cell to reset state
US20220180930A1 (en) Binary to ternary convertor for multilevel memory
US11456033B2 (en) Dedicated commands for memory operations
US20200082883A1 (en) Dedicated commands for memory operations
KR102157360B1 (en) Memroy Device and Memroy Cell Array
CN107403866B (en) Non-stoichiometric resistive switching memory device and method of manufacture
US9245622B1 (en) Pre-conditioning two-terminal memory for increased endurance
US20230343384A1 (en) Nor gate based local access line deselect signal generation