TWI627676B - Void free tungsten fill in different sized features - Google Patents

Void free tungsten fill in different sized features Download PDF

Info

Publication number
TWI627676B
TWI627676B TW103125515A TW103125515A TWI627676B TW I627676 B TWI627676 B TW I627676B TW 103125515 A TW103125515 A TW 103125515A TW 103125515 A TW103125515 A TW 103125515A TW I627676 B TWI627676 B TW I627676B
Authority
TW
Taiwan
Prior art keywords
features
tungsten
processing
feature
deposition
Prior art date
Application number
TW103125515A
Other languages
Chinese (zh)
Other versions
TW201519317A (en
Inventor
阿南德 嘉德瑞什卡
拉許納 胡瑪雲
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201519317A publication Critical patent/TW201519317A/en
Application granted granted Critical
Publication of TWI627676B publication Critical patent/TWI627676B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

本文提供將鎢沉積至在一基板上之不同尺寸的特徵部中之方法。該方法包含沉積一第一主體鎢層至該等特徵部中、蝕刻該受沉積之鎢、沉積一第二主體鎢、此係被中斷以在較小特徵部被完全填充後處理鎢、以及在沉積較小、較光滑之鎢晶粒於大特徵部中之處理後,繼續第二主體層的沉積。該方法亦包括在沉積-蝕刻-沉積的數個循環中沉積鎢,其中每一循環以一組相似尺寸的特徵部為目標,使用針對該組之蝕刻化學品;以及從最小尺寸之特徵部至最大尺寸之特徵部進行沉積。使用本文所述的方法之沉積產生較小、較平滑的晶粒,其係使用無間隙填充物於基板內之大範圍的尺寸之特徵部。Methods are provided herein for depositing tungsten into features of different sizes on a substrate. The method includes depositing a first body tungsten layer into the features, etching the deposited tungsten, depositing a second body tungsten, the system is interrupted to process the tungsten after the smaller features are completely filled, and After the smaller, smoother tungsten grains are deposited in the large features, the deposition of the second body layer continues. The method also includes depositing tungsten in several cycles of deposition-etch-deposition, where each cycle targets a group of features of similar size and uses etching chemicals for that group; and from the smallest feature to The largest feature is deposited. Deposition using the methods described herein produces smaller, smoother grains, which are features with a wide range of sizes within the substrate using gap-free fillers.

Description

於不同尺寸特徵部內之無空穴鎢填充物Hole-free tungsten filler in different size features

本發明關於於不同尺寸特徵部內之無空穴鎢填充物。The present invention relates to void-free tungsten fillers in features of different sizes.

使用化學氣相沉積(CVD)技術進行含鎢材料之沉積為許多半導體製造程序中不可或缺之部分。這些材料可用於水平內連線、鄰近金屬層間之通孔、第一金屬層與矽基板上之元件之間的接觸窗,以及高深寬比特徵部。在習知的沉積處理中,加熱位於沉積腔室中之基板至預定之處理溫度,並沉積用以作為種子層或成核層之含鎢材料的一薄層。此後,其餘的含鎢材料(主體層)係沉積於該成核層上。按照慣例,含鎢材料係藉由六氟化鎢(WF6 )與氫氣(H2 )之還原反應而形成。含鎢材料係沉積於包含數個特徵部以及一場區之基板的整個暴露表面區域上。The deposition of tungsten-containing materials using chemical vapor deposition (CVD) technology is an integral part of many semiconductor manufacturing processes. These materials can be used for horizontal interconnects, vias between adjacent metal layers, contact windows between the first metal layer and components on the silicon substrate, and high aspect ratio features. In a conventional deposition process, a substrate located in a deposition chamber is heated to a predetermined processing temperature, and a thin layer of tungsten-containing material used as a seed layer or a nucleation layer is deposited. Thereafter, the remaining tungsten-containing material (body layer) is deposited on the nucleation layer. Conventionally, tungsten-containing materials are formed by a reduction reaction of tungsten hexafluoride (WF 6 ) and hydrogen (H 2 ). The tungsten-containing material is deposited over the entire exposed surface area of the substrate including several features and a field region.

將含鎢材料沉積於小且具有高深寬比的特徵部中可導致接縫及空穴形成於所填充之特徵部內。大接縫可導致所填充材料之高阻抗、污染、填充材料之損耗,且在其它的情況下降低積體電路的效能。舉例來說,在填充處理後接縫可延伸接近場區,並接著在化學機械平坦化期間打開。Depositing tungsten-containing material in small and high aspect ratio features can cause seams and cavities to form within the filled features. Large joints can cause high impedance, contamination of the filled material, loss of the filled material, and in other cases reduce the efficiency of the integrated circuit. For example, the seam may extend close to the field region after the filling process and then open during chemical mechanical planarization.

本文提供將鎢沉積至不同尺寸的特徵部內之方法。一實施態樣關於透過以下步驟處理半導體基板的方法:(i)提供一基板,該基板包含具有不同尺寸之開口的特徵部; (ii)沉積一第一主體鎢層至該等特徵部中以部分地填充該等特徵部;(iii)執行該第一主體鎢層的非保形蝕刻以留下一受蝕刻的鎢層於該等特徵部中,包括從該等特徵部之頂部移除相較於在該等特徵部的內部之更多的鎢;以及(iv)沉積一第二主體鎢層於該受蝕刻之鎢層上,俾使該第二主體鎢層之沉積係被中斷以當填充較小特徵部時,處理該受蝕刻之鎢層。This article provides methods for depositing tungsten into features of different sizes. An embodiment relates to a method for processing a semiconductor substrate through the following steps: (i) providing a substrate including features having openings of different sizes; (ii) depositing a first body tungsten layer into the features to Partially filling the features; (iii) performing a non-conformal etch of the first body tungsten layer to leave an etched tungsten layer in the features, including removing a phase from the top of the features More tungsten than inside the features; and (iv) depositing a second body tungsten layer on the etched tungsten layer so that the deposition system of the second body tungsten layer is interrupted to When filling smaller features, the etched tungsten layer is processed.

在一些實施例中,處理該基板包括將該基板暴露至一還原劑。該還原劑可選自由硼烷、矽烷、和氫所組成的群組。在一些實施例中,處理該基板包括將該基板暴露至氮、退火該基板、及/或沉積一障壁層於該基板上。該障壁層可以為,例如,氮化鎢。In some embodiments, processing the substrate includes exposing the substrate to a reducing agent. The reducing agent may be selected from the group consisting of borane, silane, and hydrogen. In some embodiments, processing the substrate includes exposing the substrate to nitrogen, annealing the substrate, and / or depositing a barrier layer on the substrate. The barrier layer may be, for example, tungsten nitride.

在各種實施例中,該特徵部具有複數個約1nm至約1微米 之間的開口。在一些實施例中,該等特徵部具有約二十種不同尺寸的開口。In various embodiments, the feature has a plurality of openings between about 1 nm and about 1 micron. In some embodiments, the features have openings of about twenty different sizes.

另一實施態樣涉及透過以下步驟處理半導體基板:(i)提供一包括複數特徵部之基板,該等特徵部具有至少一組較小特徵部和至少一組較大特徵部;(ii)沉積一第一主體鎢層於該等特徵部中;(iii)於一第一溫度下,蝕刻該第一主體鎢層的一部分;(iv)沉積一第二主體鎢層於該受蝕刻之第一鎢層上以填充該至少一組較小特徵部且至少部分地填充其它特徵部; (v)在一第二溫度下蝕刻該第二主體鎢層的一部分;及(vi)沉積一第三主體鎢層於該受蝕刻之第二鎢層上以填充該至少一組較大特徵部其中一者。Another embodiment involves processing a semiconductor substrate through the following steps: (i) providing a substrate including a plurality of features having at least one set of smaller features and at least one set of larger features; (ii) deposition A first body tungsten layer in the features; (iii) etching a part of the first body tungsten layer at a first temperature; (iv) depositing a second body tungsten layer on the etched first A tungsten layer to fill the at least one set of smaller features and at least partially fill other features; (v) etching a portion of the second body tungsten layer at a second temperature; and (vi) depositing a third body A tungsten layer is on the etched second tungsten layer to fill one of the at least one group of larger features.

在一些實施例中,該第一溫度低於該第二溫度。在一些實施例中,該第一溫度高於該第二溫度。In some embodiments, the first temperature is lower than the second temperature. In some embodiments, the first temperature is higher than the second temperature.

在各種實施例中,該至少一組較小特徵部以及該至少一組較大特徵部之每一者包含具有至少一特徵部尺寸的複數特徵部。該至少一組較小特徵部之每一者可包括一特徵部且該至少一組較大特徵部之每一者可包括一特徵部。在一些實施例中,該至少一組較小特徵部包括複數特徵部,該等特徵部具有一介於約1nm及約2nm之間的開口。In various embodiments, each of the at least one set of smaller features and the at least one set of larger features includes a plurality of features having at least one feature size. Each of the at least one group of smaller features may include a feature and each of the at least one group of larger features may include a feature. In some embodiments, the at least one set of smaller features includes a plurality of features having an opening between about 1 nm and about 2 nm.

在各種實施例中,在該至少一組較大特徵部中之複數特徵部具有一介於約100nm至約1微米之間的開口。在一些實施例中,在具有最大特徵部的組中之最大特徵部所具有的關鍵尺寸,為在具有最小特徵部的組中之最大特徵部所具有的關鍵尺寸的至少五倍。In various embodiments, the plurality of features in the at least one larger set of features have an opening between about 100 nm and about 1 micron. In some embodiments, the key feature of the largest feature in the group with the largest feature has at least five times the key dimension of the largest feature in the group with the smallest feature.

另一實施態樣涉及一種用於處理半導體基板之設備,該設備包括一處理腔室,該處理腔室包含一噴淋頭和一基板支架;以及一具有至少一處理器及一記憶體的控制器,俾使該至少一處理器和該記憶體通信地彼此連接,該至少一處理器係至少可操作地與一流量控制硬體連接,且該記憶體係儲存用以進行以下操作之機器可讀取指令:引入一含鎢前驅體和還原劑到該腔室;引入一含氟蝕刻劑至該腔室以蝕刻該第一主體鎢層之一部分以留下一受蝕刻之鎢層於複數特徵部中;引入一含鎢前驅體和還原劑至該腔室以沉積一第二主體鎢層;在一預定的時間暫時終止該第二主體鎢層之沉積;引入一處理試劑至該腔室;終止引入處理試劑至該腔室;以及繼續將含鎢前驅體和還原劑引入到該腔室以沉積一第二主體鎢層。Another embodiment relates to a device for processing a semiconductor substrate. The device includes a processing chamber including a shower head and a substrate holder; and a control having at least a processor and a memory. A processor, so that the at least one processor and the memory are communicably connected to each other, the at least one processor is at least operatively connected to a flow control hardware, and the memory system stores a machine-readable memory for performing the following operations: Fetching instructions: introducing a tungsten-containing precursor and a reducing agent into the chamber; introducing a fluorine-containing etchant into the chamber to etch a portion of the first body tungsten layer to leave an etched tungsten layer in the plurality of features Medium; introducing a tungsten-containing precursor and a reducing agent into the chamber to deposit a second host tungsten layer; temporarily terminating the deposition of the second host tungsten layer at a predetermined time; introducing a processing reagent into the chamber; termination Introducing a processing reagent into the chamber; and continuing to introduce a tungsten-containing precursor and a reducing agent into the chamber to deposit a second bulk tungsten layer.

在一些實施例中,處理試劑選自由硼烷、矽烷、和氫所組成的群組。在一些實施例中,預定時間為小的特徵部被填充的時間。In some embodiments, the processing reagent is selected from the group consisting of borane, silane, and hydrogen. In some embodiments, the predetermined time is the time when the small features are filled.

這些和其它實施態樣係參照圖式進一步描述於下。These and other implementations are further described below with reference to the drawings.

在以下敘述中,提出許多具體細節以提供對本發明之深入了解。本發明可在缺少這些具體細節的部份或所有者的情況下實施。在其它情況下,已為人所熟知之程序步驟及/或結構將不再詳述,以免不必要地使本發明失焦。本發明將結合具體實施例進行說明,但吾人將可理解此並非意圖將本發明侷限於該等實施例。In the following description, numerous specific details are provided to provide a thorough understanding of the present invention. The invention may be practiced without the part or owner of these specific details. In other cases, well-known process steps and / or structures will not be described in detail to avoid unnecessarily defocusing the present invention. The invention will be described in conjunction with specific embodiments, but I will understand that this is not intended to limit the invention to those embodiments.

本文描述以鎢填充特徵部之方法以及相關的系統和設備。應用之範例包括邏輯和記憶體接觸窗填充、DRAM埋入式字元線填充、垂直整合式記憶體閘極/字元線填充、以及具有穿透矽通孔(TSVs)之3-D整合。本文描述之方法可用以填充垂直特徵部,如在鎢通孔中者,以及水平特徵部,如垂直NAND(VNAND)之字元線。此等方法可用於FinFET結構之加工。形成於基板中之特徵部可具有狹窄及/或凹陷開口、特徵部內之收縮部、及高深寬比之一或更多者。基板可為矽晶圓,例如200mm晶圓、300mm晶圓、450mm晶圓,包含具有諸如介電、導電或半導電材料之一或更多材料層沉積於其上之晶圓。This article describes a method of filling features with tungsten and related systems and equipment. Examples of applications include logic and memory contact window filling, DRAM embedded word line filling, vertically integrated memory gate / word line filling, and 3-D integration with through silicon vias (TSVs). The method described herein can be used to fill vertical features, such as those in tungsten vias, and horizontal features, such as vertical NAND (VNAND) word lines. These methods can be used for processing FinFET structures. The feature portion formed in the substrate may have one or more of narrow and / or recessed openings, a shrinkage portion within the feature portion, and a high aspect ratio. The substrate may be a silicon wafer, such as a 200mm wafer, a 300mm wafer, a 450mm wafer, including a wafer having a layer of one or more materials such as a dielectric, conductive, or semiconductive material deposited thereon.

特徵部可形成於此等層之一或更多者中。例如,特徵部可至少部分地形成於一介電層中。本文所述之單一基板具有不同尺寸的特徵部,在一些實施例中,在單一晶圓上可具有達20種及20種以上之不同尺寸的特徵部。特徵部孔之靠近開口之尺寸,例如開口直徑或線寬度,可介於約1nm至1微米之間,例如介於約25nm至300nm之間。「小」特徵部的範例包括具有約1nm至約2nm之間的開口直徑之特徵部。「大」特徵部的範例包括具有數百nm至約1微米的數量級之開口直徑的特徵部。特徵部孔可稱為未填充特徵部或直接稱為特徵部。在一些實施方式中,特徵部孔可具有至少約2:1、至少約4:1、至少約6:1或更高之深寬比。Features may be formed in one or more of these layers. For example, the features may be formed at least partially in a dielectric layer. A single substrate described herein has features of different sizes. In some embodiments, there can be up to 20 features of more than 20 features on a single wafer. The size of the feature hole near the opening, such as the diameter or line width of the opening, may be between about 1 nm and 1 micrometer, such as between about 25 nm and 300 nm. Examples of "small" features include features with opening diameters between about 1 nm and about 2 nm. Examples of "large" features include features with opening diameters on the order of hundreds of nm to about 1 micron. Feature holes may be referred to as unfilled features or directly as features. In some embodiments, the feature holes may have an aspect ratio of at least about 2: 1, at least about 4: 1, at least about 6: 1, or higher.

填充基板上之單一尺寸特徵部時,沉積鎢層於特徵部中以部分地填充該特徵部,接著移除受沉積的鎢的一部分,俾使在開口附近的受沉積層之平均厚度的減少大於在特徵部內受沉積層之平均厚度的減少,此可用來產生無空穴的特徵部填充物。然而,當待填充大範圍的特徵部尺寸時,這種方法可能無法提供最大填充物的改進之益處。When filling a single-size feature on a substrate, a tungsten layer is deposited in the feature to partially fill the feature, and then a portion of the deposited tungsten is removed so that the average thickness of the deposited layer near the opening is reduced by more than Due to the reduction in the average thickness of the deposited layer in the features, this can be used to produce void-free feature fills. However, when a wide range of feature sizes are to be filled, this approach may not provide the benefit of the greatest improvement in filling.

此係繪示於圖1,該圖顯示出在基板上的小關鍵尺寸(CD)特徵部102和大CD特徵部104。這些特徵部可透過在特徵部上沉積第一主體鎢層、蝕刻該第一主體層、然後沉積第二主體層以填充該特徵部的其餘部分而加以填充。此方案在本文中可描述為「沉積-蝕刻-沉積」。第一主體沉積(例如,「沉積-蝕刻-沉積」之第一個「沉積」或「沉積1」)部分地填充小CD特徵部102,以得到在120之部分填充的小CD特徵部。由於該特徵部具有一凹陷輪廓,即,朝向該特徵部開口變窄的輪廓,有一夾斷點,在該夾斷點上,沉積可夾斷該特徵部開口。第一主體沉積部分地填充大CD特徵部104,以亦得到如140所示之部分填充的大CD特徵部。鎢蝕刻(例如,「沉積-蝕刻-沉積」的「蝕刻」)​​可以較佳地移除小CD特徵部104之特徵部開口附近的鎢,如122所示,而因為該特徵部的開口小,故在該特徵部的側壁上幾乎沒有鎢受蝕刻。此重塑了該特徵部之輪廓,從而可進行無空穴填充於後續的沉積(例如,「沉積-蝕刻-沉積」之第二個「沉積」或「沉積2」)(未示出)而沒有夾斷。然而,由於大CD特徵部104,鎢同樣在頂部和特徵部內部深處受蝕刻,由於在該特徵部104的頂部之較大開口之故,不具有重新塑造特徵部輪廓的益處,如142中所示。This system is shown in FIG. 1, which shows a small critical dimension (CD) feature 102 and a large CD feature 104 on a substrate. These features can be filled by depositing a first body tungsten layer on the features, etching the first body layer, and then depositing a second body layer to fill the rest of the features. This solution can be described as "deposition-etch-deposition" in this article. The first body deposition (eg, the first "deposition" or "deposition 1" of "deposition-etch-deposition") partially fills the small CD feature 102 to obtain a small CD feature partially filled at 120. Since the feature has a recessed profile, that is, a profile that narrows toward the feature opening, there is a pinch-off point on which the deposit can pinch off the feature opening. The first body deposit partially fills the large CD feature 104 to obtain a partially filled large CD feature as shown at 140 as well. Tungsten etching (for example, "etch" of "deposition-etch-deposition") can better remove tungsten near the feature opening of the small CD feature 104, as shown in 122, because the feature opening Because it is small, almost no tungsten is etched on the sidewall of the feature. This reshapes the profile of the feature so that subsequent void-free filling can be performed (eg, a second "deposition" or "deposition 2" of "deposition-etch-deposition") (not shown) and No pinch off. However, due to the large CD feature 104, tungsten is also etched at the top and deep inside the feature. Due to the large opening at the top of the feature 104, there is no benefit in reshaping the contour of the feature, as in 142 As shown.

由於特徵部尺寸和特徵部密度之差異所導致遍及晶圓的鎢填充物之變化係稱為圖案負載效應。以此方式填充特徵部導致在具有數個特徵部尺寸的基板上之不均勻的和粗糙的鎢生長。大特徵部係主要使用第二主體鎢之沉積加以填充,導致因圖案負載效應所造成之在大特徵部中的大且粗的鎢晶粒。The change in the tungsten filler throughout the wafer due to the difference in feature size and feature density is called the pattern loading effect. Filling the features in this way results in uneven and rough tungsten growth on a substrate with several feature sizes. The large feature part is mainly filled with the deposition of the second body tungsten, resulting in large and coarse tungsten grains in the large feature part due to the pattern loading effect.

本發明提供填充在基板上之不同尺寸的特徵部之方法,其具有較平滑的鎢以及減少圖案負載效應。該等方法可用於任何方向,包括垂直和水平方向的特徵部之特徵部填充。在一些實施方式中,該等方法可用以填充具有相對於該基板之平面,具有成角度之方向的特徵部之填充。在一些實施方式中,該等方法可用以填充具有數個方向之特徵部。此等特徵部的示例包括3D特徵部,其中沉積氣體可垂直和橫向地進入一特徵部中。本文所述之方法特別適用於FinFET加工,其涉及將鎢沉積到具有不同的特徵部尺寸之基板上。The invention provides a method for filling feature portions of different sizes on a substrate, which has smoother tungsten and reduces pattern loading effect. These methods can be used for feature filling of features in any direction, including vertical and horizontal features. In some embodiments, the methods can be used to fill a fill having features having an angled direction relative to a plane of the substrate. In some embodiments, these methods can be used to fill features with several directions. Examples of such features include 3D features in which the deposition gas can enter a feature vertically and laterally. The method described herein is particularly suitable for FinFET processing, which involves depositing tungsten onto substrates having different feature sizes.

本方法的一些實施方式涉及中斷第二主體沉積,以處理受沉積的鎢之表面,然後再繼續該第二主體沉積以使更小的晶粒再生成於被處理的表面上,以及以更平滑之受沉積鎢填充該特徵部的其餘部分。該等方法的一些實施方式涉及將較特徵部尺寸分類成較小和較大特徵部尺寸群組,以及以循環方式執行第一沉積、蝕刻、和第二沉積的順序,每一循環的目標為一特徵部尺寸群組,從最小到最大,並選擇各蝕刻化學品以蝕刻目標之特徵部尺寸群組。Some embodiments of the method involve interrupting the second body deposition to treat the deposited tungsten surface, and then continuing the second body deposition to regenerate smaller grains on the treated surface, and to smoother The remaining portion of the feature is filled with deposited tungsten. Some embodiments of these methods involve classifying the more feature sizes into smaller and larger feature size groups, and performing the order of the first deposition, etching, and second deposition in a cyclic manner, the goal of each cycle is A feature size group, from smallest to largest, and each etching chemical is selected to etch the feature size group of the target.

雖然下面的描述著重於鎢特徵部填充物,本發明的實施態樣亦可用以其它材料填充特徵部而實現。例如,使用本文所描述之一或更多技術的特徵部填充可用於以其它材料填充特徵部,包括含鎢材料(例如,氮化鎢(WN)和碳化鎢(WC))、含鈦材料(如鈦(Ti)、氮化鈦(TiN)、矽化鈦(TiSi)、碳化鈦(TiC)、和鈦鋁合金(TiAl))、含鉭材料(例如,鉭(Ta)和氮化鉭(TaN))、以及含鎳材料(例如,鎳(Ni)和矽化鎳(NiSi)。Although the following description focuses on the tungsten feature filling, the embodiments of the present invention can also be implemented by filling the features with other materials. For example, feature fill using one or more of the techniques described herein can be used to fill features with other materials, including tungsten-containing materials (eg, tungsten nitride (WN) and tungsten carbide (WC)), titanium-containing materials ( Such as titanium (Ti), titanium nitride (TiN), titanium silicide (TiSi), titanium carbide (TiC), and titanium aluminum alloy (TiAl)), tantalum-containing materials (for example, tantalum (Ta) and tantalum nitride (TaN )), And nickel-containing materials (for example, nickel (Ni) and nickel silicide (NiSi).

圖2為一處理流程圖,顯示一種特徵部填充之方法的某些操作,該方法係藉由沉積第一主體鎢、蝕刻該第一主體鎢、以及沉積第二主體鎢而為之。該方法可始於在操作211中的沉積鎢於特徵部中以部分地填充該特徵部。在某些實施例中,鎢係保形地沉積。在一些實施方式中,操作211可以涉及鎢成核層的沉積,接著為主體的沉積。鎢成核層沉積和主體沉積技術係進一步描述於下。在一些實施例中,鎢成核層之沉積係藉由依序地脈衝含鎢前驅體及一或更多還原劑以透過原子層沉積(ALD)或脈衝成核層(PNL)處理以形成鎢成核層而為之。在一些實施方式中,操作211可涉及僅主體沉積,若,例如,該特徵部包括支撐鎢沉積之一下方層。主體沉積可透過化學氣相沉積來沉積且係進一步描述於下。FIG. 2 is a process flowchart showing some operations of a method of filling a feature by depositing a first body of tungsten, etching the first body of tungsten, and depositing a second body of tungsten. The method may begin by depositing tungsten in a feature in operation 211 to partially fill the feature. In some embodiments, the tungsten system is deposited conformally. In some embodiments, operation 211 may involve the deposition of a tungsten nucleation layer, followed by the deposition of the bulk. Tungsten nucleation layer deposition and bulk deposition techniques are further described below. In some embodiments, the deposition of the tungsten nucleation layer is performed by sequentially pulsed tungsten-containing precursor and one or more reducing agents through atomic layer deposition (ALD) or pulsed nucleation layer (PNL) processing to form tungsten formation. The nuclear layer does it. In some embodiments, operation 211 may involve body-only deposition if, for example, the feature includes an underlying layer supporting a tungsten deposit. Bulk deposition can be deposited by chemical vapor deposition and is further described below.

在包括收縮部或以其它方式容易受到夾斷的特徵部中,操作211可以執行至少直到該特徵部被夾斷。具有不同尺寸的特徵部可能在不同的時間被夾斷。在保形沉積中,沉積從各表面開始且隨著大致垂直於該表面之生長而進展。在特徵部中之鎢生長係從各側壁開始並進展直到該生長夾斷該特徵部。在一些實施方式中,在操作211中之受沉積的鎢量可根據最窄的特徵部尺寸來判斷。例如,若最窄的尺寸為50nm,在操作211中的CVD反應可執行足以沉積25nm至各個表面上之長時間,在該點受沉積之鎢阻擋進一步的反應物擴散進入特徵部中。此可根據反應動力性質、鎢成核層的厚度等之反應前大致可判定。在一些實施方式中,操作211可涉及用於單一特徵部之數個沉積-蝕刻-沉積循環,如在美國專利第8124531號中所描述者,其係併入於此做為參考。在一些實施方式中,操作211不包括任何蝕刻操作,只需沉積直到至少該特徵部被夾斷為止。操作211可發生在一單一腔室中、多站或單站腔室之單一站中、多站設備之多個站中、或在多個腔室中。例如,操作211可以包括在腔室的一站中之鎢成核層沉積,接著為在該腔室的另一站中之主體沉積。在操作211期間,很多小特徵部可幾乎被填充,而大特徵部可能只有一較薄層的鎢沉積。In a feature including a constriction or otherwise susceptible to pinching, operation 211 may be performed at least until the feature is pinched. Features with different sizes may be pinched off at different times. In conformal deposition, the deposition starts from each surface and progresses with growth generally perpendicular to the surface. The tungsten growth in the feature starts from each side wall and progresses until the growth pinches the feature. In some embodiments, the amount of tungsten deposited in operation 211 may be determined based on the narrowest feature size. For example, if the narrowest size is 50 nm, the CVD reaction in operation 211 can be performed for a period of time sufficient to deposit 25 nm on various surfaces, at which point further tungsten reactants are prevented from diffusing into the features by the deposited tungsten. This can be roughly determined based on the dynamic properties of the reaction, the thickness of the tungsten nucleation layer, and the like before the reaction. In some embodiments, operation 211 may involve several deposition-etch-deposition cycles for a single feature, as described in US Pat. No. 8,124,531, which is incorporated herein by reference. In some embodiments, operation 211 does not include any etching operation, and only needs to be deposited until at least the feature is pinched off. Operation 211 may occur in a single chamber, multiple stations or a single station in a single station chamber, multiple stations in a multiple station device, or in multiple chambers. For example, operation 211 may include deposition of a tungsten nucleation layer in one station of the chamber, followed by deposition of a body in another station of the chamber. During operation 211, many small features may be almost filled, while large features may only have a thinner layer of tungsten deposited.

該處理可在操作213之鎢的局部蝕刻繼續。一些鎢殘留在特徵部中,但該蝕刻將鎢從該特徵部的側壁之至少一部分移除。在較小特徵部中之蝕刻可能僅蝕刻靠近該基板的表面之特徵部的頂部,而在較大特徵部中之蝕刻可導致蝕刻劑物種鑽入特徵部並​​蝕刻甚至該特徵部之側壁。操作213通常涉及化學蝕刻,其係以,例如,含氟物種或其它蝕刻劑物種進行之。在一些實施方式中,可使用活化物種。活化物種可包括原子物種、自由基物種、和離子物種。對於本申請的目的,活化物種係不同於重組物種,且不同於最初饋入至電漿產生器中的氣體。例如,部分地蝕刻受沉積的鎢可涉及暴露至生成在遠端或原位電漿產生器中的蝕刻劑物種。在一些實施方式中,可依序或同時使用遠端生成和原位生成之電漿物種兩者。在一些實施方式中,可採用使用F2 、CF3 Cl、或其它蝕刻劑化學品的非電漿化學蝕刻。操作213可發生在如操作210中之同一腔室或在不同的腔室。蝕刻在一特徵部中的鎢之方法係進一步描述於下。根據該特徵部結構,該蝕刻可為保形或非保形。蝕刻保形性進一步描述於下。回蝕可大致以側向地(垂直於特徵部軸)及/或垂直地(沿特徵部軸)進行。The process may continue at a local etch of tungsten in operation 213. Some tungsten remains in the feature, but the etching removes tungsten from at least a portion of the sidewall of the feature. Etching in a smaller feature may etch only the top of a feature near the surface of the substrate, while etching in a larger feature may cause etchant species to penetrate into the feature and etch even the sidewall of the feature . Operation 213 typically involves chemical etching, which is performed, for example, with a fluorine-containing species or other etchant species. In some embodiments, activated species can be used. Activated species may include atomic species, free radical species, and ionic species. For the purposes of this application, an activated species is different from a recombinant species, and different from the gas originally fed into the plasma generator. For example, partially etching the deposited tungsten may involve exposure to etchant species generated in a remote or in-situ plasma generator. In some embodiments, both remotely generated and in situ generated plasma species can be used sequentially or simultaneously. In some embodiments, non-plasma chemical etching using F 2 , CF 3 Cl, or other etchant chemicals may be used. Operation 213 may occur in the same chamber as in operation 210 or in a different chamber. The method of etching tungsten in a feature is further described below. According to the feature structure, the etching may be conformal or non-conformal. Etching conformality is further described below. Etching can be performed generally laterally (perpendicular to the feature axis) and / or vertically (along the feature axis).

根據各種實施方式,該蝕刻可優先於或非優先於一底層。例如,蝕刻可優先至W而,例如,Ti或TiN的底層作為蝕刻終止。在一些實施方式中,該蝕刻可蝕刻W且具有一介電質於其下之Ti或TiN作為蝕刻終止。According to various embodiments, the etching may take precedence over or not over an underlying layer. For example, the etch may be prioritized to W while, for example, the underlying layer of Ti or TiN is terminated as an etch. In some embodiments, the etch can etch W and have Ti or TiN with a dielectric below it as an etch stop.

在此制度中,特徵部內的移除率係受擴散入特徵部中之不同蝕刻材料成分的量及/或相對組成物(例如,初始的蝕刻劑材料、活化蝕刻劑物種、以及重新組合的蝕刻劑物種)所限制。在某些實施例中,蝕刻速率取決於在特徵部內之不同位置的各種蝕刻劑成分的濃度。吾人應注意,用語「蝕刻」和「移除」於本文中可互換使用。吾人應理解,可使用任何移除技術,包括蝕刻以及其它技術來進行選擇性移除。In this system, the removal rate within a feature is affected by the amount and / or relative composition of different etch material components that diffuse into the feature (eg, initial etchant material, activated etchant species, and recombined etch Agent species). In some embodiments, the etch rate depends on the concentration of various etchant components at different locations within the feature. I should note that the terms "etched" and "removed" are used interchangeably in this article. I should understand that any removal technique, including etching and other techniques, can be used for selective removal.

然後,該處理於操作215繼續在剩下的鎢上沉積,俾使第二主體鎢沉積在特徵部中。隨後的鎢沉積使鎢重新生長於在既有的鎢層上之通孔中,而在場內之顯著的生長延遲防止在最終的填充時的夾斷及空穴。如上所述地,顯著生長延遲可能,至少部分地,係由於支撐鎢增長的表面之移除。在一些實施例中,第二主體鎢沉積可透過同時引入含鎢前驅體和還原劑以透過CVD來沉積另一主體層而進行。在一些實施方式中,該沉積處理可沉積少量鎢於側壁表面上,雖然是以比沉積在鎢表面上還慢的生長速率進行。例如,在側壁表面上的生長速率和沉積厚度可為在鎢表面上者的一半或更少。在一些實施方式中,其可為十分之一或百分之一。在一些實施例中,沉積處理中可以相同的生長速率沉積鎢於特徵部的所有表面上。Then, the process continues to deposit the remaining tungsten at operation 215, so that a second body of tungsten is deposited in the features. Subsequent tungsten deposition re-grows tungsten in the vias on the existing tungsten layer, and the significant growth delay in the field prevents pinch-off and cavities during final filling. As noted above, significant growth delay may be due, at least in part, to the removal of the surface that supports tungsten growth. In some embodiments, the second host tungsten deposition may be performed by simultaneously introducing a tungsten-containing precursor and a reducing agent to deposit another host layer by CVD. In some embodiments, the deposition process can deposit a small amount of tungsten on the sidewall surface, although at a slower growth rate than the tungsten surface. For example, the growth rate and deposition thickness on the sidewall surface may be half or less of those on the tungsten surface. In some embodiments, it may be one tenth or one hundredth. In some embodiments, tungsten may be deposited on all surfaces of the features at the same growth rate in the deposition process.

在一些實施方式中,操作215可在不沉積成核層的情況下繼續。此可允許沉積僅在該特徵部中之剩餘的鎢上。在許多實施方式中,操作211將涉及沉積一成核層以達成保形的沉積,而操作215在沒有中間成核層的沉積之情況下,繼續在受蝕刻鎢層上的沉積。在一些實施方式中,成核層可沉積在其上期望進行進一步生長之特徵部的至少該一部分上。如果在操作215中之成核層係沉積於包括後續不期望進行沉積之側壁或其它表面上,在此等表面上之鎢成核可以選擇性地受到抑制。抑制在特徵部中之鎢成核的方法係描述於美國專利申請案第13/774350號中,其係併入於此作為參考。沉積-蝕刻-沉積方案的進一步說明係描述於美國專利申請案第13/851885號中,其係併入於此作為參考。In some embodiments, operation 215 may continue without depositing a nucleation layer. This may allow deposition on only the remaining tungsten in the feature. In many embodiments, operation 211 will involve depositing a nucleation layer to achieve conformal deposition, while operation 215 continues the deposition on the etched tungsten layer without the deposition of an intermediate nucleation layer. In some embodiments, a nucleation layer may be deposited on at least the portion of a feature on which further growth is desired. If the nucleation layer in operation 215 is deposited on a sidewall or other surface including subsequent undesired depositions, tungsten nucleation on such surfaces may be selectively suppressed. A method for inhibiting tungsten nucleation in a feature is described in US Patent Application No. 13/774350, which is incorporated herein by reference. Further description of the deposition-etch-deposition scheme is described in US Patent Application No. 13/851885, which is incorporated herein by reference.

在一些實施例中,該等方法包括一或更多沉積操作,該等沉積操作涉及透過降低從鎢前驅體至受沉積鎢之的轉換之反應速率,發起「速率受限」制度。此可透過在第二主體鎢沉積處理期間,例如相對於圖2於上所述的操作215期間,增加鎢前驅體的部分壓力而為之。In some embodiments, the methods include one or more deposition operations that involve initiating a "rate-limited" system by reducing the reaction rate from the conversion of the tungsten precursor to the deposited tungsten. This can be done by increasing the partial pressure of the tungsten precursor during the second body tungsten deposition process, such as during operation 215 described above with respect to FIG. 2.

在一速率受限制度中,沉積速率係由供應至基板的含鎢前驅體,如六氟化鎢(WF6 )的量所限制。在某些示例中,在特徵部上的沉積速率可取決於含鎢前驅體的分壓。此可透過增加在處理腔室中之含鎢前驅體的分壓(例如,使用低流速),同時維持高的反應速率(例如,低溫)來實現。In a rate-limited system, the deposition rate is limited by the amount of tungsten-containing precursors, such as tungsten hexafluoride (WF 6 ), supplied to the substrate. In some examples, the deposition rate on the features may depend on the partial pressure of the tungsten-containing precursor. This can be achieved by increasing the partial pressure of the tungsten-containing precursor in the processing chamber (eg, using a low flow rate) while maintaining a high reaction rate (eg, low temperature).

速率受限條件的部分特徵為整體含鎢前驅體濃度之變化、處理溫度或處理壓力。在某些實施例中,在較小特徵部內之含鎢前驅體的濃度低於在較大特徵部內者。在不同尺寸的特徵部內之沉積取決於基板的特徵部密度。例如,在基板的表面之任何一既定的區域中,若該區域有許多較小特徵部,更多鎢必需沉積於在該區域中之該等特徵部的表面上,因為特徵部側壁和底部表面的總表面面積大於具有一或兩個大特徵部之相同尺寸的基板面積。此進而導致圖案負載效應,特別是在較小特徵部中。Part of the rate-limiting condition is a change in overall tungsten-containing precursor concentration, processing temperature, or processing pressure. In some embodiments, the concentration of the tungsten-containing precursor in the smaller features is lower than in the larger features. The deposition in features of different sizes depends on the feature density of the substrate. For example, in any given area of the surface of the substrate, if there are many smaller features in that area, more tungsten must be deposited on the surfaces of those features in that area because the sidewalls and bottom surfaces of the features The total surface area is greater than the area of a substrate of the same size with one or two large features. This in turn leads to pattern loading effects, especially in smaller features.

以速率受限制度沉積鎢時,由於在較小特徵部中之負載效應比在大特徵部中者更多,因此鎢可以更均勻地沉積遍及於較小特徵部。速率受限的處理條件可透過供應預定量的含鎢前驅體至處理腔室中(例如,相對於空腔輪廓和尺寸,使用低含鎢前驅體之流速),以沉積鎢於較大尺寸特徵部之群組或較小尺寸特徵部之群組之所選擇的任一群組上。When depositing tungsten in a rate-constrained system, tungsten can be deposited more uniformly throughout the smaller features because the load effect is greater in the smaller features than in the larger features. Rate-limited processing conditions can be achieved by supplying a predetermined amount of tungsten-containing precursor into the processing chamber (eg, using a low tungsten-containing precursor flow rate relative to the cavity profile and size) to deposit tungsten on larger features Group or smaller size feature group.

選擇在沉積期間之預定溫度或壓力的方法,可不僅導致鎢沉積於特徵部的表面上,亦能控制反應之速率。整體而言,基板溫度可根據化學組成物、期望的沉積速率、含鎢前驅體之期望的濃度分佈、與其它材料和處理參數。中斷式沉積方案 The method of selecting a predetermined temperature or pressure during the deposition can not only cause tungsten to be deposited on the surface of the feature, but also control the reaction rate. Overall, the substrate temperature can be based on the chemical composition, the desired deposition rate, the desired concentration distribution of the tungsten-containing precursor, and other materials and processing parameters. Interrupted deposition scheme

中斷式沉積方案可用於沉積鎢,俾使第二主體鎢沉積處理係暫停在預定的階段。實施這種方法可填充更具挑戰性的小CD特徵部,同時使較簡單和較大CD特徵部隨後被填充。例如,一處理可能涉及: □ 沉積1 (以小CD特徵部為目標) □ 選擇性蝕刻 □ 短蝕刻2 (完成填充較小CD特徵部,使較大CD特徵部打開) □ 處理(例如B2 H6 、SiH4 浸泡) □ 繼續沉積2The interrupted deposition scheme can be used to deposit tungsten, so that the second main body tungsten deposition processing system is suspended at a predetermined stage. Implementing this method can fill more challenging small CD features while allowing simpler and larger CD features to be subsequently filled. For example, a process may involve: □ Deposition 1 (targeting small CD features) □ Selective etching □ Short etch 2 (complete filling of smaller CD features, leaving larger CD features open) □ Process (eg B 2 H 6 、 SiH 4 soak) □ Continue to deposit 2

圖3為一處理流程圖,顯示為根據所揭露的實施例在基板上之不同尺寸的特徵部中沉積鎢的方法。在操作310中,鎢係沉積在具有不同尺寸特徵部之基板的特徵部內。可使用任何相對於圖2中之操作211的技術沉積鎢。例如,在各種實施例中,鎢成核層係受沉積,之後為第一主體鎢層的沉積。FIG. 3 is a process flow diagram showing a method for depositing tungsten in features of different sizes on a substrate according to a disclosed embodiment. In operation 310, a tungsten system is deposited in a feature of a substrate having features of different sizes. Tungsten may be deposited using any technique relative to operation 211 in FIG. 2. For example, in various embodiments, the tungsten nucleation layer is deposited, followed by the deposition of a first bulk tungsten layer.

在操作312中,受沉積的鎢係被部分地蝕刻。條件和方法可以為任何相對於圖2中之操作213所描述於上者。蝕刻條件亦進一步討論於下。較小特徵部可以被蝕刻,俾使僅靠近基板的表面之特徵部的頂部部分被蝕刻,且該蝕刻由於高深寬比和窄開口而止於該特徵部的夾斷位置。然而,對於較大特徵部而言,該蝕刻可進入該特徵部中並亦以一保形的蝕刻來蝕刻該側壁。In operation 312, the deposited tungsten system is partially etched. The conditions and methods may be any of those described above with respect to operation 213 in FIG. 2. Etching conditions are discussed further below. The smaller features can be etched, so that only the top portion of the features near the surface of the substrate is etched, and the etching stops at the pinch-off position of the features due to the high aspect ratio and narrow opening. However, for larger features, the etch can enter the features and also etch the sidewalls with a conformal etch.

在操作314中,鎢係於第二主體鎢沉積中沉積至特徵部中,但該沉積在預定時間受到中斷。該預定時間可為當在基板上的小特徵部完全被鎢填充的時間。在預定的時間,第二主體鎢沉積係暫時終止。In operation 314, the tungsten system is deposited into the features in the second bulk tungsten deposition, but the deposition is interrupted at a predetermined time. The predetermined time may be the time when the small features on the substrate are completely filled with tungsten. At a predetermined time, the second bulk tungsten deposition system is temporarily terminated.

如圖所示,操作314可包括在操作314a中之首先將剩餘的鎢沉積於基板上,此係藉由著重完全填充小特徵部而為之,接著在操作314b中當小特徵部係透過處理基板之表面而被填充時,中斷該沉積,以及在操作314c中之沉積剩餘的鎢以填充大特徵部。中斷該鎢主體沉積可增進通過由一或更多機制所進行之在較大特徵部內之填充。在一些實施方式中,先前的蝕刻操作可能有偶發的鈍化效果,其導致在大特徵部之填充的成核延遲。例如,暴露至含氮蝕刻劑可能會鈍化沉積表面的一部分。該處理可移除鈍化和減少成核延遲。在一些實施方式中,處理較大特徵部之操作在較大特徵部中產生較平滑的晶粒。As shown in the figure, operation 314 may include first depositing the remaining tungsten on the substrate in operation 314a by focusing on filling the small features completely, and then in operation 314b when the small features are transmitted through. When the surface of the substrate is filled, the deposition is interrupted and the remaining tungsten is deposited to fill the large features in operation 314c. Interrupting the tungsten bulk deposition may enhance filling in larger features by one or more mechanisms. In some embodiments, the previous etch operation may have an occasional passivation effect, which results in a delayed nucleation of filling in large features. For example, exposure to a nitrogen-containing etchant may passivate a portion of the deposited surface. This process removes passivation and reduces nucleation delay. In some embodiments, the operation of processing larger features results in smoother grains in the larger features.

在各種實施例中,操作314a至314c係於同一腔室中執行,且流動係於操作314a和314b之間,以及於操作314b和314c之間轉向及/或改變。在一些實施例中,操作314被中斷一次。在一些實施例中,操作314被中斷兩次、三次、或更多次,俾使操作314a至314c重複直到特徵部都被填充為止。In various embodiments, operations 314a through 314c are performed in the same chamber, and flow is between operations 314a and 314b, and turns and / or changes between operations 314b and 314c. In some embodiments, operation 314 is interrupted once. In some embodiments, operation 314 is interrupted twice, three times, or more, causing operations 314a to 314c to repeat until the features are all filled.

基板可透過各種方法在操作314b中受處理。在一些實施例中,基板係由還原劑浸泡所處理,此可透過暴露該基板表面,以及因此,受沉積的鎢,於還原劑以進行處理。還原劑之範例包括硼烷(例如,B2 H6 )、矽烷(例如,SiH4 )、與氫(H2 )。基板可由一還原劑進行處理約2秒至約10秒。該處理可為熱浸泡且可發生在從約200℃至約500℃的溫度下。根據各種實施例,H2 或其它還原劑的分壓可為至少約15 Torr,至少約20 Torr、至少約30 Torr、至少約40 Torr、至少約50 Torr、至少約60 Torr、至少約70 Torr、或至少約80 Torr。The substrate may be processed in operation 314b through various methods. In some embodiments, the substrate is treated by soaking with a reducing agent, which can expose the surface of the substrate, and thus, the deposited tungsten is treated with the reducing agent. Examples of the reducing agent include borane (for example, B 2 H 6 ), silane (for example, SiH 4 ), and hydrogen (H 2 ). The substrate may be processed by a reducing agent for about 2 seconds to about 10 seconds. This treatment may be hot soaking and may occur at a temperature from about 200 ° C to about 500 ° C. According to various embodiments, the partial pressure of H 2 or other reducing agent may be at least about 15 Torr, at least about 20 Torr, at least about 30 Torr, at least about 40 Torr, at least about 50 Torr, at least about 60 Torr, at least about 70 Torr , Or at least about 80 Torr.

在一些實施方式中,一旦繼續主體沉積,還原劑浸泡降低受沉積的鎢之粗糙度。在一示例中,操作314可涉及將基板暴露至含鎢前驅體和還原劑之流動(314a)、使含鎢前驅體的流動停止或轉向一段時間,以使還原劑或處理化學品在沒有含鎢前驅體之情況下流動(314b)、終止該處理化學品流動,並繼續該含鎢前驅體之流動以繼續受中斷之主體沉積(314c)。在替代性實施例中,操作314b可涉及將基板暴露至一不同的還原劑(如二硼烷或矽烷),以增添或代替用於主體沉積中之還原劑。In some embodiments, once the bulk deposition continues, the reducing agent soak reduces the roughness of the deposited tungsten. In one example, operation 314 may involve exposing the substrate to the flow of tungsten-containing precursor and reducing agent (314a), stopping or diverting the flow of tungsten-containing precursor to a period of time such that the reducing agent or processing chemical Flow in the case of a tungsten precursor (314b), terminate the flow of the processing chemical, and continue the flow of the tungsten-containing precursor to continue interrupted bulk deposition (314c). In alternative embodiments, operation 314b may involve exposing the substrate to a different reducing agent (such as diborane or silane) to add or replace the reducing agent used in the bulk deposition.

操作314b中的處理可包括將基板暴露至氮(N2 )氣體之脈衝或連續的氮(N2 )氣體。將基板暴露至氮氣之脈衝有助於減少晶粒粗糙度。將基板暴露至氮氣之脈衝的一些討論係描述於美國專利第8551885號和美國專利申請案第13/633798號中,其係併入於此作為參考。在一些實施例中,操作314b中的處理包括退火該基板、例如在約200℃和約600℃之間的溫度。退火該基板可降低粗糙度並提供光滑的表面以使鎢晶粒在後續的處理步驟中生長於其上。在一些實施例中,操作314b中的處理包括:在基板上沉積障壁層,如無氟WN層。障壁層可為約10Å和500Å之間厚,或,在更具體的實施例中,約25Å至200Å之間厚。障壁層可透過原子層沉積(ALD)加以沉積。障壁層可提供新表面,以供後續的鎢晶粒沉積於上,從而形成更小的鎢晶粒於特徵部中。Processing operations 314b may include exposing the substrate to a nitrogen (N 2) or a continuous pulse of nitrogen gas (N 2) gas. The pulse of exposing the substrate to nitrogen helps reduce grain roughness. Some discussions of the pulses of exposing a substrate to nitrogen are described in US Patent No. 8551885 and US Patent Application No. 13/633798, which are incorporated herein by reference. In some embodiments, the processing in operation 314b includes annealing the substrate, such as a temperature between about 200 ° C and about 600 ° C. Annealing the substrate reduces the roughness and provides a smooth surface to allow tungsten grains to grow on it in subsequent processing steps. In some embodiments, the processing in operation 314b includes: depositing a barrier layer, such as a fluorine-free WN layer, on the substrate. The barrier layer may be between about 10 Å and 500 Å thick, or, in more specific embodiments, between about 25 Å and 200 Å thick. The barrier layer can be deposited by atomic layer deposition (ALD). The barrier layer can provide a new surface for subsequent tungsten grains to be deposited thereon, thereby forming smaller tungsten grains in the features.

在一些實施例中,操作314b中的處理包括流動無氟之鎢前驅體至腔室中。無氟之鎢前驅體的實例包括六氯化鎢(WCl6 )、MDNOW(甲基環戊二烯-二羰基亞硝醯-鎢)及EDNOW(乙基環戊二烯-二羰基亞硝醯-鎢)。在一些實施例中,可在處理期間進行此等之組合。例如,處理可包括首先沉積無氟之氮化鎢並退火該基板,俾使該無氟之氮化鎢於特徵部中轉化為無氟之鎢。 數個沉積-蝕刻-沉積方案In some embodiments, the process in operation 314b includes flowing a fluorine-free tungsten precursor into the chamber. Examples of fluorine-free tungsten precursors include tungsten hexachloride (WCl 6 ), MDNOW (methylcyclopentadiene-dicarbonylnitrosamidine-tungsten), and EDNOW (ethylcyclopentadiene-dicarbonylnitrosammonium) -Tungsten). In some embodiments, these combinations may be performed during processing. For example, processing may include first depositing fluorine-free tungsten nitride and annealing the substrate, and converting the fluorine-free tungsten nitride into fluorine-free tungsten in the feature. Several deposition-etch-deposition schemes

可使用數個沉積-蝕刻-沉積循環以鎢來填充不同尺寸的特徵部,各循環係設定以填充一組類似尺寸的特徵部。一循環的範例係相對於圖2描述於上。重覆循環俾使前一循環的第二沉積與下一循環的第一沉積同時。例如,「沉積-蝕刻-沉積-蝕刻-沉積-蝕刻-沉積」的順序總共包括三個循環。Several deposition-etch-deposition cycles can be used to fill features of different sizes with tungsten, and each cycle is set to fill a set of features of similar size. An example of a cycle is described above with respect to FIG. 2. Repeated cycles make the second deposition of the previous cycle coincide with the first deposition of the next cycle. For example, the sequence of "deposition-etch-deposition-etch-deposition-etch-deposition" includes a total of three cycles.

一組特徵部可包括一、兩個、三個、四個、五個,或更多具有相同或類似尺寸的不同特徵部。例如,一組特徵部可包括三個特徵部,該等特徵部各具有介於11nm和2nm之間的開口。晶圓上的特徵部之總量可被分成數組,俾使一組包括最小特徵部,下一組包括其次小的特徵部,依此類推,直到最後一組包括最大的特徵部。各組可針對從具有最小特徵部的組至具有最大特徵部的組在各沉積-蝕刻-沉積循環中進行調整。例如,在第一沉積-蝕刻-沉積循環中,係以具有最小特徵部之該組為目標,並在接下來的沉積-蝕刻-沉積循環中,係以具有其次小的特徵部之該組為目標,依此類推,直到在最後一沉積-蝕刻-沉積循環中,係以具有最大特徵部之該組為目標。A set of features may include one, two, three, four, five, or more different features having the same or similar dimensions. For example, a set of features may include three features each having an opening between 11 nm and 2 nm. The total number of features on the wafer can be divided into arrays, so that one group includes the smallest feature, the next group includes the next smallest feature, and so on, until the last group includes the largest feature. Each group can be adjusted in each deposition-etch-deposition cycle from the group with the smallest feature to the group with the largest feature. For example, in the first deposition-etch-deposition cycle, the group with the smallest feature is targeted, and in the following deposition-etch-deposition cycle, the group with the second smallest feature is The target, and so on, until the last deposition-etch-deposition cycle, the group with the largest feature is targeted.

「目標」一詞可用以描述用於各對應的循環中之蝕刻化學品和處理條件。例如,以具有最小特徵部之組為目標的第一沉積-蝕刻-沉積循環可包括專門針對蝕刻最小特徵部的蝕刻處理。此可包括暴露至蝕刻劑的更短時間、較低的蝕刻劑流率、或在蝕刻操作中之其他調整。描述於美國專利申請案第13/851885號,全數併入於此作為參考之技術,可用以根據所揭露的實施例填充任何特定組的特徵部。The term "target" can be used to describe the etching chemicals and processing conditions used in each corresponding cycle. For example, a first deposition-etch-deposition cycle targeted at a group having the smallest features may include an etching process specifically for etching the smallest features. This may include shorter time exposure to the etchant, lower etchant flow rate, or other adjustments in the etching operation. The technology described in US Patent Application No. 13/851885, fully incorporated herein by reference, can be used to populate any particular set of features in accordance with the disclosed embodiments.

在各循環期間,在任一循環中的第二沉積完全填充在該循環中的目標之較小特徵部,但第二沉積在較大特徵部被夾斷之前終止。在下一循環中,目標為較大特徵部,俾使在相對應之循環的第二沉積期間,完全填充這些較大特徵部,但沉積係在下一較大的特徵部被夾斷之前終止。於第二沉積操作期間填充較小CD特徵部,但該操作係於較大CD的特徵部被夾斷之前終止。接著進行另一種選擇性蝕刻,其目標為改善在較大CD特徵部中之填充物,接著為主體鎢的第三沉積。由於小CD特徵部已被填充,因此這些特徵部不會受到影響。例如,一處理可能涉及: l 沉積1(以(複數)小CD的特徵部為目標) l 選擇性蝕刻 l 短時間的沉積2(以完全填充(複數)小CD特徵部,使大CD特徵部打開) l 選擇性蝕刻(在(複數)大CD特徵部內進行非保形蝕刻,(複數)小CD特徵部維持填充狀態且係不受影響) l 沉積3(填充(複數)大CD的特徵部)During each cycle, the second deposit in any one cycle completely fills the smaller feature of the target in that cycle, but the second deposit terminates before the larger feature is pinched off. In the next cycle, the target is a larger feature, so that during the second deposition of the corresponding cycle, these larger features are completely filled, but the deposition is terminated before the next larger feature is pinched off. The smaller CD features are filled during the second deposition operation, but the operation is terminated before the features of the larger CD are pinched off. Then another selective etch is performed with the goal of improving the filling in the larger CD features, followed by a third deposition of bulk tungsten. Since the small CD features are already filled, these features are not affected. For example, a process may involve: l Deposition 1 (targeting (plural) small CD features) l Selective etching l Short-term deposition 2 (to completely fill (plural) small CD features to make large CD features Open) l Selective etching (non-conformal etching in (plural) large CD features, (plural) small CD features remain filled and unaffected) l Deposit 3 (filled (plural) large CD features )

藉由執行數個沉積-蝕刻-沉積循環,較小特徵部先被填充且最大特徵部係最後被填充。在此方案中,當在後續的處理循環中進行蝕刻操作時,較小特徵部係不受影響,因為它們已經完全由其各自的沉積-蝕刻-沉積循環所填充。因此,在基板上的所有特徵部,無論其尺寸為何,最終都以無空穴、高品質的鎢膜填充。By performing several deposition-etch-deposition cycles, the smaller features are filled first and the largest features are filled last. In this scheme, when etching operations are performed in subsequent processing cycles, the smaller features are not affected because they are already completely filled by their respective deposition-etch-deposition cycles. Therefore, all the feature parts on the substrate, regardless of their size, are finally filled with a cavity-free, high-quality tungsten film.

圖4為一處理流程圖,描繪用以實施所揭露的實施例之方法的操作之示例。在操作401中,鎢係藉由以第一組之最小特徵部為目標而沉積。吾人應理解,當以第一組之最小特徵部為目標時,其他特徵部亦可能有鎢沉積於其中。沉積的條件和方法可為任何相對於圖2之操作211描述於上者。例如,基板可暴露至WF6 和H2 以藉由化學氣相沉積來沉積鎢。在一些實施例中,鎢成核層係受沉積且隨後鎢的第一主體層係受沉積。FIG. 4 is a process flow diagram depicting an example of the operation of the method to implement the disclosed embodiment. In operation 401, the tungsten system is deposited by targeting the smallest feature of the first group. I should understand that when the smallest feature of the first group is targeted, other features may also have tungsten deposited in it. The conditions and methods of deposition may be any of those described above with respect to operation 211 of FIG. 2. For example, the substrate may be exposed to WF 6 and H 2 to deposit tungsten by chemical vapor deposition. In some embodiments, a tungsten nucleation layer is deposited and then a first body layer of tungsten is deposited.

在操作403中,沉積在特徵部內之第一主體鎢係被部分地蝕刻。蝕刻條件可以針對在最小特徵部之組中的特定尺寸之特徵部而訂定。例如,若以在第一組中之具有約1nm及約2nm之間的開口之特徵部為目標,則蝕刻條件可選擇為俾使在這些特徵部之頂部的鎢受蝕刻的程度,剛好足以打開該特徵部以進行後續的沉積。大致而言,蝕刻條件可為相對於圖2中之操作213描述於上之任何一者。蝕刻處理亦進一步描述於下。In operation 403, the first host tungsten system deposited in the feature is partially etched. The etching conditions may be set for a feature having a specific size among a group of the smallest features. For example, if the features in the first group with openings between about 1 nm and about 2 nm are targeted, the etching conditions can be selected to allow the tungsten on the top of these features to be etched just enough to open This feature is used for subsequent deposition. In general, the etching conditions may be any of those described above with respect to operation 213 in FIG. 2. The etching process is further described below.

在操作405中,鎢係沉積於該等特徵部中。沉積條件和技術可為任何於上參照圖2於操作213中所述者。在此操作期間,鎢完全地填充目標或所選擇的一組特徵部,而部分地沉積在具有其次小特徵部尺寸之第二或下一目標組中。沉積條件,諸如沉積速率、溫度和含鎢前驅體的壓力,可為受速率限制,俾使反應速率取決於沉積鎢於較小特徵部中。如上所討論者,受速率限制之制度有助於減少在具有很多小特徵部之基板上的圖案負載效應,因為沉積在基板之一既定區域中之較小特徵部的較大表面面積上的鎢,比沉積在該基板之相同大小面積中之較大特徵部的表面面積上的鎢還多。在下一組目標之特徵部的夾斷之前,可終止操作405。In operation 405, a tungsten system is deposited in the features. The deposition conditions and techniques may be any of those described above with reference to FIG. 2 in operation 213. During this operation, tungsten completely fills the target or selected set of features, and is partially deposited in a second or next target set with the next smallest feature size. The deposition conditions, such as deposition rate, temperature, and pressure of the tungsten-containing precursor, can be rate-limited, so that the reaction rate depends on the deposition of tungsten in the smaller features. As discussed above, the rate-limited system helps reduce pattern loading effects on substrates with many small features, because tungsten deposited on a larger surface area of smaller features in a given area of a substrate More than tungsten deposited on the surface area of a larger feature in the same size area of the substrate. Operation 405 may be terminated before the features of the next set of targets are pinched.

在操作407中,受沉積的鎢係被部分地蝕刻,俾使所使用之條件係被調整以部分地蝕刻在第二組目標之特徵部中的鎢。由於各蝕刻係專門調整為蝕刻各組特徵部,操作407中的蝕刻化學品和條件可不同於操作403者。在一些實施方式中,更多(或少,取決於特徵部)的非保形蝕刻係用於操作407中,以防止在大CD特徵部內的深蝕刻。例如,可執行操作407,俾使該溫度低於在操作403中的溫度。在一些實施例中,可執行操作407,俾使該溫度大於在操作403期間之溫度。In operation 407, the deposited tungsten system is partially etched, so that the condition system used is adjusted to partially etch tungsten in the feature portion of the second set of targets. Since each etching system is specifically adjusted to etch each set of features, the etching chemicals and conditions in operation 407 may be different from those in operation 403. In some embodiments, more (or less, depending on the feature) non-conformal etch is used in operation 407 to prevent deep etching in large CD features. For example, operation 407 may be performed to make the temperature lower than the temperature in operation 403. In some embodiments, operation 407 may be performed such that the temperature is greater than the temperature during operation 403.

非保形蝕刻的實施態樣係描述於美國專利第8435894號中,其係併入於此作為參考,其中係用鎢部分地填充一通孔,接著對鎢進行以氟為主的蝕刻,以相較於深入特徵部內,蝕刻更多靠近開口的鎢。在此之後可進行鎢的沉積以填充特徵部。(吾人應注意,在美國專利第8435894號中的非保形蝕刻在其它地方係被稱為「選擇性移除」,此係由於在一特徵部的某些位置的受移除之材料多於在該特徵部其它位置的受移除之材料。在本文中之選擇性移除係不同於蝕刻一材料而非另一者之選擇性蝕刻。)在所揭露之實施例的背景中之非保形蝕刻係指優先或低階梯覆蓋的蝕刻。為獲得優先(或低階梯覆蓋)蝕刻,蝕刻的處理條件經過精心設計。適當的蝕刻溫度、蝕刻劑的流量和蝕刻壓力之組合可有助於達成期望的保形性。如果蝕刻保形性未調整為適合各類型的凹陷結構,即使在沉積-蝕刻-沉積順序後,此仍可能造成不理想的填充。An embodiment of non-conformal etching is described in U.S. Patent No. 8,435,894, which is incorporated herein by reference, in which a through-hole is partially filled with tungsten, and then tungsten is mainly etched with fluorine, followed by phase etching. Tungsten near the opening is etched more deeply than into the feature. After that, tungsten can be deposited to fill the features. (I should note that the non-conformal etch in U.S. Patent No. 8,435,894 is referred to elsewhere as "selective removal," because more material is removed at certain locations in a feature than Material removed elsewhere in the feature. Selective removal in this context is different from selective etching of one material and not the other.) Non-guaranteed in the context of the disclosed embodiments Shaped etching refers to etching with preferential or low step coverage. To obtain preferential (or low step coverage) etching, the processing conditions for the etching are carefully designed. A combination of appropriate etch temperature, etchant flow, and etch pressure can help achieve the desired shape retention. If the etch conformality is not adjusted to suit each type of recessed structure, this can still cause suboptimal filling even after the deposition-etch-deposition sequence.

階梯覆蓋係正比於(可用於反應的反應劑物種)/(反應速率)。在本文所揭露之其中主要的蝕刻劑為原子氟之特徵部蝕刻的一些實施方式中,此可簡化為: W 階梯覆蓋(原子F濃度)/ 蝕刻速率The step coverage is proportional to (reactant species available for reaction) / (reaction rate). In some embodiments disclosed herein, where the main etchant is the etching of the feature of atomic fluorine, this can be simplified as: W step coverage (Atomic F concentration) / Etching rate

因此,為了達到一定的鎢蝕刻階梯覆蓋(或期望的蝕刻保形性或蝕刻非保形性)時,NF3 的流率(或其它含F之蝕刻劑的流率)和蝕刻溫度為關鍵參數,因為它們直接影響原子氟的濃度和蝕刻率。如蝕刻壓力和載體氣體之流動亦相當重要。Therefore, in order to achieve a certain tungsten etching step coverage (or desired etch conformal or etch non-conformal), the flow rate of NF 3 (or the flow rate of other F-containing etchant) and the etch temperature are the key parameters Because they directly affect the atomic fluorine concentration and etch rate. Such as etching pressure and carrier gas flow are also very important.

在較高溫度下,流入的氟原子很快地產生反應並在特徵部的入口進行蝕刻,從而導致更加非保形的蝕刻;在較低溫度下,流入的氟原子能夠擴散蝕刻更深入特徵部內,從而產生更保形的蝕刻。更高的蝕刻劑流率將會產生更多氟原子,使更多氟原子擴散並蝕刻深入至特徵部中,從而產生更保形的蝕刻。較低的蝕刻劑流率將會產生更少的氟原子,此將在特徵部的入口發生反應並進行蝕刻,從而導致更不保形的蝕刻。較高的壓力會導致更多氟自由基的重組,以形成氟分子。氟分子具有比氟自由基更低的粘著係數且因此在蝕刻鎢之前更容易擴散進入特徵部中,造成更保形的蝕刻。At higher temperatures, the inflowing fluorine atoms quickly react and etch at the entrance of the feature, resulting in more non-conformal etching; at lower temperatures, the influent fluorine atoms can diffuse and etch deeper into the feature , Resulting in a more conformal etch. Higher etchant flow rates will generate more fluorine atoms, allowing more fluorine atoms to diffuse and etch deeper into the features, resulting in a more conformal etch. A lower etchant flow rate will generate fewer fluorine atoms, which will react and etch at the entrance of the feature, resulting in a less conformal etch. Higher pressures cause more recombination of fluorine radicals to form fluorine molecules. Fluorine molecules have a lower adhesion coefficient than fluorine radicals and are therefore more likely to diffuse into features before etching tungsten, resulting in a more conformal etch.

圖5顯示在具有不同輪廓之特徵部501和502中之部分沉積和蝕 刻的橫剖面示意圖。特徵部501包括在其中間以下的收縮部551;而特徵部502包括在特徵部開口附近的一突出部515。標準的CVD-W將因為分別由收縮部551和突出部515造成的夾斷,而使空穴產生於該特徵部中。特徵部501的蝕刻為一種在較低溫度及/或更多蝕刻劑物種(在本實施例中為氟自由基(F*))之更保形的蝕刻,以使蝕刻劑物種進一步擴散入該特徵部內。特徵部502的蝕刻為一種在較高的溫度及/或更小的蝕刻劑濃度的非保形蝕刻。FIG. 5 shows a schematic cross-sectional view of a part of the features 501 and 502 with different contours deposited and etched. The feature portion 501 includes a constriction portion 551 below the middle thereof; and the feature portion 502 includes a protruding portion 515 near the opening of the feature portion. Standard CVD-W will cause cavities in this feature due to pinch-off caused by the constricted portion 551 and the protruding portion 515, respectively. The etching of the feature 501 is a more conformal etch at a lower temperature and / or more etchant species (fluorine radicals (F *) in this embodiment) to further diffuse the etchant species into the Features. The etching of the feature 502 is a non-conformal etching at a higher temperature and / or a lower etchant concentration.

圖6為顯示不同的NF3 流動之蝕刻率作為蝕刻溫度的函數之曲線圖。可藉由設計具有高NF3 流率之低蝕刻率的處理而增加蝕刻保形性。在一示例中,標記為「高選擇性和高保形蝕刻」的區域表示數個處理條件,在該等處理條件下,蝕刻係為選擇性(W優於Ti或TiN)且在整個特徵部係為高度保形。雖然所測試之最低的蝕刻溫度和最高的NF3 流量分別為25℃和100sccm,仍可達到更高的保形性,此係藉由減少蝕刻溫度和增加NF3 流量(更多原子F自由基)以達成反應速率受限制之制度來實現。相反地,藉由在質傳限制制度中運作,可增加蝕刻非保形性,在該質傳限制制度中,係以低NF3 流動(較少原子F自由基)達到高蝕刻率。參照,例如,標記為「輕度選擇性和高度非保形性蝕刻」之區域。FIG. 6 is a graph showing the etch rate of different NF 3 flows as a function of etch temperature. Etching conformality can be increased by designing a process with a low etch rate with a high NF 3 flow rate. In one example, the area labeled "High Selectivity and High Conformal Etching" indicates several processing conditions under which the etching system is selective (W is better than Ti or TiN) and throughout the feature system It is highly conformal. Although the lowest etch temperature and the highest NF 3 flow rate tested were 25 ° C and 100 sccm, respectively, higher shape retention can be achieved. This is achieved by reducing the etch temperature and increasing the NF 3 flow rate (more atomic F radicals). ) To achieve a system with a limited reaction rate. Conversely, by operating in a mass transfer restriction system, the non-conformity of etching can be increased. In this mass transfer restriction system, a high etch rate is achieved with low NF 3 flow (less atomic F radicals). Reference, for example, the area labeled "Lightly Selective and Highly Non-Conformal Etching".

在一些實施方式中,保形的蝕刻可包括以下處理條件之一或更多者:低於約25℃的溫度、高於約50sccm的蝕刻劑流量、和大於約0.5 Torr的壓力。在一些實施方式中,非保形蝕刻可包括下列處理條件之一:高於約25℃的溫度、低於約50sccm的蝕刻劑流量、和大於約2 Torr的壓力。期望的階梯覆蓋性(例如,60%的階梯覆蓋性)可能涉及調整這些處理條件的一或更多者,以使該處理更保形或更非保形。In some embodiments, conformal etching may include one or more of the following processing conditions: a temperature below about 25 ° C, an etchant flow rate above about 50 sccm, and a pressure greater than about 0.5 Torr. In some embodiments, the non-conformal etch may include one of the following processing conditions: a temperature above about 25 ° C, an etchant flow below about 50 sccm, and a pressure greater than about 2 Torr. Desired step coverage (eg, 60% step coverage) may involve adjusting one or more of these processing conditions to make the process more conformal or non-conformal.

再次回到圖4,在操作409中,鎢係沉積在特徵部上,俾使第二組的特徵部被完全填滿且具有其次較大之特徵部尺寸的第三組特徵部被部分地填充。沉積條件,諸如沉積速率、溫度和含鎢前驅體的壓力、可如上所述地為受速率限制。操作409係於下一,或第三組特徵部之夾斷前被終止。在操作411中,使用針對蝕刻第三組的蝕刻化學品來蝕刻鎢。吾人應注意蝕刻化學品不會影響第一或第二組特徵部,因為這些較小的特徵部已經完全被填充。該蝕刻化學品可能會蝕刻在特徵部表面上或靠近特徵部的頂部的一些鎢,但該蝕刻化學品不足以在較小特徵部中產生任何空穴,且後續的鎢之沉積將繼續於這些表面上之沉積,俾使仍有無空穴之平滑的鎢填充物。可重覆這些沉積-蝕刻-沉積循環,俾使各組特徵部係從具有最小特徵部之組至具有最大特徵部之組依序被填充。Returning to FIG. 4 again, in operation 409, the tungsten system is deposited on the features, so that the features of the second group are completely filled and the features of the third group having the second largest feature size are partially filled. . The deposition conditions, such as deposition rate, temperature, and pressure of the tungsten-containing precursor, may be rate-limited as described above. Operation 409 was terminated before the next, or the third set of features were pinched off. In operation 411, tungsten is etched using an etch chemistry for the third group of etch. I should note that the etching chemicals will not affect the first or second set of features, because these smaller features are completely filled. The etching chemical may etch some tungsten on the surface of the feature or near the top of the feature, but the etching chemical is not sufficient to generate any voids in the smaller features, and subsequent deposition of tungsten will continue to these The deposition on the surface allows a smooth tungsten filler with no voids. These deposition-etch-deposition cycles can be repeated, so that each set of features is sequentially filled from the set with the smallest feature to the set with the largest feature.

如圖4所示,在操作413中,最終鎢被沉積至第二大特徵部之該組中,以完全填充該等特徵部同時部分地填充鎢於最大組特徵部中。在操作415中,以針對蝕刻在第二大特徵部之組上的鎢的條件進行鎢的蝕刻。最後,在操作417中,以鎢填充其餘的最大特徵部。As shown in FIG. 4, in operation 413, finally tungsten is deposited into the group of the second largest feature to completely fill the features while partially filling tungsten into the largest group of features. In operation 415, etching of tungsten is performed under conditions for tungsten etched on the group of the second largest feature. Finally, in operation 417, the remaining largest features are filled with tungsten.

圖7和圖8顯示在數個沉積-蝕刻-沉積順序之兩個循環中,位於單一基板上之一小CD特徵部(圖7)和大CD特徵部(圖8)的示例。如圖所示,在圖7中的701和在圖8中801繪示在圖4中之操作401。鎢係沉積在小CD特徵部和大特徵部中,該等特徵部皆於其表面上呈現保形之生長。在圖4的操作403中,使用針對蝕刻在圖7之操作703中的小特徵部來蝕刻受沉積的鎢,而沉積在圖8之操作803中的大特徵部內的鎢亦受蝕刻。吾人應注意該蝕刻劑物種能夠進入在操作803中之大特徵部內且蝕刻掉之側壁實質上多於該蝕劑物種在703中所蝕刻掉的小特徵部。在圖4之操作405中,鎢係沉積在特徵部中以填充最小特徵部,如圖705所示。同時,鎢亦沉積於大特徵部中,如圖805所示,但吾人應注意該沉積係於該等較大特徵部被夾斷之前終止。在圖4的操作407中,該等較大特徵部係以針對該等較大特徵部之蝕刻化學品進行蝕刻,如圖807所示。雖然涉及蝕刻化學品,但蝕刻劑並不影響在圖707中之較小特徵部內的受填充鎢,因此不太需要擔心間隙或空穴出現在較小特徵部中。在圖4的操作409中,鎢係受沉積以填充較大特徵部,如圖809所示。同時,如圖709中所示之較小特徵部係不受影響-額外的鎢係僅沉積在基板的表面上。在圖7和圖8的示例中,僅描繪兩種特徵部尺寸以顯示數個沉積-蝕刻-沉積循環的一可能範例。吾人應理解,基板可擁有具有多種尺寸,如20個或更多的特徵部尺寸之特徵部,且蝕刻化學品可針對依照尺寸而分組的特徵部,且該不同組可包含一個特徵部尺寸,或兩個特徵部尺寸,或更多特徵部尺寸,例如在一組中有五個特徵部尺寸。例如,在一具有較大特徵部之組中的一大特徵部之最大的CD,可以是在一具有較小特徵部之組中的一小特徵部之最大的CD之1.5倍、或2倍、或5倍、或10倍,或10倍以上。 成核層沉積Figures 7 and 8 show examples of a small CD feature (Figure 7) and a large CD feature (Figure 8) on a single substrate in two cycles of several deposition-etch-deposition sequences. As shown, operations 401 in FIG. 7 and operations 401 in FIG. 4 are shown in FIG. 7 and 801 in FIG. 8. The tungsten system is deposited in small CD features and large features, and these features all show conformal growth on their surfaces. In operation 403 of FIG. 4, the small feature portions etched in operation 703 of FIG. 7 are used to etch the deposited tungsten, and the tungsten deposited in the large feature portions in operation 803 of FIG. 8 is also etched. I should note that the etchant species can enter the large features in operation 803 and etch away substantially more sidewalls than the small features etched by the etchant species in 703. In operation 405 of FIG. 4, a tungsten system is deposited in the features to fill the smallest features, as shown in FIG. 705. At the same time, tungsten was also deposited in the large features, as shown in Figure 805, but I should note that the deposition was terminated before the larger features were pinched off. In operation 407 of FIG. 4, the larger features are etched with an etching chemical for the larger features, as shown in FIG. 807. Although it involves etching chemicals, the etchant does not affect the filled tungsten in the smaller features in Figure 707, so there is less need to worry about gaps or cavities appearing in the smaller features. In operation 409 of FIG. 4, the tungsten system is deposited to fill the larger features, as shown in FIG. 809. At the same time, the smaller feature system as shown in Figure 709 is not affected-the additional tungsten system is deposited only on the surface of the substrate. In the examples of FIGS. 7 and 8, only two feature sizes are depicted to show one possible example of several deposition-etch-deposition cycles. I should understand that substrates can have features with multiple sizes, such as 20 or more feature sizes, and etching chemicals can be targeted for features that are grouped by size, and that different groups can include one feature size, Or two feature sizes, or more feature sizes, for example, five feature sizes in a group. For example, the largest CD of a large feature in a group with larger features may be 1.5 times or 2 times the largest CD of a small feature in a group with smaller features. , Or 5 times, or 10 times, or more than 10 times. Nucleation layer deposition

在一些實施方式中,本文所述的方法涉及在沉積主體層前沉積鎢成核層。成核層通常為薄的保形層,其可增進後續之主體含鎢材料沉積於其上。根據各種實施方式,成核層之沉積可在特徵部的任何填充前,以及/或在該特徵部之填充期間的後續時點進行。例如,在一些實施方式中,可在蝕刻在特徵部中之鎢之後沉積成核層。In some embodiments, the methods described herein involve depositing a tungsten nucleation layer before depositing a bulk layer. The nucleation layer is usually a thin conformal layer, which can promote subsequent deposition of a bulk tungsten-containing material thereon. According to various embodiments, the deposition of the nucleation layer may be performed before any filling of the feature and / or at a later point in time during the filling of the feature. For example, in some embodiments, a nucleation layer may be deposited after etching tungsten in the features.

在某些實施方式中,使用脈衝成核層(PNL)技術沉積成核層。在PNL技術中,還原劑、沖洗氣體、及含鎢前驅體之脈衝可依序地注入反應腔室及從反應腔室沖洗。該過程係以循環方式重覆,直到達到所期望之厚度。PNL廣泛地體現依序為增添反應物以於半導體基板上產生反應的任何循環處理,包括原子層沉積(ALD)技術。用於沉積鎢成核層之PNL技術係描述於美國專利第6635965號、第 7005372號、第 7141494號、第 7589017號、第 7772114號、第 7955972號、第 8058170號、及第8623733號中,其係全數併入於此作為參考。成核層的厚度可取決於成核層沉積方法以及期望之主體沉積的品質。在一般情況下,成核層的厚度係足以支撐高品質、均勻的主體沉積。示例可為約10Å - 100Å。In some embodiments, a nucleation layer is deposited using pulsed nucleation layer (PNL) technology. In the PNL technology, pulses of a reducing agent, a flushing gas, and a tungsten-containing precursor can be sequentially injected into and flushed from the reaction chamber. This process is repeated in a cyclic manner until the desired thickness is reached. PNL broadly embodies any cyclic process, including atomic layer deposition (ALD) technology, that sequentially adds reactants to generate a reaction on a semiconductor substrate. PNL technology for depositing a tungsten nucleation layer is described in U.S. Pat. All are incorporated herein by reference. The thickness of the nucleation layer may depend on the nucleation layer deposition method and the desired quality of the host deposition. In general, the thickness of the nucleation layer is sufficient to support high-quality, uniform bulk deposition. An example might be about 10Å-100Å.

雖然PNL沉積的示例係提供於上,本文所述的方法並不限於鎢成核層的沉積之特定方法,但包括將主體鎢薄膜沉積在藉由任何方法,包括PNL、ALD、CVD和物理氣相沉積(PVD)所形成的鎢成核層上。此外,在某些實施方式中,主體鎢可在不使用成核層的情況下,直接沉積在特徵部中。例如,在一些實施方式中,特徵部表面及/或已被沉積之底層支撐主體鎢沉積。在一些實施方式中,可進行不使用成核層的主體鎢沉積處理。例如,於2012年7月27日所提出之美國專利申請案第13/560688號(其係併入於此作為參考)描述在不使用成核層的情況之主體鎢層的沉積。Although examples of PNL deposition are provided above, the methods described herein are not limited to specific methods for the deposition of tungsten nucleation layers, but include the deposition of bulk tungsten films by any method, including PNL, ALD, CVD, and physical gas. Phase deposition (PVD) on the tungsten nucleation layer. In addition, in some embodiments, bulk tungsten can be deposited directly into the features without the use of a nucleation layer. For example, in some embodiments, the feature surface and / or the underlying substrate that has been deposited supports the bulk tungsten deposition. In some embodiments, a bulk tungsten deposition process that does not use a nucleation layer may be performed. For example, US Patent Application No. 13/560688 filed on July 27, 2012, which is incorporated herein by reference, describes the deposition of a bulk tungsten layer without the use of a nucleation layer.

在各種實現中,鎢成核層的沉積可涉及暴露至一含鎢前驅體,例如六氟化鎢(WF6 )、六氯化鎢(WCl6 )和六羰基鎢(W(CO)6 )。在某些實施方式中,該含鎢前驅體為一含鹵素之化合物,如WF6 。亦可使用有機金屬前驅體、及無氟前驅體,諸如MDNOW(甲基環戊二烯-二羰基亞硝醯-鎢)及EDNOW(乙基環戊二烯-二羰基亞硝醯-鎢)。In various implementations, the deposition of a tungsten nucleation layer may involve exposure to a tungsten-containing precursor, such as tungsten hexafluoride (WF 6 ), tungsten hexachloride (WCl 6 ), and tungsten hexacarbonyl (W (CO) 6 ) . In some embodiments, the tungsten-containing precursor is a halogen-containing compound, such as WF 6 . Organometallic precursors and fluorine-free precursors can also be used, such as MDNOW (methylcyclopentadiene-dicarbonyl nitrosamidine-tungsten) and EDNOW (ethylcyclopentadiene-dicarbonyl nitrosammonium-tungsten) .

還原劑的範例可包括含硼還原劑,包括二硼烷(B2 H6 )以及其它硼烷類;含矽還原劑,包括矽烷(SiH4 )以及其他矽烷類、聯氨、和鍺烷。在一些實施方式中,含鎢前驅體的脈衝可與一或更多還原劑之脈衝交替,還原劑之範例為如S / W/ S / W/ B / W等等,其中W代表含鎢前驅體,S代表含矽前驅體,且B代表含硼前驅體。在一些實施方式中,可不使用單獨的還原劑,例如,含鎢前驅體可能經歷熱或電漿輔助之分解。Examples of the reducing agent may include a boron-containing reducing agent including diborane (B 2 H 6 ) and other boranes; a silicon-containing reducing agent including silane (SiH 4 ) and other silanes, hydrazine, and germane. In some embodiments, the pulse of the tungsten-containing precursor may be alternated with the pulse of one or more reducing agents. Examples of the reducing agent are S / W / S / W / B / W, etc., where W represents a tungsten-containing precursor Body, S represents a silicon-containing precursor, and B represents a boron-containing precursor. In some embodiments, a separate reducing agent may not be used, for example, tungsten-containing precursors may undergo thermal or plasma-assisted decomposition.

根據各種實施方式,可以或可不在背景下流動氫。此外,在一些實施方式中,鎢成核層的沉積之後,可為一更多處理操作,接著為主體鎢的沉積。一種處理沉積鎢成核層以降低電阻率的作法係描述在例如美國專利第7772114號、第 8058170號、和第8623733號中,其係併入於此作為參考。 主體沉積According to various embodiments, hydrogen may or may not flow in the background. In addition, in some embodiments, the deposition of the tungsten nucleation layer may be followed by one more processing operation, followed by the deposition of the bulk tungsten. One method of processing a deposited tungsten nucleation layer to reduce resistivity is described in, for example, U.S. Patent Nos. 7,772,114, 8058170, and 8,623,733, which are incorporated herein by reference. Bulk deposition

在許多實施方式中,主體鎢沉積可由一CVD處理產生。在該CVD處理中,還原劑和含鎢前驅體係流動至沉積腔室中以沉積一主體填充物層於特徵部中。可使用惰性載氣以傳送反應物流之一或更多者,該等反應物之流可或可不預先混合。不同於PNL或ALD處理,此操作通常包括連續流動反應物直到已沉積期望的量為止。在某些實施方式中中,CVD操作可發生在數個階段,而反應物的持續及同時流動的數個期間係由一或更多分流的反應物流動的期間所分開。In many embodiments, the bulk tungsten deposition may be produced by a CVD process. In the CVD process, a reducing agent and a tungsten-containing precursor system flow into a deposition chamber to deposit a bulk filler layer in a feature. An inert carrier gas may be used to convey one or more of the reactant streams, and the streams of these reactants may or may not be pre-mixed. Unlike PNL or ALD processes, this operation typically involves continuously flowing the reactants until the desired amount has been deposited. In some embodiments, the CVD operation can occur in several stages, and the periods of continuous and simultaneous flow of reactants are separated by periods during which one or more of the shunted reactants flow.

包括但不限於WF6 、WCl6 、和W(CO)6 之各種含鎢氣體可作為含鎢前驅體。在某些實施方式中,該含鎢前驅體為含鹵素之化合物,如WF6 。在某些實施方式中,該還原劑為氫氣,但亦可使用其它還原劑,包括矽烷(SiH4 )、二矽烷(Si2 H6 )、聯氨(N2 H4 )、二硼烷(B2 H6 ),和鍺烷(GeH4 )。在許多實施方式中,氫氣被用作在CVD處理中的還原劑。在一些其他實施方式中,鎢前驅體,可使用可分解以形成一主體鎢層的鎢前驅體。主體沉積亦可使用其他類型的處理,包括ALD處理而產生。Various tungsten-containing gases including, but not limited to, WF 6 , WCl 6 , and W (CO) 6 can be used as the tungsten-containing precursor. In certain embodiments, the tungsten-containing precursor is a halogen-containing compound, such as WF 6 . In some embodiments, the reducing agent is hydrogen, but other reducing agents may also be used, including silane (SiH 4 ), disilane (Si 2 H 6 ), hydrazine (N 2 H 4 ), diborane ( B 2 H 6 ), and germane (GeH 4 ). In many embodiments, hydrogen is used as a reducing agent in a CVD process. In some other embodiments, the tungsten precursor can be a tungsten precursor that can be decomposed to form a bulk tungsten layer. Bulk deposition can also be produced using other types of processing, including ALD processing.

溫度之範例可介於從約​​200℃至約500℃之範圍內。根據各種實施方式,本文所述之任何CVD W操作可採用低溫CVD W填充,例如,在約250℃~350℃或約300℃左右。Examples of temperatures may range from about 200 ° C to about 500 ° C. According to various embodiments, any of the CVD W operations described herein may be filled with low temperature CVD W, for example, at about 250 ° C to 350 ° C or about 300 ° C.

根據各種實施方式,可進行沉積直到達成某一特徵部輪廓及/或已沉積特定量的鎢為止。在一些實施方式中,可藉由模擬及/或反復試驗來決定沉積時間和其它相關參數。例如,對於一種鎢可保形地沉積於一特徵部中直到被夾斷的由而內外之填充處理所用的初始沉積,可以很直接地根據特徵部的尺寸,判斷可達到夾斷情形之鎢的厚度和對應的沉積時間。在一些實施方式中,處理腔室可配有各種感應器,以進行用於沉積操作之端點偵測的原位量測之測量。原位量測的範例包括光學顯微鏡和X射線螢光分析(X-Ray Fluorescence, XRF)以決定沉積膜的厚度。According to various embodiments, deposition may be performed until a certain feature profile is reached and / or a specific amount of tungsten has been deposited. In some embodiments, the deposition time and other related parameters can be determined by simulation and / or trial and error. For example, for an initial deposition of tungsten that can be conformally deposited in a feature until it is pinched, the filling process can be judged directly based on the size of the feature, which can reach the pinch situation. Thickness and corresponding deposition time. In some embodiments, the processing chamber may be equipped with various sensors for performing in-situ measurements for endpoint detection of deposition operations. Examples of in-situ measurements include optical microscopy and X-Ray Fluorescence (XRF) to determine the thickness of the deposited film.

吾人應理解,取決於所使用的特定前驅體和處理,本文所述之鎢膜可包括某些量之其它化合物、摻雜物、及/或雜質,如氮、碳、氧、硼、磷、硫、矽、鍺、及其相似物。在膜中之鎢含量可介於從約20%至約100%(原子)的鎢。在許多實施方式中,膜的鎢含量豐富,具有至少約50%(原子)的鎢,​​或甚至至少約60%、75%、90%或99%(原子)的鎢。在一些實施方式中,該等膜可為金屬或元素鎢(W)以及其它如碳化鎢(WC)、氮化鎢(WN)等含鎢化合物之混合物。I should understand that the tungsten film described herein may include certain amounts of other compounds, dopants, and / or impurities, such as nitrogen, carbon, oxygen, boron, phosphorus, Sulfur, silicon, germanium, and the like. The tungsten content in the film may range from about 20% to about 100% (atomic) tungsten. In many embodiments, the film is rich in tungsten, with at least about 50% (atomic) tungsten, or even at least about 60%, 75%, 90%, or 99% (atomic) tungsten. In some embodiments, the films may be a mixture of metal or elemental tungsten (W) and other tungsten-containing compounds such as tungsten carbide (WC) and tungsten nitride (WN).

這些材料的CVD及ALD沉積可包含使用任何合適的前驅體。例如,氮化鎢的CVD和ALD沉積可包含使用含鹵素和無鹵素之含鎢及含氮化合物,如下所進一步描述。含鈦層的CVD和ALD沉積可包括使用含鈦的前驅體,其範例包括肆(二甲基胺基)鈦(TDMAT)及氯化鈦(TiCl4 ),及若適當的話,一或更多的共反應物。含鉭層的CVD和ALD沉積可包括使用如五(二甲胺)鉭(PDMAT)和TaF5 之前驅體,及,若適當的話,一或更多的共反應物。 含鈷層的CVD和ALD沉積可包含使用的前驅體,如三(2,2,6,6 - 四甲基-3,5 - 庚二酮酸)鈷、雙(環戊二烯)鈷、和二鈷六羰基丁基乙炔(dicobalt hexacarbonyl butylacetylene),以及一或更多共反應物。含鎳層的CVD和ALD沉積可包括使用如環戊二烯基烯丙基鎳(cyclopentadienylallylnickel,CpAllylNi)和MeCp2 Ni之前驅體。共反應物之範例可包括N2 、NH3 、N2 H4 、N2 H6 、SiH4 、Si3 H6 、B2 H6 、H2 、和AlCl3 。 鎢蝕刻CVD and ALD deposition of these materials may include the use of any suitable precursor. For example, CVD and ALD deposition of tungsten nitride can include the use of halogen- and halogen-free tungsten-containing and nitrogen-containing compounds, as described further below. CVD and ALD deposition of titanium-containing layers may include the use of titanium-containing precursors, examples of which include titanium (dimethylamino) titanium (TDMAT) and titanium chloride (TiCl 4 ), and if appropriate, one or more Co-reactant. CVD and ALD deposition of tantalum-containing layers may include the use of precursors such as penta (dimethylamine) tantalum (PDMAT) and TaF 5 and, if appropriate, one or more co-reactants. CVD and ALD deposition of cobalt-containing layers may include the use of precursors, such as cobalt tris (2,2,6,6-tetramethyl-3,5-heptanedione acid), bis (cyclopentadiene) cobalt, And dicobalt hexacarbonyl butylacetylene, and one or more co-reactants. CVD and ALD deposition of nickel-containing layers may include the use of precursors such as cyclopentadienylallylnickel (CpAllylNi) and MeCp 2 Ni. Examples of co-reactants may include N 2 , NH 3 , N 2 H 4 , N 2 H 6 , SiH 4 , Si 3 H 6 , B 2 H 6 , H 2 , and AlCl 3 . Tungsten etching

可透過將鎢暴露至能夠與鎢發生反應的一或更多蝕刻劑物種,以進行鎢的蝕刻。蝕刻劑物種的範例包括鹵素物種和含鹵素物種。可用於移除含鎢材料的初始蝕刻劑材料之範例包括氮氣的三氟化氮(NF3 )、四氟甲烷(CF4 )、四氟乙烯(C2 F4 )、六氟乙烷(C2 F6 )、和八氟丙烷(C3 F8 )、三氟甲烷(CHF3 )、三氟氯甲烷(CF3 Cl)、六氟化硫(SF6 )、和分子氟(F2 )。在一些實施方式中,該等物種可被活化並包含自由基及/或離子。例如,一初始蝕刻劑材料可經由一遠端電漿產生器流動及/或承受原位電漿處理。在一些實施方式中,鎢可暴露至非電漿蝕刻劑蒸氣。Etching of tungsten can be performed by exposing tungsten to one or more etchant species capable of reacting with tungsten. Examples of etchant species include halogen species and halogen-containing species. Examples of initial etchant materials that can be used to remove tungsten-containing materials include nitrogen trifluoride (NF 3 ), tetrafluoromethane (CF 4 ), tetrafluoroethylene (C 2 F 4 ), and hexafluoroethane (C 2 F 6 ), and octafluoropropane (C 3 F 8 ), trifluoromethane (CHF 3 ), trifluorochloromethane (CF 3 Cl), sulfur hexafluoride (SF 6 ), and molecular fluorine (F 2 ) . In some embodiments, the species can be activated and include free radicals and / or ions. For example, an initial etchant material may flow through a remote plasma generator and / or undergo in-situ plasma processing. In some embodiments, tungsten can be exposed to a non-plasma etchant vapor.

除了以上所提出之範例,可使用已知的蝕刻劑化學品以蝕刻不含鎢的膜以及含鎢的膜。例如,如NF3 之含氟化合物可用於含鈦化合物,如TiN和TiC。如Cl2 及BCl2 之含氯化合物可用於一些實施方式中,例如用以蝕刻TiAl、TiAlN、含鎳化合物、以及含鈷化合物。另外,雖然以下的蝕刻主要指電漿及/或非電漿氣相蝕刻,在一些實施方式中,亦可使用濕式蝕刻技術來實現該等方法。In addition to the examples presented above, known etchant chemicals can be used to etch tungsten-free films and tungsten-containing films. For example, fluorine-containing compounds such as NF 3 can be used for titanium-containing compounds such as TiN and TiC. Chlorine-containing compounds such as Cl 2 and BCl 2 can be used in some embodiments, such as to etch TiAl, TiAlN, nickel-containing compounds, and cobalt-containing compounds. In addition, although the following etching mainly refers to plasma and / or non-plasma vapor phase etching, in some embodiments, wet etching techniques can also be used to implement these methods.

在一些實施方式中,可使用遠端生成的電漿。初始蝕刻劑材料以及,在某些實施例中,惰性氣體、如氬氣、氦氣等,可被供應至任何合適的遠端電漿產生器。可以使用遠端電漿單元,例如由位於Massachusetts 之Andover 的MKS Instruments 所生產之ASTRON® i Type AX7670、ASTRON® e Type AX7680、ASTRON® ex Type AX7685、ASTRON® hf-s Type AX7645。遠端電漿單元通常為一自足的設備,其係使用所供應的蝕刻劑產生弱游離電漿。在一些實施方式中,高功率的射頻(RF)產生器提供能量給電漿中的電子。此能量係接著被轉移到中性蝕刻劑分子,使在2000K等級的溫度導致這些分子的熱分解。由於遠端電漿單元的高射頻能量和特殊通道幾何形狀,其可解離60%以上之輸入蝕刻劑分子,使蝕刻劑吸附其大部分能量。In some embodiments, a remotely generated plasma can be used. The initial etchant material and, in some embodiments, an inert gas, such as argon, helium, etc., can be supplied to any suitable remote plasma generator. Remote plasma units can be used, such as ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645 produced by MKS Instruments in Andover, Massachusetts. The remote plasma unit is usually a self-contained device that uses a supplied etchant to generate a weak free plasma. In some embodiments, a high power radio frequency (RF) generator provides energy to the electrons in the plasma. This energy system is then transferred to neutral etchant molecules, causing a thermal decomposition of these molecules at temperatures of the order of 2000K. Due to the high RF energy of the remote plasma unit and the special channel geometry, it can dissociate more than 60% of the input etchant molecules, causing the etchant to absorb most of its energy.

在一些實施方式中,來自遠端電漿單元被傳送至於其中進行蝕刻之腔室的活性物種係為是自由基,且實質上不包含離子物種。於本領域中具有通常技術者將理解,可能有一些未助於蝕刻之小數目的離子物種。此數量可夠小而不被檢測到。在一些實施方式中,來自遠端電漿單元被傳送至於其中進行蝕刻之腔室的活性物種可包括相當大量的離子物種以及自由基物種。In some embodiments, the active species from the chamber into which the remote plasma unit is transmitted for etching is a free radical and does not substantially contain ionic species. Those of ordinary skill in the art will understand that there may be some small number of ionic species that do not contribute to etching. This number can be small enough to not be detected. In some embodiments, the active species from the chamber into which the remote plasma unit is delivered for etching may include a significant amount of ionic species as well as free radical species.

在一些實施方式中,蝕刻操作可使用原位生成於其中放置基板的腔室裡的電漿,俾使鎢係暴露至直接電漿,做為遠端生成的電漿之增添或替代。在一些實施方式中,射頻(RF)電漿產生器可用於生成電漿於腔室內之兩個電極之間。電極的範例包括,例如,噴淋頭和支座。在一示例中,可使用能夠以約1 MHz和100 MHz之間的頻率提供約0 W和10000W之間的高頻(HF)產生器。在一更具體的實施方式中,高頻產生器可以約13.56MHz 傳送0W至5000 W。在一些實施方式中,低頻率(LF)產生器可以約100kHz及2 MHz之間、或約100kHz和1MHz之間,例如可使用400 kHz之頻率提供介於約0和10000W之間。In some embodiments, the etching operation may use a plasma generated in situ in a chamber in which the substrate is placed, exposing the tungsten system to a direct plasma, as an addition or replacement of the plasma generated remotely. In some embodiments, a radio frequency (RF) plasma generator can be used to generate a plasma between two electrodes in a chamber. Examples of electrodes include, for example, sprinklers and supports. In an example, a high frequency (HF) generator capable of providing between about 0 W and 10000 W at a frequency between about 1 MHz and 100 MHz may be used. In a more specific embodiment, the high-frequency generator can transmit 0 W to 5000 W at about 13.56 MHz. In some embodiments, the low frequency (LF) generator may be between about 100 kHz and 2 MHz, or between about 100 kHz and 1 MHz, for example, a frequency of 400 kHz may be used to provide between about 0 and 10000 W.

電漿產生器可為電容耦合電漿(CCP)產生器、感應耦合電漿(ICP)產生器、變壓器耦合電漿(TCP)產生器、電子迴旋共振(ECR)產生器或螺旋電漿產生器。除了RF源以外,亦可使用微波源。The plasma generator can be a capacitively coupled plasma (CCP) generator, an inductively coupled plasma (ICP) generator, a transformer coupled plasma (TCP) generator, an electronic cyclotron resonance (ECR) generator, or a spiral plasma generator. . In addition to RF sources, microwave sources can also be used.

根據各種實施方式,可以在相同的腔室進行一些或所有的蝕刻操作,其它操作,包括沉積及/或處理操作亦於該腔室中進行,或在專用的蝕刻腔室中進行。若使用一專用的蝕刻腔室時,該腔室可被連接至一或更多其它處理腔室的相同的真空環境,或可為一單獨的真空環境之一部分。例如,在一些實施方式中,可使用TCP蝕刻模組,例如來自位於加州Fremont之Lam Research 的Kiyo®導體蝕刻模組。可與此模組共同使用的蝕刻劑之範例包括NF3 、CF4 、SF6 、CH3 F、CH2 F2 和CF4 。操作壓力的範例可介於從約30 mTorr至約100 mTorr的範圍內。溫度的範例可介於從約30℃至約120℃的範圍內。According to various embodiments, some or all of the etching operations may be performed in the same chamber, and other operations including deposition and / or processing operations are also performed in the chamber, or in a dedicated etching chamber. If a dedicated etching chamber is used, the chamber may be connected to the same vacuum environment of one or more other processing chambers, or may be part of a separate vacuum environment. For example, in some embodiments, a TCP etch module can be used, such as a Kiyo® conductor etch module from Lam Research, Fremont, California. Examples of etchants that can be used with this module include NF 3 , CF 4 , SF 6 , CH 3 F, CH 2 F 2 and CF 4 . Examples of operating pressures may range from about 30 mTorr to about 100 mTorr. An example of temperature may be in a range from about 30 ° C to about 120 ° C.

在各種實施方式中,蝕刻係執行,直到受沉積之鎢的某些特性被移除或達成某一輪廓。例如,蝕刻可繼續進行,直到受夾持斷之鎢被移除,或直到一接縫被移除。在一些實施方式中,用於特定的蝕刻處理參數之蝕刻終點之判定,可藉由為特定特徵部的幾何形狀和輪廓,以及被蝕刻之受沉積鎢的量建模及/或嘗試錯誤法為之。在一些實施方式中,處理腔室可備有各種感應器,以進行原位量測之測量以判定移除的程度。原位量測的範例包括光學顯微鏡和X射線螢光分析以判定膜的厚度。此外,可使用紅外(IR)光譜分析以檢測在蝕刻期間產生之鎢氟化物(WFX )或其他副產物的量。在一些實施方式中,一底層可用以作為蝕刻停止層。光發射光譜儀(optical emission spectroscopy, OES)亦可用以監測蝕刻。In various embodiments, the etching is performed until certain characteristics of the deposited tungsten are removed or a certain profile is reached. For example, etching may continue until the clamped off tungsten is removed, or until a joint is removed. In some embodiments, the determination of the etching end point for a specific etching process parameter can be performed by modeling and / or trial and error methods for the geometry and contours of specific features and the amount of deposited tungsten being etched. Of it. In some embodiments, the processing chamber may be provided with various sensors to perform in-situ measurements to determine the degree of removal. Examples of in situ measurements include optical microscopy and X-ray fluorescence analysis to determine film thickness. In addition, infrared (IR) spectroscopy can be used to detect the amount of tungsten fluoride (WF X ) or other by-products generated during etching. In some embodiments, a bottom layer can be used as an etch stop layer. Optical emission spectroscopy (OES) can also be used to monitor etching.

此外,根據各種實施方式,可調整蝕刻操作的保形性。保形的蝕刻係指在材料從整個特徵部均勻地被移除者。調整蝕刻保形性的方法係如上所述。在一些實施方式中,調整蝕刻保形性可包括在/不在一質傳限制制度下操作。在此制度中,特徵部內的移除率係受擴散至特徵部內之不同蝕刻材料組成物的量及/或相對組成物(例如,初始的蝕刻材料、活性蝕刻劑物種、及重新組合的蝕刻劑物種)所限制。在某些實施例中,蝕刻率取決於在特徵部內的不同位置之各種蝕刻劑成分的濃度。吾人應注意,用語「蝕刻」和「移除」在本文中係可互換使用。In addition, according to various embodiments, the shape retention of the etching operation can be adjusted. Conformal etching refers to those in which the material is uniformly removed from the entire feature. The method for adjusting the shape retention of etching is as described above. In some embodiments, adjusting etch conformality may include operating under / without a mass transfer restriction system. In this system, the removal rate within a feature is affected by the amount and / or relative composition of different etch material compositions that diffuse into the feature (eg, initial etch material, active etchant species, and recombined etchant). Species). In some embodiments, the etch rate depends on the concentration of various etchant components at different locations within the feature. I should note that the terms "etch" and "remove" are used interchangeably in this article.

在一些實施方式中,可在一個、兩個,或更多蝕刻操作執行凹槽蝕刻。例如,在第一操作中,進行快速的處理以移除場區內的鎢,接著是受更精細地控制的處理,以蝕刻並控制凹槽的深度。在一示例中,可以使用較高的溫度、較高的蝕刻劑流率、以及,對於以電漿為主的蝕刻而言,較高的電漿功率。對於較快的蝕刻而言,蝕刻速率之範例可為約10Å/秒和約50Å/秒之間。可使用較低的蝕刻劑流率於較慢、更受控制的處理且,對於以電漿為主的蝕刻而言,可使用較低的電漿功率。取決於相對於底層之期望的蝕刻選擇性,在受控制之蝕刻期間的溫度可以或可不低於在較快的蝕刻處理期間之溫度。蝕刻速率之範例可介於約3 Å/秒- 約20 Å/秒之間或對於受控制蝕刻而言,約3 Å/秒 - 約10 Å/秒之間。 設備In some embodiments, groove etching may be performed in one, two, or more etching operations. For example, in a first operation, a rapid process is performed to remove tungsten in the field, followed by a more finely controlled process to etch and control the depth of the grooves. In one example, higher temperatures, higher etchant flow rates, and, for plasma-based etching, higher plasma power may be used. For faster etch, examples of etch rates may be between about 10 Å / sec and about 50 Å / sec. Lower etchant flow rates can be used for slower, more controlled processes and, for plasma-based etching, lower plasma power can be used. Depending on the desired etch selectivity relative to the underlying layer, the temperature during the controlled etch may or may not be lower than the temperature during the faster etch process. Examples of etch rates may be between about 3 Å / second-about 20 Å / second or for controlled etch, between about 3 Å / second-about 10 Å / second. device

任何合適的腔室可用以實現此新穎方法。沉積設備之範例包括各種系統,例如,位於加州San Jose之Novellus Systems的ALTUS 及ALTUS Max,或各種其他商業上可取得之處理系統之任何一者。Any suitable chamber can be used to implement this novel method. Examples of deposition equipment include various systems, such as ALTUS and ALTUS Max at Novellus Systems, San Jose, California, or any of a variety of other commercially available processing systems.

圖9顯示設備900之示意圖,該設備根據某些實施例用於處理一部份加工之半導體基板。設備900包括腔室918,其具有支座920、噴淋頭914、及原位電漿產生器916。設備900亦包含系統控制器922,以接收輸入及/或提供控制信號至各種裝置。FIG. 9 shows a schematic diagram of an apparatus 900 for processing a portion of a processed semiconductor substrate according to some embodiments. The device 900 includes a chamber 918 having a support 920, a showerhead 914, and an in-situ plasma generator 916. The device 900 also includes a system controller 922 to receive inputs and / or provide control signals to various devices.

蝕刻劑以及,在某些實施例中,如氬氣、氦氣和其他惰性氣體,係從來源902供應至遠端電漿產生器906,來源902可為一儲存槽。任何合適的遠端電漿產生器可用於在使蝕刻劑引入至腔室918內之前活化蝕刻劑。例如,可使用位於馬塞諸塞州Andover之MKS Instruments of Andover的遠端電漿清潔(RPC)組件,如ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645。RPC組件通常為一自足的設備,其係使用所供應的蝕刻劑產生弱游離電漿。嵌入至RPC組件之高功率射頻產生器可提供能量至電漿中的電子。此能量接著被轉移至中性蝕刻劑分子,導致2000K等級的溫度,造成此等分子的熱解離。由於RPC組件之高射頻能量及其特別的通道幾何形狀,因此RPC組件可解離60% 以上之輸入分子,導致氣體吸附此能量之大部分。The etchant and, in some embodiments, argon, helium, and other inert gases, are supplied from a source 902 to a remote plasma generator 906, which may be a storage tank. Any suitable remote plasma generator may be used to activate the etchant before introducing the etchant into the chamber 918. For example, remote plasma cleaning (RPC) components from MKS Instruments of Andover, Andover, Mass., Such as ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf- s Type AX7645. The RPC component is usually a self-contained device that generates a weakly free plasma using the supplied etchant. The high-power RF generator embedded in the RPC component provides energy to the electrons in the plasma. This energy is then transferred to neutral etchant molecules, resulting in a temperature of the order of 2000K, which causes thermal dissociation of these molecules. Due to the high RF energy of the RPC device and its special channel geometry, the RPC device can dissociate more than 60% of the input molecules, causing the gas to adsorb most of this energy.

在某些實施例中,蝕刻劑係從遠端電漿產生器906經連接線908流進腔室918,混合物係於該腔室透過噴淋頭914分佈。在其它實施例中,蝕刻劑係直接流入腔室918,完全繞開遠端電漿產生器906(例如系統900不包含此種產生器)。可選擇地,例如當蝕刻劑流入腔室918時,可關閉遠端電漿產生器 906,因為蝕刻劑之活化非必要。In some embodiments, the etchant flows from the remote plasma generator 906 through the connection line 908 into the chamber 918, and the mixture is distributed through the shower head 914 in the chamber. In other embodiments, the etchant flows directly into the chamber 918, bypassing the distal plasma generator 906 completely (eg, the system 900 does not include such a generator). Alternatively, for example, when the etchant flows into the chamber 918, the remote plasma generator 906 may be turned off, because activation of the etchant is not necessary.

噴淋頭914或基座920通常具有一與之接設的內部電漿產生器916。在一範例中,產生器916係為能夠在介於1 MHz和100 MHz的頻率間提供介於約0W和10,000 W之高頻(HF)產生器。在一更具體的實施例中,高頻產生器可在約13.56 MHz傳輸介於約0 W到5,000 W之間。射頻產生器 916可產生原位電漿以增進初始鎢層的移除。在某些實施例中,在處理之移除操作期間未使用射頻產生器916。The shower head 914 or the base 920 usually has an internal plasma generator 916 connected thereto. In one example, the generator 916 is a high frequency (HF) generator capable of providing between about 0 W and 10,000 W between a frequency of 1 MHz and 100 MHz. In a more specific embodiment, the high frequency generator may transmit between approximately 0 W and 5,000 W at approximately 13.56 MHz. The RF generator 916 may generate an in-situ plasma to facilitate the removal of the initial tungsten layer. In some embodiments, the radio frequency generator 916 is not used during the removal operation of the process.

腔室918可包含感應器924,用於感測各種處理參數,如沉積程度、濃度、壓力、溫度等等。在處理期間,感應器 924可提供腔室條件的資訊至系統控制器922。感應器924之範例包括質量流量控制器、壓力感應器、熱電偶等等。感應器924亦可包含紅外線檢測器或光學檢測器,用以監測在腔室及控制措施內之氣體的存在。The chamber 918 may include a sensor 924 for sensing various processing parameters, such as the degree of deposition, concentration, pressure, temperature, and the like. During processing, the sensor 924 may provide information on chamber conditions to the system controller 922. Examples of the sensor 924 include a mass flow controller, a pressure sensor, a thermocouple, and the like. The sensor 924 may also include an infrared detector or an optical detector to monitor the presence of gas in the chamber and control measures.

沉積和選擇性移除操作產生各種從腔室918抽空之揮發性物種。再者,處理係於腔室918內以特定之預定壓力水平下進行。這兩種功能係使用可為一真空泵的真空出口926達成。Deposition and selective removal operations produce various volatile species evacuated from the chamber 918. Furthermore, the treatment is performed in the chamber 918 at a specific predetermined pressure level. Both functions are accomplished using a vacuum outlet 926, which can be a vacuum pump.

含鎢前驅體,以及處理化學品可從噴淋頭914進入腔室,俾使在支座920上的基板在各種實施例期間係暴露於前驅體或處理化學品。Tungsten-containing precursors, and processing chemicals can enter the chamber from showerhead 914, exposing the substrate on the support 920 to the precursors or processing chemicals during various embodiments.

在某些實施例中,系統控制器922係用以控制處理參數。系統控制器922通常包含一或更多記憶體裝置及一或更多處理器。處理器可包含一CPU或電腦、類比及/或數位輸入/輸出連接端、歩進式馬達控制板等等。通常有與系統控制器 922相連之使用者介面。使用者介面可包含顯示螢幕、設備及/或處理條件之圖形軟體顯示,以及用戶輸入裝置,如指向裝置、鍵盤、觸控螢幕、麥克風等等。In some embodiments, the system controller 922 is used to control processing parameters. The system controller 922 typically includes one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and / or digital input / output connections, a progressive motor control board, and so on. There is usually a user interface connected to the system controller 922. The user interface can include graphical software displays that display screens, equipment, and / or processing conditions, as well as user input devices such as pointing devices, keyboards, touch screens, microphones, and more.

在某些實施例中,系統控制器922控制基板溫度、蝕刻劑流率、遠端電漿產生器906及/或原位電漿產生器916的功率輸出、腔室918之內部壓力、處理還原劑流率、退火溫度、第二主體鎢沉積之中斷以流動處理化學品至腔室中、以及其它處理參數。控制器參數關於處理條件,例如,每一操作的時序、腔室內之壓力、基板溫度、蝕刻劑流率等等。這些參數係以配方的形式提供給使用者,並可利用使用者介面輸入。用以監控處理之信號可由與系統控制器 922之類比及/或數位輸入連接端所提供。用以控制處理之信號係輸出至設備900之類比及數位輸出連接端上。In some embodiments, the system controller 922 controls substrate temperature, etchant flow rate, power output of the remote plasma generator 906 and / or in-situ plasma generator 916, internal pressure in the chamber 918, and processing reduction Flux flow rate, annealing temperature, interruption of second body tungsten deposition to flow processing chemicals into the chamber, and other processing parameters. The controller parameters are related to the processing conditions, such as the timing of each operation, the pressure in the chamber, the substrate temperature, the etchant flow rate, and so on. These parameters are provided to the user in the form of a recipe and can be entered using the user interface. Signals for monitoring and processing can be provided by analog and / or digital input connections to the system controller 922. The signals used to control the processing are output to the analog and digital output terminals of the device 900.

系統控制器 922執行系統控制軟體,該系統控制軟體包括多組指令,用於控制時間、氣體之混合物、腔室壓力、腔室溫度、及特定處理之其他參數。在一些實施例中,可採用儲存在與該控制器相連之記憶體裝置上的其它電腦程式。可選擇地,控制邏輯可直接寫死在控制器中。特殊應用積體電路(Application-specific integrated circuit,ASIC)、可程式邏輯裝置(如場可程式閘陣列(field-programmable gate arrays, FPGAs))及其相似物等可用於這些用途。在以下之說明中,不論使用的是「軟體」或是「碼」,在功能上相似之寫死的邏輯可用於其位置。The system controller 922 executes system control software, which includes multiple sets of instructions for controlling time, gas mixtures, chamber pressure, chamber temperature, and other parameters for specific processes. In some embodiments, other computer programs stored on a memory device connected to the controller may be used. Alternatively, the control logic can be written directly into the controller. Application-specific integrated circuits (ASICs), programmable logic devices (such as field-programmable gate arrays (FPGAs)), and the like can be used for these purposes. In the following description, regardless of whether "software" or "code" is used, similarly hard-written logic can be used for its position.

用以控制處理順序中之諸項處理的電腦程式碼可以任何習知的電腦可讀程式語言撰寫:例如,組合語言、C程式語言、C + +程式語言、Pascal、Fortran、或其它。編譯後的目標碼或腳本係藉由處理器執行,以執行程式中識別之任務。系統軟體可以許多不同的方式設計或配置。例如,各種腔室元件之次常規或控制物件係可撰寫為控制進行所描述之處理的必要腔室元件之操作。用於此目的之程式或程式區段的範例包括處理氣體控制碼、壓力控制碼、以及電漿控制碼。系統控制邏輯可以任何適當的方式配置。大致而言,邏輯可設計或配置於硬體及/或軟體中。用以控制驅動電路之指令可寫死或作為軟體提供。指令可透過「程式化」而提供。此程式化係已知為包含任何形式之邏輯、包括寫死於數位信號處理器中的邏輯、特殊應用積體電路、以及具有作為硬體而執行的特定計算法則之其它裝置。系統控制軟體可寫入任何適當的電腦可讀取程式語言中。The computer code used to control the processes in the processing sequence can be written in any conventional computer-readable programming language: for example, a combination language, C programming language, C ++ programming language, Pascal, Fortran, or others. The compiled object code or script is executed by the processor to perform the tasks identified in the program. The system software can be designed or configured in many different ways. For example, various conventional or control articles of various chamber elements can be written to control the operation of the necessary chamber elements to perform the described processes. Examples of programs or program sections for this purpose include processing gas control codes, pressure control codes, and plasma control codes. The system control logic can be configured in any suitable way. Generally speaking, logic can be designed or configured in hardware and / or software. The instructions for controlling the driving circuit can be written or provided as software. Instructions can be provided "programmatically". This stylization is known to include any form of logic, including logic dying in a digital signal processor, special application integrated circuits, and other devices with specific calculation algorithms that are executed as hardware. The system control software can be written in any suitable computer-readable programming language.

圖10A顯示多站設備1000之一範例。設備1000包括處理腔室1001及用於固持待處理之基板及已經完成處理之基板的一或多個卡匣1003(例如前開式晶圓傳送盒,Front Opening Unified Pod)。腔室1001可具有若干站,例如兩個站、三個站、四個站、五個站、六個站、七個站、八個站、十個站或其他任何數量之站。該等站之數量通常由處理操作之複雜度及可在一共用環境下執行之此等操作的數量而定。圖 10A繪示包括標記為1011至1016之六個站的處理腔室1001。具有一單一處理腔室1003之多站設備1000中的所有站係暴露於相同壓力環境下。然而,每站可具有一指定反應物分佈系統及由專屬電漿產生器及支座(諸如圖9中所繪示者)實現的局部電漿及加熱條件。FIG. 10A shows an example of a multi-station device 1000. The apparatus 1000 includes a processing chamber 1001 and one or more cassettes 1003 (eg, a Front Opening Unified Pod) for holding a substrate to be processed and a substrate that has been processed. The chamber 1001 may have several stations, such as two stations, three stations, four stations, five stations, six stations, seven stations, eight stations, ten stations, or any other number of stations. The number of such stations is usually determined by the complexity of the processing operations and the number of such operations that can be performed in a shared environment. FIG. 10A illustrates a processing chamber 1001 including six stations labeled 1011 to 1016. All stations in a multi-station apparatus 1000 having a single processing chamber 1003 are exposed to the same pressure environment. However, each station may have a designated reactant distribution system and localized plasma and heating conditions implemented by a dedicated plasma generator and support, such as those depicted in FIG. 9.

待處理之基板係自複數卡匣1003之其中一者穿過負載鎖室1005載入站1011中。外部機械臂1007可用以將基板自卡匣903轉移至負載鎖室1005中。在該所繪實施例中,有兩個獨立負載鎖室1005。此等通常係配備有基板轉移裝置,以將基板自該負載鎖室1005移動(一旦壓力係平衡於對應於處理腔室之內部環境之水平時)至站1011中,並從站1016移回至該負載鎖室1005中,以自處理腔室1003移除。機械裝置1009係用以在處理站1011至1016之間轉移基板,並在以下所述之處理期間支撐一些基板。The substrate to be processed is loaded into the station 1011 through one of the plurality of cassettes 1003 through the load lock chamber 1005. The external robot arm 1007 can be used to transfer the substrate from the cassette 903 into the load lock chamber 1005. In the depicted embodiment, there are two independent load lock chambers 1005. These are usually equipped with a substrate transfer device to move the substrate from the load lock chamber 1005 (once the pressure is balanced to a level corresponding to the internal environment of the processing chamber) into station 1011 and back from station 1016 to The load lock chamber 1005 is removed from the processing chamber 1003. The mechanical device 1009 is used to transfer substrates between the processing stations 1011 to 1016 and to support some substrates during the processing described below.

在某些實施例中,可保留一或更多站以加熱基板。該等站可具有定位於基板上之一加熱燈(未顯示)及/或類似於圖9所繪示者之支撐該基板的加熱基座。舉例而言,站1011可接收來自負載鎖室之一基板,並用以在待進一步處理該基板之前預熱該基板。其他站可用於填充高深寬比之特徵部,包括沉積及蝕刻操作。In some embodiments, one or more stations may be reserved to heat the substrate. The stations may have a heating lamp (not shown) positioned on the substrate and / or a heating base supporting the substrate similar to that shown in FIG. 9. For example, the station 1011 may receive a substrate from a load lock chamber and use it to preheat the substrate before further processing the substrate. Other stations can be used to fill features with high aspect ratios, including deposition and etching operations.

在該基板在站1011受加熱或另外受處理之後,該基板係被接連移至處理站1012、1013、1014、1015及1016,其可或不可為依續配置。多站設備1000可用以使得所有站係暴露至相同的壓力環境下。如此一來,該等基板係自站1011轉移至腔室1001中的其他站,而無須諸如負載鎖室之轉移埠。After the substrate is heated or otherwise processed at station 1011, the substrate system is successively moved to processing stations 1012, 1013, 1014, 1015, and 1016, which may or may not be sequentially configured. The multi-station device 1000 can be used to expose all stations to the same stress environment. As such, the substrates are transferred from station 1011 to other stations in chamber 1001 without the need for a transfer port such as a load lock chamber.

在某些實施例中,一或更多站可以用以使用含鎢材料填充特徵部。例如,站1012可用於初始沉積操作,站1013可用於對應的選擇性移除操作。在重複沉積移除循環的實施例中,站1014可用於另一沉積操作且站1015可用於另一移除操作。站1016可用於最後的填充操作。吾人應當理解,可使用任何針對特定處理(加熱、填充、和移除)之站所指定的配置。在一些實施例中,一個站可用於沉積鎢,而其它站係用於蝕刻操作,該等蝕刻操作係用於在數個沉積-蝕刻-沉積方案所針對之每一特徵部尺寸的組。在一些實施例中,一站可用於沉積第一主體鎢層,另一站用於蝕刻操作,且第三站用於第二主體沉積及處理,俾使晶圓在第二主體沉積及處理期間係位於一站中。In some embodiments, one or more stations may be used to fill the feature with a tungsten-containing material. For example, station 1012 may be used for an initial deposition operation, and station 1013 may be used for a corresponding selective removal operation. In an embodiment where the deposition removal cycle is repeated, station 1014 may be used for another deposition operation and station 1015 may be used for another removal operation. Station 1016 can be used for the final fill operation. I should understand that any configuration specified for a particular processing (heating, filling, and removal) station can be used. In some embodiments, one station may be used to deposit tungsten, while other stations are used to etch operations that are used in groups of each feature size targeted by several deposition-etch-deposition schemes. In some embodiments, one station may be used to deposit the first body tungsten layer, another station may be used for the etching operation, and the third station may be used to deposit and process the second body, so that the wafer is during the second body deposition and process. The department is in one stop.

作為上述之多站設備之替代方法,本方法可在單一基板腔室或在批次模式下(即,非連續)在單一處理站處理(一或複數)基板之多站腔室中實施。在本發明之此實施態樣中,基板係裝載至腔室中,並定位在單一處理站之基座上(不管是僅具有一處理站的設備,或具有以批次模式運行之多站設備)。接著可加熱基板及進行沉積操作。可接著調整腔室內之處理條件,並接著進行受沉積層之選擇性移除。該處理可以一或更多沉積-移除循環繼續進行,且最終之填充操作係皆於相同之站上執行。可替代地,可首先使用單站設備以在數個晶圓上執行新方法(例如,沉積、選擇性移除、最終填充)之中僅一種操作,其後基板可返回到相同的站或移動至一不同站(例如,不同設備之站),以執行剩餘操作之一或更多者。As an alternative to the multi-station equipment described above, the method can be implemented in a single substrate chamber or in a multi-station chamber that processes (one or more) substrates in a single processing station in batch mode (ie, discontinuously). In this embodiment of the invention, the substrate is loaded into the chamber and positioned on the base of a single processing station (whether it is a device with only one processing station or a multi-station device operating in batch mode) ). The substrate can then be heated and deposited. The processing conditions in the chamber can then be adjusted, followed by selective removal of the deposited layer. This process can continue with one or more deposition-removal cycles, and the final filling operation is performed on the same station. Alternatively, a single station device may be used first to perform only one of the new methods (eg, deposition, selective removal, final fill) on several wafers, after which the substrate may be returned to the same station or moved To a different station (for example, a station of a different device) to perform one or more of the remaining operations.

圖10A亦示出用於控制處理條件和處理工具1000的硬體狀態之系統控制器1050的實施例。系統控制器1050可包括一或更多記憶體裝置1056、一或更多大容量儲存裝置1054、和 一或更多處理器1052。處理器1052可包含CPU或電腦、類比及/或數位輸入/輸出連接端,歩進式馬達控制板等等。FIG. 10A also illustrates an embodiment of a system controller 1050 for controlling processing conditions and the hardware state of the processing tool 1000. The system controller 1050 may include one or more memory devices 1056, one or more mass storage devices 1054, and one or more processors 1052. The processor 1052 may include a CPU or a computer, analog and / or digital input / output connections, a progressive motor control board, and the like.

在一些實施例中,系統控制器1050控制處理工具1000所有的活動。系統控制器1050執行儲存在大容量儲存裝置1054中的系統控制軟體1058,系統控制軟體1058係加載至記憶體裝置1056,並於處理器1052上執行 。可替代地,控制邏輯可寫死在控制器1050中。 可選擇地,控制邏輯可直接寫死在控制器中。特殊應用積體電路、可程式邏輯裝置(如場可程式閘陣列(field-programmable gate arrays, FPGAs))及其相似物等可用於這些用途。在以下之說明中,不論使用的是「軟體」或是「碼」,在功能上相似之寫死的邏輯可用於其位置。系統控制軟體1058可包括多組指令,用於控制時間、氣體之混合物、次飽和氣體流動的量、腔室及/或站溫度、晶圓溫度、目標功率位準、射頻功率位準、基板支座、夾盤及/或接受器位置、處理化學品、用於每一特徵部尺寸之組的蝕刻化學品、以及由處理工具1000之特定處理所執行的其它參數。系統控制軟體1058可以以任何合適的方式進行配置。例如,各種處理工具元件次常規或控制物件可撰寫為控制進行各種處理程式的必要處理工具元件之操作。系統控制軟體1058可以任何合適的電腦可讀程式語言編碼。In some embodiments, the system controller 1050 controls all activities of the processing tool 1000. The system controller 1050 executes the system control software 1058 stored in the mass storage device 1054. The system control software 1058 is loaded into the memory device 1056 and executed on the processor 1052. Alternatively, the control logic may be written in the controller 1050. Alternatively, the control logic can be written directly into the controller. Special application integrated circuits, programmable logic devices (such as field-programmable gate arrays (FPGAs)), and the like can be used for these purposes. In the following description, regardless of whether "software" or "code" is used, similarly hard-written logic can be used for its position. The system control software 1058 may include multiple sets of instructions for controlling time, gas mixture, amount of subsaturated gas flow, chamber and / or station temperature, wafer temperature, target power level, RF power level, substrate support Seat, chuck and / or receptacle locations, processing chemicals, etching chemicals for each feature size group, and other parameters performed by a particular process of the processing tool 1000. The system control software 1058 may be configured in any suitable manner. For example, various processing tool components may be written as sub-regular or control objects to control the operation of the necessary processing tool components for performing various processing programs. The system control software 1058 can be encoded in any suitable computer-readable programming language.

在一些實施例中,系統控制軟體1058可包括用於控制上述的各種參數之輸入/輸出控制(IOC)序列指令。在一些實施例中,可使用儲存在大容量記憶體裝置1054的其它電腦軟體及/或與系統控制器1050相連的記憶體裝置1056。用於此目的之程式或程式區段的範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。In some embodiments, the system control software 1058 may include input / output control (IOC) sequence instructions for controlling the various parameters described above. In some embodiments, other computer software stored in the mass storage device 1054 and / or a memory device 1056 connected to the system controller 1050 may be used. Examples of programs or program sections for this purpose include substrate positioning programs, process gas control programs, pressure control programs, heater control programs, and plasma control programs.

基板定位程式可包括用於處理工具元件的程式碼,該等處理工具元件係用以將基板加載至支座1001,並控制基板和處理工具1000的其他部件之間的間隔。The substrate positioning program may include code for processing tool components that are used to load the substrate to the support 1001 and control the spacing between the substrate and other components of the processing tool 1000.

處理氣體控制程式可包括用於控制氣體之組成(例如如本文所述之TMA、氨,和淨化氣體)和流率,以及可選擇地,用於在沉積以穩定在處理站內之壓力前,流動氣體至一或更多處理站的碼。壓力控制程式可包括用於控制在處理站內之壓力的碼,此係透過,例如,調節在處理站的排氣系統中之節流閥、進入處理站中的氣體流等等。The process gas control program may include components for controlling the composition of the gas (such as TMA, ammonia, and purge gas as described herein) and flow rates, and optionally for flow before deposition to stabilize the pressure within the processing station. Code for gas to one or more processing stations. The pressure control program may include a code for controlling the pressure in the processing station, which is through, for example, adjusting a throttle valve in the exhaust system of the processing station, gas flow into the processing station, and the like.

加熱器控制程式可包括用於控制電流,以加熱用以加熱基板之加熱單元的碼。可替代地,加熱器控制程式可控制至基板的傳熱氣體(如氦)的輸送。The heater control program may include a code for controlling a current to heat a heating unit for heating the substrate. Alternatively, the heater control program may control the delivery of a heat transfer gas (such as helium) to the substrate.

電漿控制程式可包括根據本文所述之實施例,用於設定施加至在一或更多處理站內之處理電極的射頻功率位準之碼。The plasma control program may include a code for setting a radio frequency power level applied to processing electrodes in one or more processing stations according to embodiments described herein.

壓力控制程式可包括根據本文所述之實施例,用於維持在反應腔室中的壓力之碼。The pressure control program may include a code for maintaining the pressure in the reaction chamber according to embodiments described herein.

在一些實施例中,通常有與系統控制器1050相連之使用者介面。使用者介面可包含顯示螢幕、設備及/或處理條件之圖形軟體顯示,以及使用者輸入設備,如指向設備、鍵盤、觸控螢幕、麥克風等等。In some embodiments, there is usually a user interface connected to the system controller 1050. The user interface may include graphical software displays that display screens, devices, and / or processing conditions, as well as user input devices such as pointing devices, keyboards, touch screens, microphones, and so on.

在一些實施例中,由系統控制器1050所調整的參數可與處理條件有關。非限制性的示例包括處理氣體組成和流率、溫度、壓力、電漿條件(例如,射頻偏壓功率位準)、壓力、溫度等。這些參數可以配方的形式提供給使用者,並可利用使用者介面輸入。In some embodiments, the parameters adjusted by the system controller 1050 may be related to processing conditions. Non-limiting examples include process gas composition and flow rate, temperature, pressure, plasma conditions (eg, RF bias power levels), pressure, temperature, and the like. These parameters can be provided to the user in the form of a recipe and can be entered using the user interface.

用以監控處理之信號可由系統控制器1050之類比及/或數位輸入連接端從各種處理工具感應器提供。用於控制該處理的信號可在處理工具1000的類比和數位輸出連接端上輸出。可監控的處理工具感應器之非限制性實施例包括質量流量控制器、壓力感應器(例如壓力計)、熱電偶等。適當程式化的反饋和控制運算法可與來自這些感應器的數據使用以維持處理條件。Signals for monitoring processing can be provided by analog and / or digital input connections of the system controller 1050 from various processing tool sensors. The signals used to control this processing can be output on the analog and digital output connections of the processing tool 1000. Non-limiting examples of process tool sensors that can be monitored include mass flow controllers, pressure sensors (such as pressure gauges), thermocouples, and the like. Properly programmed feedback and control algorithms can be used with data from these sensors to maintain processing conditions.

系統控制器1050可提供用於實現上述沉積處理的程式指令。該等程式指令可控制各種處理參數,如直流功率位準、RF偏壓功率位準、壓力、溫度等該等指令可根據本文描述的各種實施例,控制參數以進行膜堆疊之原位沉積的操作。The system controller 1050 may provide program instructions for implementing the above-mentioned deposition process. These program instructions can control various processing parameters, such as DC power level, RF bias power level, pressure, temperature, etc.These instructions can control parameters for in-situ deposition of film stacks according to various embodiments described herein. operating.

系統控制器通常包含用以執行指令之一或更多記憶體裝置及一或更多處理器,以使該設備根據所揭露之實施例執行方法。用以根據所揭露之實施例控制處理操作的機器可讀媒介可連接至系統控制器。The system controller typically includes one or more memory devices and one or more processors to execute instructions to enable the device to perform the method according to the disclosed embodiments. A machine-readable medium for controlling processing operations according to the disclosed embodiments may be connected to the system controller.

上文所描述之設備/處理可結合微影圖案化工具或處理使用,例如用於製造或加工半導體元件、顯示器、LEDs、光電板及其相似物。 雖非必然,但通常此等工具/處理將在一共同的加工設施中一起使用或進行。膜之微影圖案化通常包含以下步驟之部份或全部,每個步驟需使用一些可能的工具方可達成:(1)使用旋塗或噴塗工具以施加光阻於工件,即基板上;(2)使用熱板或爐或紫外線固化工具以固化光阻;(3)使用如晶圓步進器之工具以使光阻暴露於可見光或紫外線或X射線;(4)使光阻顯影,以選擇性地移除光阻,從而使用如濕檯之工具使之圖案化;(5)使用乾式或電漿輔助蝕刻工具以轉移光阻圖案到下層之膜或工件;(6)使用如射頻或微波電漿光阻剝離劑之工具以移除光阻。The equipment / processing described above can be used in conjunction with lithographic patterning tools or processes, such as for manufacturing or processing semiconductor components, displays, LEDs, photovoltaic panels and the like. Although not necessarily, these tools / treatments will typically be used or performed together in a common processing facility. The lithographic patterning of the film usually includes part or all of the following steps, each step can be achieved using some possible tools: (1) using spin coating or spraying tools to apply photoresist to the workpiece, that is, the substrate; ( 2) Use a hot plate or furnace or UV curing tool to cure the photoresist; (3) Use a tool such as a wafer stepper to expose the photoresist to visible light or ultraviolet or X-rays; (4) develop the photoresist to Selectively remove the photoresist to pattern it using a tool such as a wet table; (5) use dry or plasma-assisted etching tools to transfer the photoresist pattern to the underlying film or workpiece; (6) use such as RF or Microwave plasma photoresist stripping tool to remove photoresist.

圖10B為可根據某些實施例使用的多腔室設備1020的示意圖。如圖所示,設備1020具有三個獨立腔室1021、1023,和1025。該等腔室之每一者係繪示為具有兩個支座。應當理解的是,一設備可能具有任何數目之腔室(例如,一個、兩個、三個、四個、五個、六個等),且每個腔室可具有任何數目之支座(例如,一個、兩個、三個、四個、五個、六個等)。每個腔室 1021-1025有其自己的壓力環境,不與其它腔室共用。每個腔室可具有一或更多對應之轉移埠(例如負載鎖室)。該設備亦可具有一共用基板處理機械臂1027,用於將基板於轉移埠間之轉移一或更多卡匣1029。FIG. 10B is a schematic diagram of a multi-chamber device 1020 that can be used in accordance with certain embodiments. As shown, the device 1020 has three independent chambers 1021, 1023, and 1025. Each of these chambers is shown as having two supports. It should be understood that a device may have any number of chambers (eg, one, two, three, four, five, six, etc.), and each chamber may have any number of supports (eg , One, two, three, four, five, six, etc.). Each chamber 1021-1025 has its own pressure environment and is not shared with other chambers. Each chamber may have one or more corresponding transfer ports (such as a load lock chamber). The device may also have a common substrate processing robot arm 1027 for transferring one or more cassettes 1029 between substrates between transfer ports.

如上文所述,獨立之腔室可用於沉積含鎢材料及在後續操作中選擇性移除該等沉積材料。將此兩種操作分別於不同腔室中進行,可藉由在每個腔室中維持相同的環境條件,而有助大幅度提高處理之速度。換言之,腔室無需改變其環境,以從用以沉積之條件變成用以選擇性移除之條件及回復,此可能涉及不同的前驅體、不同的處理化學品、不同溫度、壓力、及其它處理參數。在某些實施例中,在兩個或更多不同的腔室之間轉移部分加工的半導體基板,比改變該等腔室之環境條件更快。 結論As mentioned above, a separate chamber can be used to deposit tungsten-containing materials and to selectively remove these deposited materials in subsequent operations. These two operations are performed in different chambers, respectively, which can greatly improve the processing speed by maintaining the same environmental conditions in each chamber. In other words, the chamber does not need to change its environment to change from the conditions used for deposition to the conditions used for selective removal and recovery, which may involve different precursors, different processing chemicals, different temperatures, pressures, and other processing parameter. In some embodiments, transferring partially processed semiconductor substrates between two or more different chambers is faster than changing the environmental conditions of the chambers. in conclusion

雖然為求清楚地理解,已詳細地描述前述實施例,但顯而易見地,吾人可在落於隨附請求項之範圍內實施某些變化和變更。吾人應當注意,仍有許多實施本實施例之處理、 系統、和設備的替代方式 。因此,本實施例應被視為說明性而非限制性,且實施例並非侷限於本文所提出之細節。Although the foregoing embodiments have been described in detail for the sake of clarity, it is obvious that we can implement certain changes and modifications within the scope of the appended claims. I should note that there are still many alternative ways of implementing the processes, systems, and equipment of this embodiment. Therefore, this embodiment should be considered as illustrative and not restrictive, and the embodiment is not limited to the details set forth herein.

102‧‧‧特徵部
104‧‧‧特徵部
120‧‧‧操作階段
122‧‧‧操作階段
140‧‧‧操作階段
142‧‧‧操作階段
211‧‧‧操作
213‧‧‧操作
215‧‧‧操作
310‧‧‧操作
312‧‧‧操作
314‧‧‧操作
314a‧‧‧操作
314b‧‧‧操作
314c‧‧‧操作
401‧‧‧操作
403‧‧‧操作
405‧‧‧操作
407‧‧‧操作
409‧‧‧操作
411‧‧‧操作
413‧‧‧操作
415‧‧‧操作
417‧‧‧操作
501‧‧‧特徵部
502‧‧‧特徵部
515‧‧‧突出部
551‧‧‧收縮部
701‧‧‧操作階段
703‧‧‧操作階段
705‧‧‧操作階段
707‧‧‧操作階段
709‧‧‧操作階段
801‧‧‧操作階段
803‧‧‧操作階段
805‧‧‧操作階段
807‧‧‧操作階段
809‧‧‧操作階段
900‧‧‧設備
902‧‧‧來源
906‧‧‧遠端電漿產生器
908‧‧‧連接線
914‧‧‧噴淋頭
916‧‧‧原位電漿產生器
918‧‧‧腔室
920‧‧‧支座
922‧‧‧系統控制器
924‧‧‧感應器
926‧‧‧真空出口
1000‧‧‧多站設備
1001‧‧‧處理腔室
1003‧‧‧卡匣
1005‧‧‧負載鎖室
1007‧‧‧外部機械臂
1009‧‧‧機械裝置
1011‧‧‧處理站
1012‧‧‧處理站
1013‧‧‧處理站
1014‧‧‧處理站
1015‧‧‧處理站
1016‧‧‧處理站
1020‧‧‧設備
1021‧‧‧腔室
1023‧‧‧腔室
1025‧‧‧腔室
1027‧‧‧基板處理機械臂
1029‧‧‧卡匣
1050‧‧‧系統控制器
1052‧‧‧處理器
1054‧‧‧大容量儲存裝置
1056‧‧‧記憶體裝置
1058‧‧‧系統控制軟體
102‧‧‧Feature Department
104‧‧‧Feature Department
120‧‧‧operation phase
122‧‧‧ Operation Phase
140‧‧‧operation phase
142‧‧‧operation phase
211‧‧‧operation
213‧‧‧ Operation
215‧‧‧Operation
310‧‧‧ Operation
312‧‧‧operation
314‧‧‧ Operation
314a‧‧‧operation
314b‧‧‧operation
314c‧‧‧ Operation
401‧‧‧operation
403‧‧‧operation
405‧‧‧operation
407‧‧‧ Operation
409‧‧‧operation
411‧‧‧operation
413‧‧‧operation
415‧‧‧operation
417‧‧‧operation
501‧‧‧Feature Department
502‧‧‧Feature Department
515‧‧‧ protrusion
551‧‧‧Constriction
701‧‧‧operation phase
703‧‧‧operation stage
705‧‧‧operation phase
707‧‧‧operation phase
709‧‧‧operation phase
801‧‧‧operation stage
803‧‧‧operation phase
805‧‧‧operation phase
807‧‧‧operation phase
809‧‧‧operation phase
900‧‧‧ Equipment
902‧‧‧ Source
906‧‧‧Remote Plasma Generator
908‧‧‧cable
914‧‧‧Sprinkler
916‧‧‧In-situ Plasma Generator
918‧‧‧chamber
920‧‧‧bearing
922‧‧‧System Controller
924‧‧‧Sensor
926‧‧‧Vacuum outlet
1000‧‧‧multi-station equipment
1001‧‧‧Processing chamber
1003‧‧‧ Cassette
1005‧‧‧Load lock room
1007‧‧‧External Robot Arm
1009‧‧‧Mechanical device
1011‧‧‧Processing Station
1012‧‧‧Processing Station
1013‧‧‧Processing Station
1014‧‧‧Processing Station
1015‧‧‧Processing Station
1016‧‧‧Processing Station
1020‧‧‧ Equipment
1021‧‧‧ Chamber
1023‧‧‧ Chamber
1025‧‧‧ Chamber
1027‧‧‧Substrate Processing Robot
1029‧‧‧ Cassette
1050‧‧‧System Controller
1052‧‧‧Processor
1054‧‧‧Large-capacity storage device
1056‧‧‧Memory device
1058‧‧‧System Control Software

圖1為在沉積和蝕刻之各個階段的小特徵部和大特徵部之示意圖。FIG. 1 is a schematic diagram of small features and large features at each stage of deposition and etching.

圖2為沉積鎢於特徵部中之方法的處理流程圖。FIG. 2 is a processing flowchart of a method of depositing tungsten in a feature.

圖3和圖4為用以執行依照所揭露之實施例的方法之處理流程圖。FIG. 3 and FIG. 4 are processing flowcharts for executing the method according to the disclosed embodiment.

圖5為在蝕刻的各個階段之特徵部的示意圖,其顯示出蝕刻保形調整。FIG. 5 is a schematic diagram of a characteristic part at each stage of the etching, which shows the conformal adjustment of the etching.

圖6為一曲線圖,顯示不同蝕刻劑流動的鎢蝕刻率,鎢蝕刻率為蝕刻溫度之函數。FIG. 6 is a graph showing tungsten etching rates for different etchant flows, which is a function of etching temperature.

圖7為使用所揭露之實施例在特徵部填充的各個階段之小型特徵部的示意圖。FIG. 7 is a schematic diagram of a small feature at each stage of feature filling using the disclosed embodiment.

圖8為使用所揭露之實施例在特徵部填充的各個階段之大型特徵部的示意圖。FIG. 8 is a schematic diagram of a large-scale feature at each stage of feature filling using the disclosed embodiment.

圖9為用以根據所揭露之實施例執行方法的腔室之示意圖。FIG. 9 is a schematic diagram of a chamber for performing a method according to the disclosed embodiment.

圖10A和10B為用以根據所揭露之實施例執行方法的多腔室設備之示意圖。10A and 10B are schematic diagrams of a multi-chamber device for performing a method according to a disclosed embodiment.

Claims (20)

一種處理半導體基板的方法,包括:(i)提供一基板,該基板包含具有不同尺寸之開口的複數特徵部,該等特徵部包括第一特徵部及第二特徵部,其中該第一特徵部與該第二特徵部分離並隔開,且其中該第一特徵部具有比該第二特徵部更小的開口;(ii)沉積一第一主體鎢層至該等特徵部中以部分地填充該等特徵部;(iii)執行該第一主體鎢層的非保形蝕刻以留下一受蝕刻的鎢層於該等特徵部中,包括從該第一特徵部之頂部移除相較於該第一特徵部的內部之更多的鎢;(iv)沉積一第二主體鎢層於該受蝕刻之鎢層上;以及(v)在該第一特徵部被鎢完全填充之後且在該第二特徵部被鎢完全填充之前,處理該第二主體鎢層之表面。A method for processing a semiconductor substrate includes: (i) providing a substrate including a plurality of features having openings of different sizes, the features including a first feature and a second feature, wherein the first feature Separated from and separated from the second feature, and wherein the first feature has a smaller opening than the second feature; (ii) depositing a first body tungsten layer into the features to partially fill them The features; (iii) performing a non-conformal etch of the first body tungsten layer to leave an etched tungsten layer in the features, including removing from the top of the first feature compared to More tungsten inside the first feature; (iv) depositing a second bulk tungsten layer on the etched tungsten layer; and (v) after the first feature is completely filled with tungsten and after the Before the second feature is completely filled with tungsten, the surface of the second body tungsten layer is processed. 如申請專利範圍第1項之處理半導體基板的方法,其中處理該第二主體鎢層之表面包括將該基板暴露至一還原劑。For example, the method for processing a semiconductor substrate according to item 1 of the application, wherein processing the surface of the second body tungsten layer includes exposing the substrate to a reducing agent. 如申請專利範圍第2項之處理半導體基板的方法,其中該還原劑係選自一由硼烷、矽烷、和氫所組成的群組。For example, the method for processing a semiconductor substrate according to item 2 of the application, wherein the reducing agent is selected from the group consisting of borane, silane, and hydrogen. 如申請專利範圍第1項之處理半導體基板的方法,其中處理該第二主體鎢層之表面包含將該基板暴露於氮氣。For example, the method for processing a semiconductor substrate according to item 1 of the patent application, wherein processing the surface of the second body tungsten layer includes exposing the substrate to nitrogen. 如申請專利範圍第1項之處理半導體基板的方法,其中處理該第二主體鎢層之表面包括退火該基板。For example, the method for processing a semiconductor substrate according to item 1 of the application, wherein processing the surface of the second body tungsten layer includes annealing the substrate. 如申請專利範圍第1項之處理半導體基板的方法,其中處理該第二主體鎢層之表面包括沉積一障壁層於該基板上。For example, the method for processing a semiconductor substrate according to item 1 of the application, wherein processing the surface of the second body tungsten layer includes depositing a barrier layer on the substrate. 如申請專利範圍第6項之處理半導體基板的方法,其中該障壁層包含氮化鎢。The method for processing a semiconductor substrate according to item 6 of the patent application, wherein the barrier layer comprises tungsten nitride. 如申請專利範圍第1項之處理半導體基板的方法,其中該不同尺寸之開口包含約1nm至約1微米之間的開口。For example, the method for processing a semiconductor substrate according to item 1 of the application, wherein the openings of different sizes include openings between about 1 nm and about 1 micron. 如申請專利範圍第1項之處理半導體基板的方法,其中該等特徵部包括具有約20個不同尺寸的開口之特徵部。For example, the method for processing a semiconductor substrate according to item 1 of the patent application, wherein the features include features having about 20 openings of different sizes. 一種處理半導體基板的方法,包含:(i)提供一包括複數特徵部之基板,該等特徵部具有至少一組較小特徵部和至少一組較大特徵部,其中該等較小特徵部與該等較大特徵部分離並隔開;(ii)沉積一第一主體鎢層於該等特徵部中;(iii)於一第一溫度下蝕刻該第一主體鎢層的一部分,以留下一受蝕刻之第一主體鎢層;(iv)沉積一第二主體鎢層於該受蝕刻之第一主體鎢層上以填充該至少一組較小特徵部且至少部分地填充該至少一組較大特徵部;(v)在一第二溫度下蝕刻該第二主體鎢層的一部分,以留下一受蝕刻之第二主體鎢層;及(vi)沉積一第三主體鎢層於該受蝕刻之第二主體鎢層上以填充該至少一組較大特徵部。A method for processing a semiconductor substrate, comprising: (i) providing a substrate including a plurality of features, the features having at least one set of smaller features and at least one set of larger features, wherein the smaller features and the The larger features are separated and separated; (ii) a first body tungsten layer is deposited in the features; (iii) a portion of the first body tungsten layer is etched at a first temperature to leave An etched first body tungsten layer; (iv) depositing a second body tungsten layer on the etched first body tungsten layer to fill the at least one group of smaller features and at least partially fill the at least one group Larger features; (v) etching a portion of the second bulk tungsten layer at a second temperature to leave an etched second bulk tungsten layer; and (vi) depositing a third bulk tungsten layer on the The etched second body tungsten layer is used to fill the at least one group of larger features. 如申請專利範圍第10項之處理半導體基板的方法,其中該第一溫度係低於該第二溫度。For example, the method for processing a semiconductor substrate according to claim 10, wherein the first temperature is lower than the second temperature. 如申請專利範圍第10項之處理半導體基板的方法,其中該第一溫度係高於該第二溫度。For example, the method for processing a semiconductor substrate according to claim 10, wherein the first temperature is higher than the second temperature. 如申請專利範圍第10項之處理半導體基板的方法,其中該至少一組較小特徵部以及該至少一組較大特徵部之每一者包含具有至少一特徵部尺寸的複數特徵部。For example, the method for processing a semiconductor substrate according to claim 10, wherein each of the at least one set of smaller features and the at least one set of larger features includes a plurality of features having at least one feature size. 如申請專利範圍第10項之處理半導體基板的方法,其中該至少一組較小特徵部之每一者包括一特徵部且該至少一組較大特徵部之每一者包括一特徵部。For example, the method for processing a semiconductor substrate according to claim 10, wherein each of the at least one small feature includes a feature and each of the at least one larger feature includes a feature. 如申請專利範圍第10項之處理半導體基板的方法,其中該至少一組較小特徵部包括複數特徵部,該等特徵部具有一約1nm及約2nm之間的開口。For example, the method for processing a semiconductor substrate according to claim 10, wherein the at least one set of smaller features includes a plurality of features, and the features have an opening between about 1 nm and about 2 nm. 如申請專利範圍第10項之處理半導體基板的方法,其中該至少一組較大特徵部包含複數特徵部,該等特徵部具有一約100nm至約1微米之間的開口。For example, the method for processing a semiconductor substrate according to item 10 of the patent application, wherein the at least one group of larger features includes a plurality of features, and the features have an opening between about 100 nm and about 1 micron. 如申請專利範圍第10項之處理半導體基板的方法,其中在該至少一組較大特徵部中之最大特徵部所具有的關鍵尺寸,為在該至少一組較小特徵部中之最大特徵部所具有的關鍵尺寸之至少五倍。For example, the method for processing a semiconductor substrate according to claim 10, wherein the key feature of the largest feature in the at least one group of larger features is the largest feature in the at least one group of smaller features. Have at least five times the critical dimension. 一種用於處理半導體基板的設備,該設備包括:一處理腔室,包含一噴淋頭和一基板支架,以及一控制器,具有至少一處理器及一記憶體,其中該至少一處理器和該記憶體通信地彼此連接,該至少一處理器係至少可操作地與一流量控制硬體連接,以及該記憶體係儲存用以進行以下操作之機器可讀取指令:引入一含鎢前驅體和一還原劑至該腔室以沉積一第一主體鎢層;引入一含氟蝕刻劑至該腔室以蝕刻該第一主體鎢層之一部分,以留下一受蝕刻之第一主體鎢層於一基板上之複數特徵部中;引入該含鎢前驅體和該還原劑至該腔室以部分沉積一第二主體鎢層;在一預定的時間暫時終止該第二主體鎢層之沉積;引入一處理試劑至該腔室;終止引入該處理試劑至該腔室;以及繼續將該含鎢前驅體和該還原劑引入至該腔室以沉積剩餘的該第二主體鎢層。An apparatus for processing a semiconductor substrate includes: a processing chamber including a shower head and a substrate holder; and a controller having at least one processor and a memory, wherein the at least one processor and The memories are communicatively connected to each other, the at least one processor is at least operatively connected to a flow control hardware, and the memory system stores machine-readable instructions for: introducing a tungsten-containing precursor and A reducing agent is deposited into the chamber to deposit a first main body tungsten layer; a fluorine-containing etchant is introduced into the chamber to etch a portion of the first main body tungsten layer to leave an etched first main body tungsten layer at A plurality of features on a substrate; introducing the tungsten-containing precursor and the reducing agent into the chamber to partially deposit a second body tungsten layer; temporarily terminating the deposition of the second body tungsten layer at a predetermined time; introducing A processing reagent to the chamber; terminating the introduction of the processing reagent into the chamber; and continuing to introduce the tungsten-containing precursor and the reducing agent into the chamber to deposit the remaining second bulk tungsten layer. 如申請專利範圍第18項之處理半導體基板的設備,其中該處理試劑係選自由硼烷、矽烷、和氫所組成的群組。For example, an apparatus for processing a semiconductor substrate according to item 18 of the application, wherein the processing reagent is selected from the group consisting of borane, silane, and hydrogen. 如申請專利範圍第18項之處理半導體基板的設備,其中該預定時間為在該基板上之小特徵部受填充的時間。For example, the device for processing a semiconductor substrate according to item 18 of the patent application, wherein the predetermined time is a time during which small features on the substrate are filled.
TW103125515A 2013-07-25 2014-07-25 Void free tungsten fill in different sized features TWI627676B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361858114P 2013-07-25 2013-07-25
US61/858,114 2013-07-25

Publications (2)

Publication Number Publication Date
TW201519317A TW201519317A (en) 2015-05-16
TWI627676B true TWI627676B (en) 2018-06-21

Family

ID=52488946

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103125515A TWI627676B (en) 2013-07-25 2014-07-25 Void free tungsten fill in different sized features

Country Status (3)

Country Link
JP (1) JP6494940B2 (en)
KR (1) KR102185346B1 (en)
TW (1) TWI627676B (en)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754824B2 (en) * 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
KR102447489B1 (en) 2015-09-02 2022-09-27 삼성전자주식회사 Semiconductor memory device
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
KR102680860B1 (en) * 2016-09-05 2024-07-03 삼성전자주식회사 Semiconductor device and method of manufacturing the same
JP6723128B2 (en) * 2016-09-27 2020-07-15 東京エレクトロン株式会社 Nickel wiring manufacturing method
KR102331573B1 (en) * 2017-03-31 2021-11-25 가부시키가이샤 코쿠사이 엘렉트릭 Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN112262457A (en) 2018-05-03 2021-01-22 朗姆研究公司 Methods of depositing tungsten and other metals in 3D NAND structures
CN108962894B (en) * 2018-06-22 2024-01-16 长鑫存储技术有限公司 Method for forming contact by filling groove
US11984354B2 (en) * 2018-06-30 2024-05-14 Lam Research Corporation Zincating and doping of metal liner for liner passivation and adhesion improvement
WO2020028587A1 (en) 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill
JP7149788B2 (en) * 2018-09-21 2022-10-07 東京エレクトロン株式会社 Film forming method and film forming apparatus
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
JP2022522226A (en) 2019-04-11 2022-04-14 ラム リサーチ コーポレーション Tungsten deposits with high step coverage
KR20210150606A (en) 2019-05-01 2021-12-10 램 리써치 코포레이션 Modulated Atomic Layer Deposition
JP2022544931A (en) 2019-08-12 2022-10-24 ラム リサーチ コーポレーション tungsten deposition
JP7295749B2 (en) 2019-09-13 2023-06-21 キオクシア株式会社 Semiconductor device manufacturing method
KR20210111017A (en) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 Method for treating substrate and the semiconductor device manufactured by using the same
KR20230170694A (en) * 2021-05-06 2023-12-19 어플라이드 머티어리얼스, 인코포레이티드 Processing systems and methods for forming void-free and void-free tungsten features
WO2023184165A1 (en) * 2022-03-29 2023-10-05 华中科技大学 Via filling method and via filling apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070298585A1 (en) * 2006-06-22 2007-12-27 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20090286381A1 (en) * 2008-05-16 2009-11-19 Novellus Systems Inc. Protective Layer To Enable Damage Free Gap Fill
US7964504B1 (en) * 2008-02-29 2011-06-21 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US20130302980A1 (en) * 2009-08-04 2013-11-14 Anand Chandrashekar Tungsten feature fill

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831935A (en) * 1994-07-13 1996-02-02 Nkk Corp Manufacture of semiconductor device
KR100214852B1 (en) * 1996-11-02 1999-08-02 김영환 Forming method for metal wiring in semiconductor device
JPH10256187A (en) * 1997-03-14 1998-09-25 Nippon Steel Corp Semiconductor device and fabrication thereof
US7005387B2 (en) * 2003-11-08 2006-02-28 Advanced Micro Devices, Inc. Method for preventing an increase in contact hole width during contact formation
US7964502B2 (en) * 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
JP5550843B2 (en) * 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 Manufacturing method of semiconductor device
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) * 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070298585A1 (en) * 2006-06-22 2007-12-27 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7964504B1 (en) * 2008-02-29 2011-06-21 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US20090286381A1 (en) * 2008-05-16 2009-11-19 Novellus Systems Inc. Protective Layer To Enable Damage Free Gap Fill
US20130302980A1 (en) * 2009-08-04 2013-11-14 Anand Chandrashekar Tungsten feature fill

Also Published As

Publication number Publication date
JP6494940B2 (en) 2019-04-03
KR102185346B1 (en) 2020-12-02
KR20150013086A (en) 2015-02-04
TW201519317A (en) 2015-05-16
JP2015029097A (en) 2015-02-12

Similar Documents

Publication Publication Date Title
TWI627676B (en) Void free tungsten fill in different sized features
US9548228B2 (en) Void free tungsten fill in different sized features
US20210327754A1 (en) Tungsten feature fill
TWI706509B (en) Feature fill with multi-stage nucleation inhibition
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
KR102496626B1 (en) Chamber conditioning for remote plasma process
CN107845572B (en) Continuous and pulsed RF plasma for etching metals
JP6195898B2 (en) Feature filling with tungsten with nucleation inhibition
US20160093528A1 (en) Feature fill with nucleation inhibition
TW201719756A (en) Pulsing RF power in etch process to enhance tungsten gapfill performance
KR20220082023A (en) Molybdenum filling
KR102708924B1 (en) Feature fill with multi-stage nucleation inhibition