TWI626514B - Metrology method and lithographic method, lithographic cell and computer program - Google Patents

Metrology method and lithographic method, lithographic cell and computer program Download PDF

Info

Publication number
TWI626514B
TWI626514B TW106106889A TW106106889A TWI626514B TW I626514 B TWI626514 B TW I626514B TW 106106889 A TW106106889 A TW 106106889A TW 106106889 A TW106106889 A TW 106106889A TW I626514 B TWI626514 B TW I626514B
Authority
TW
Taiwan
Prior art keywords
target
layer
measurement
lithography
substrate
Prior art date
Application number
TW106106889A
Other languages
Chinese (zh)
Other versions
TW201741780A (en
Inventor
卡司徒夫 巴塔哈爾亞
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201741780A publication Critical patent/TW201741780A/en
Application granted granted Critical
Publication of TWI626514B publication Critical patent/TWI626514B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Chemical & Material Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)

Abstract

本發明揭示一種量測一目標之方法、相關聯微影方法及微影單元。該方法包含在藉由一微影程序曝光結構之後量測一或多個先前層上方之一基板上之一當前層中的該目標,其中該一或多個先前層各自已經歷一蝕刻步驟,該目標僅包含在該一或多個先前層中之至少一者中。以此方式,獲得對該目標之一蝕刻後量測。The invention discloses a method for measuring a target, an associated lithography method and a lithography unit. The method includes measuring, after exposing a structure by a lithography process, the target in a current layer on one of the substrates above the one or more previous layers, wherein the one or more previous layers each have undergone an etching step, The target is only included in at least one of the one or more previous layers. In this way, one post-etching measurement of the target is obtained.

Description

度量衡方法及微影方法、微影單元及電腦程式Weights and measures method and lithography method, lithography unit and computer program

本發明係關於用於可用於(例如)藉由微影技術進行裝置製造之度量衡方法及設備,且係關於使用微影技術來製造裝置之方法。The present invention relates to metrology methods and apparatus useful for device fabrication, for example, by lithography, and to methods of fabricating devices using lithography.

微影設備為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影設備可用於(例如)積體電路(IC)之製造中。在彼情況下,圖案化裝置(其替代地被稱作光罩或倍縮光罩)可用以產生待形成於IC之個別層上的電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包括晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上來進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分的網路。在微影程序中,需要頻繁地對所產生之結構進行量測,例如,用於程序控制及驗證。用於進行此等量測之各種工具為吾人所知,包括常常用以量測臨界尺寸(CD)之掃描電子顯微鏡,及用以量測疊對(裝置中兩個層之對準準確度之量度)之特殊化工具。可依據兩個層之間的未對準程度來描述疊對,例如,對為1奈米之經量測疊對之參考可描述兩個層未對準達1奈米之情形。 近來,已開發供微影領域中使用的各種形式之散射計。此等裝置將輻射光束引導至目標上且量測散射輻射之一或多個屬性—例如,依據波長變化之在單一反射角下之強度;依據反射角變化之在一或多個波長下之強度;或依據反射角變化之偏振—以獲得可供判定目標之所關注屬性之「光譜(spectrum)」。可藉由各種技術來執行所關注屬性之判定:例如,藉由諸如嚴格耦合波分析或有限元素方法之反覆途徑而進行的目標之重新建構;庫搜尋;及主成份分析。 由習知散射計使用之目標相對較大(例如,40微米乘40微米)光柵,且量測光束產生小於光柵之光點(亦即,光柵填充不足)。此情形簡化目標之數學重新建構,此係因為可將目標視為無限的。然而,為了將目標之大小縮減(例如)至10微米乘10微米或更小(例如)因此其可定位於產品特徵當中而非定位於切割道中,已提議使光柵小於量測光點(亦即,光柵填充過度)之度量衡。通常使用暗場散射量測來量測此等目標,其中阻擋零階繞射(對應於鏡面反射),且僅處理高階。可在國際專利申請案WO 2009/078708及WO 2009/106279中找到暗場度量衡之實例,該等專利申請案之文獻之全文特此以引用之方式併入。專利公開案US20110027704A、US20110043791A及US20120242970A中已描述該技術之進一步開發。所有此等申請案之內容亦以引用之方式併入本文中。使用繞射階之暗場偵測的以繞射為基礎之疊對實現對較小目標之疊對量測。此等目標可小於照明光點且可由晶圓上之產品結構環繞。目標可包含可在一個影像中量測之多個光柵。 在已知度量衡技術中,藉由在某些條件下量測疊對目標兩次,同時旋轉疊對目標或改變照明模式或成像模式以單獨地獲得-1st 及+1st 繞射階強度來獲得疊對量測結果。關於給定疊對目標之強度不對稱性(此等繞射階強度之比較)提供目標不對稱性(亦即,目標中之不對稱性)之量測。疊對目標中之此不對稱性可用作疊對誤差(兩個層之不當未對準)之指示符。 近年來,已設計整合式度量衡。此包含在微影程序期間對基板上之參數(例如,疊對、焦點或臨界尺寸)進行線內量測。對此等量測之準確度進行改良將為合乎需要的。A lithography apparatus is a machine that applies a desired pattern onto a substrate, typically applied to a target portion of the substrate. The lithography apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that case, a patterning device (which is alternatively referred to as a reticle or pleated reticle) can be used to create a circuit pattern to be formed on individual layers of the IC. This pattern can be transferred to a target portion (eg, including portions of a die, a die, or several dies) on a substrate (eg, a germanium wafer). Transfer of the pattern is typically performed via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of sequentially adjacent adjacent target portions. In lithography procedures, the resulting structure needs to be measured frequently, for example, for program control and verification. Various tools for performing such measurements are known, including scanning electron microscopes that are often used to measure critical dimensions (CD), and for measuring overlays (alignment accuracy of two layers in the device) Specialization tool for measurement). The superposition can be described in terms of the degree of misalignment between the two layers, for example, a reference to a 1 nm cross-stacked pair can describe the case where the two layers are misaligned by up to 1 nm. Recently, various forms of scatterometers have been developed for use in the field of lithography. These devices direct the radiation beam onto the target and measure one or more properties of the scattered radiation - for example, the intensity at a single angle of reflection as a function of wavelength; the intensity at one or more wavelengths depending on the angle of reflection Or polarization based on the angle of reflection - to obtain a "spectrum" of the attribute of interest for the target. The determination of the attribute of interest can be performed by various techniques: for example, re-construction of objects by means of rigorous coupled wave analysis or a finite element method; library search; and principal component analysis. A relatively large (e.g., 40 micron by 40 micron) grating is used by conventional scatterometers, and the measuring beam produces a spot that is smaller than the grating (i.e., insufficient grating fill). This situation simplifies the mathematical re-construction of the goal because it can be considered infinite. However, in order to reduce the size of the target, for example, to 10 microns by 10 microns or less (for example) so that it can be positioned in the product features rather than in the scribe line, it has been proposed to make the grating smaller than the spot (ie, , the grating is overfilled). These targets are typically measured using dark field scatter measurements, where zero order diffraction (corresponding to specular reflection) is blocked and only high order is processed. Examples of dark field weights and measures can be found in the international patent applications WO 2009/078708 and WO 2009/106279, the entire contents of each of which are hereby incorporated by reference. Further developments of this technology have been described in the patent publications US Pat. No. 1,201,0,0,0, 704, A, US Pat. The contents of all such applications are also incorporated herein by reference. A pair of diffraction-based overlays using dark-field detection of the diffraction order enables stack-to-measurement of smaller targets. These targets can be smaller than the illumination spot and can be surrounded by the product structure on the wafer. A target can include multiple rasters that can be measured in one image. In known weights and measures techniques, by measuring the overlay target twice under certain conditions, simultaneously rotating the overlay target or changing the illumination mode or imaging mode to separately obtain the -1 st and +1 st diffraction order intensities. Obtain the stacking measurement results. The measurement of the target asymmetry (i.e., the asymmetry in the target) is provided with respect to the intensity asymmetry of the given stack versus the target (the comparison of the intensity of the diffraction orders). This asymmetry in the overlay pair can be used as an indicator of the overlay error (improper misalignment of the two layers). In recent years, integrated weights and measures have been designed. This includes in-line measurements of parameters (eg, overlays, focus or critical dimensions) on the substrate during the lithography procedure. It would be desirable to improve the accuracy of such measurements.

在一第一態樣中,本發明提供一種量測一目標之方法,其包含:在藉由一微影程序曝光結構之後量測一或多個先前層上方之一基板上之一當前層中之該目標,其中該一或多個先前層各自已經歷一蝕刻步驟,且其中該目標僅包含在該一或多個先前層中之至少一者中,藉此獲得對該目標之一蝕刻後量測。 在一第二態樣中,本發明提供一種執行一微影程序之方法,其包含:執行微影步驟以在一基板上之一或多個先前層中形成結構,該等先前層中之至少一者包含一目標;對該一或多個先前層執行一蝕刻步驟;曝光一或多個先前層上方之一當前層;以及在此等步驟之後:量測該目標以獲得對該目標之一蝕刻後量測。 在一第三態樣中,本發明提供一種微影單元,其包含一微影設備及一度量衡設備,該微影單元可經操作以執行該第一態樣或該第二態樣之該方法。 本發明進一步提供一種包含處理器可讀指令之電腦程式,該等處理器可讀指令在合適處理器控制之設備上運行時致使該處理器控制之設備執行該第一態樣或該第二態樣之該方法;及一種包含此電腦程式之電腦程式載體。該處理器控制之設備可包含該第三態樣之該微影單元。 下文參看隨附圖式詳細地描述本發明之另外特徵及優點,以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文中所描述之特定實施例。本文中僅出於說明性目的而呈現此等實施例。基於本文中所含有之教示,額外實施例對於熟習相關技術者而言將為顯而易見的。In a first aspect, the present invention provides a method of measuring a target, comprising: measuring a current layer on one of a substrate above one or more previous layers after exposing the structure by a lithography process The object, wherein the one or more previous layers each have undergone an etching step, and wherein the target is included only in at least one of the one or more previous layers, thereby obtaining an etch after etching the target Measure. In a second aspect, the present invention provides a method of performing a lithography process, comprising: performing a lithography step to form a structure in one or more previous layers on a substrate, at least of the previous layers One comprising a target; performing an etching step on the one or more previous layers; exposing one of the one or more previous layers above the previous layer; and after such steps: measuring the target to obtain one of the targets Measured after etching. In a third aspect, the present invention provides a lithography unit comprising a lithography apparatus and a metrology apparatus, the lithography unit operable to perform the first aspect or the second aspect of the method . The invention further provides a computer program comprising processor readable instructions, when executed on a device controlled by a suitable processor, causing the device controlled by the processor to perform the first aspect or the second state The method; and a computer program carrier including the computer program. The device controlled by the processor can include the lithography unit of the third aspect. Further features and advantages of the present invention, as well as the structure and operation of various embodiments of the present invention, are described in detail herein. It should be noted that the invention is not limited to the specific embodiments described herein. These embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to those skilled in the art in view of the teachings herein.

在詳細地描述本發明之實施例之前,有指導性的是呈現可供實施本發明之實施例之實例環境。 圖1在200處將微影設備LA展示為實施高容量微影製造程序之工業設施之部分。在本實例中,製造程序經調適以用於在諸如半導體晶圓之基板上進行半導體產品(積體電路)之製造。熟習此項技術者將瞭解,可藉由以此程序之變體處理不同類型之基板來製造廣泛多種產品。半導體產品之生產純粹用作現今具有大商業意義之實例。 在微影設備(或簡稱為「微影工具」200)內,量測站MEA展示在202處且曝光站EXP展示在204處。控制單元LACU展示在206處。在此實例中,每一基板到訪量測站及曝光站以具有經施加圖案。舉例而言,在光學微影設備中,投影系統用於使用經調節輻射及投影系統將產品圖案自圖案化裝置MA轉印至基板上。此轉印藉由在輻射敏感抗蝕劑材料層中形成圖案之影像而完成。 Before describing the embodiments of the present invention in detail, it is intended to present an example environment in which embodiments of the invention may be practiced. Figure 1 shows the lithography apparatus LA as part of an industrial facility implementing a high capacity lithography manufacturing process at 200. In this example, the fabrication process is adapted for fabrication of a semiconductor product (integrated circuit) on a substrate such as a semiconductor wafer. Those skilled in the art will appreciate that a wide variety of products can be manufactured by processing different types of substrates with variations of this procedure. The production of semiconductor products is purely used as an example of today's commercial significance. Within the lithography apparatus (or simply "lithography tool 200"), the metro station MEA is shown at 202 and the exposure station EXP is shown at 204. Control unit LACU is shown at 206. In this example, each substrate is visited by a station and an exposure station to have an applied pattern. For example, in an optical lithography apparatus, a projection system is used to transfer a product pattern from a patterning device MA onto a substrate using an adjusted radiation and projection system. This transfer is accomplished by forming an image of the pattern in the layer of radiation-sensitive resist material.

本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。圖案化裝置MA可為將圖案賦予至由圖案化裝置透射或反射之輻射光束的光罩或倍縮光罩。熟知操作模式包括步進模式及掃描模式。眾所周知,投影系統可以多種方式與用於基板及圖案化裝置之支撐件及定位系統合作,以跨越基板將所要圖案施加至許多目標部分。可使用可程式化圖案化裝置而非具有固定圖案之倍縮光罩。輻射(例如)可包括深紫外線(DUV)或極紫外線(EUV)波帶中之電磁輻射。本發明亦適用於(例如)藉由電子束進行之其他類型的微影程序,例如,壓印微影及直寫微影。 The term "projection system" as used herein shall be interpreted broadly to encompass any type of projection system suitable for the exposure radiation used or for other factors such as the use of a immersion liquid or the use of a vacuum, including refraction, Reflective, catadioptric, magnetic, electromagnetic, and electrostatic optical systems, or any combination thereof. The patterning device MA can be a reticle or pleated reticle that imparts a pattern to a radiation beam that is transmitted or reflected by the patterning device. Well-known operating modes include step mode and scan mode. As is known, projection systems can cooperate with supports and positioning systems for substrates and patterning devices in a variety of ways to apply a desired pattern across a substrate to a plurality of target portions. A programmable patterning device can be used instead of a reticle with a fixed pattern. Radiation, for example, may include electromagnetic radiation in deep ultraviolet (DUV) or extreme ultraviolet (EUV) bands. The invention is also applicable to other types of lithography procedures, such as embossing lithography and direct writing lithography, for example, by electron beam.

微影設備控制單元LACU控制用以收納基板W及倍縮光罩MA且實施圖案化操作的各種致動器及感測器之所有移動及量測。LACU亦包括用以實施與設備之操作相關之所要計算的信號處理及資料處理能力。實際上,控制單元LACU將被實現為許多子單元之系統,該等子單元各自處置設備內之子系統或組件之即時資料獲取、處理及控制。 The lithography apparatus control unit LACU controls all movements and measurements of various actuators and sensors for accommodating the substrate W and the reticle MA and performing the patterning operation. The LACU also includes signal processing and data processing capabilities to perform the calculations associated with the operation of the device. In effect, the control unit LACU will be implemented as a system of many sub-units that each handle real-time data acquisition, processing and control of subsystems or components within the device.

在曝光站EXP處將圖案施加至基板之前,在量測站MEA處處理基板以使得可進行各種預備步驟。該等預備步驟可包括使用位階感測器來映射基板之表面高度,及使用對準感測器來量測基板上之對準標記的位置。對準標記係以規則柵格圖案標稱地配置。然而,歸因於產生標記之不準確度且亦歸因於基板之貫穿其處理而發生的變形,標記偏離理想柵格。因此,除了量測基板之位置及定向以外,對準感測器實際上亦必須詳細地量測跨越基板區域之許多標記的位置(在設備將以極高準確度印刷處於正確部位之產品特徵的情況下)。設備可屬於具有兩個基板台之所謂的雙載物台類型,每一基板台具有由控制單元LACU控制之定位系統。在曝光站EXP處曝光一個基板台上之一個基板的同時,可在量測站MEA處將另一基板裝載至另一基板台上,使得可進行各種預備步驟。因此,對準標記之量測極耗時,且提供兩個基板台會實現設備之產出率的實質性增加。若位置感測器IF在基板台處於量測站以及處於曝光站時不能夠量測基板台之位置,則可提供第二位置感測器以使能夠在兩個站處追蹤基板台之位置。微影設備LA可(例如)屬於所謂的雙載物台類型,其具有兩個基板台以及兩個站—曝光站及量測站—在該兩個站之間可交換該等基板台。 在生產設施內,設備200形成「微影單元」或「微影叢集」之部分,該「微影單元」或「微影叢集」亦含有塗佈設備208以用於將感光性抗蝕劑及其他塗層施加至基板W以用於藉由設備200進行圖案化。在設備200之輸出側處,提供烘烤設備210及顯影設備212以用於將經曝光圖案顯影成實體抗蝕劑圖案。在所有此等設備之間,基板處置系統負責支撐基板且將基板自一件設備轉移至下一件設備。常常被集體地稱作塗佈顯影系統(track)之此等設備係在塗佈顯影系統控制單元之控制下,塗佈顯影系統控制單元自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影設備控制單元LACU而控制微影設備。因此,不同設備可經操作以最大化產出率及處理效率。監督控制系統SCS接收配方資訊R,配方資訊R極詳細地提供待執行以產生每一經圖案化基板之步驟的定義。 一旦已在微影單元中施加並顯影圖案,就將經圖案化基板220轉移至其他處理設備(諸如在222、224、226處說明之處理設備)。廣泛範圍之處理步驟係藉由典型製造設施中之各種設備來實施。出於實例起見,此實施例中之設備222為蝕刻站,且設備224執行蝕刻後退火步驟。將進一步物理及/或化學處理步驟施加於另外設備226等等中。可需要眾多類型之操作以製造實際裝置,諸如,材料之沈積、表面材料特性之改質(氧化、摻雜、離子植入等等)、化學機械拋光(CMP)等等。實際上,設備226可表示在一或多個設備中執行之一系列不同處理步驟。 眾所周知,半導體裝置之製造涉及此處理之許多重複,以在基板上逐層地建置具有適當材料及圖案之裝置結構。因此,到達微影叢集之基板230可為新近製備之基板,或其可為先前已在此叢集中或在另一設備中完全地被處理之基板。類似地,取決於所需處理,離開設備226上之基板232可經返回以用於同一微影叢集中之後續圖案化操作,其可經指定以用於不同叢集中之圖案化操作,或其可為待發送以用於切割及封裝之成品。 產品結構之每一層需要不同程序步驟集合,且用於每一層處之設備226可在類型方面完全不同。此外,即使在待由設備226應用之處理步驟在較大設施中標稱地相同的情況下,亦可存在並行地工作以對不同基板執行步驟226之若干假定相同的機器。此等機器之間的設定之小差異或故障可意謂其以不同方式影響不同基板。即使針對每一層相對共同之步驟,諸如蝕刻(設備222)亦可藉由標稱地相同但並行地工作以最大化產出率之若干蝕刻設備實施。此外,實際上,不同層根據待蝕刻之材料的細節需要不同蝕刻程序,例如,化學蝕刻、電漿蝕刻,且需要特殊要求,諸如,各向異性蝕刻。 可在其他微影設備中執行先前及/或後續程序(如剛才所提及),且可甚至在不同類型之微影設備中執行先前及/或後續程序。舉例而言,裝置製造程序中之在諸如解析度及疊對之參數上要求極高的一些層相比於要求較不高之其他層可在更先進微影工具中予以執行。因此,一些層可曝光於浸潤類型微影工具中,而其他層曝光於「乾式」工具中。一些層可曝光於在DUV波長下工作之工具中,而其他層係使用EUV波長輻射來曝光。 為了正確且一致地曝光由微影設備所曝光之基板,需要檢測經曝光基板以量測屬性,諸如,後續層之間的疊對誤差、線厚度、臨界尺寸(CD),等等。因此,經定位有微影單元LC之製造設施亦可包括一或多個度量衡系統。度量衡系統可包括單機度量衡設備MET 240及/或整合式度量衡設備IM 207。單機度量衡設備MET 240收納已在微影單元中處理之基板W中之一些或全部以用於離線執行量測。整合式度量衡設備IM 207執行線內量測且整合至塗佈顯影系統中以緊接在曝光之後收納及量測基板W中之一些或全部。將度量衡結果直接地或間接地提供至監督控制系統(SCS) 238。若偵測到誤差,則可對後續基板之曝光進行調整,尤其是在度量衡可足夠迅速地且快速地進行而使得同一批次之其他基板仍待曝光的情況下。 現代微影生產設施中之度量衡設備的常見實例為散射計,例如,角解析散射計或光譜散射計,且其可通常應用於在設備222中之蝕刻之前量測220處之經顯影基板之屬性。在使用單機度量衡設備240及/或整合式度量衡設備207的情況下,可判定(例如)諸如疊對或臨界尺寸(CD)之重要效能參數不滿足經顯影抗蝕劑中之指定準確度要求。在蝕刻步驟之前,存在經由微影叢集剝離經顯影抗蝕劑且重新處理基板220之機會。如亦所熟知,藉由監督控制系統SCS及/或控制單元LACU 206隨著時間推移進行小調整,可使用來自設備240之度量衡結果242在微影叢集中維持圖案化操作之準確效能,藉此最小化製得不合格產品且要求重工之風險。當然,度量衡設備240及/或其他度量衡設備(未展示)可應用於量測經處理基板232、234及引入基板230之屬性。 Prior to applying the pattern to the substrate at the exposure station EXP, the substrate is processed at the metrology station MEA so that various preliminary steps can be performed. The preliminary steps can include using a level sensor to map the surface height of the substrate, and using an alignment sensor to measure the position of the alignment marks on the substrate. The alignment marks are nominally configured in a regular grid pattern. However, the mark deviates from the ideal grid due to the inaccuracy of the mark and also due to the deformation of the substrate through its processing. Therefore, in addition to measuring the position and orientation of the substrate, the alignment sensor must actually measure in detail the position of many of the marks across the substrate area (where the device will print the product features at the correct location with extremely high accuracy) In case). The device can be of the so-called dual stage type with two substrate stages, each having a positioning system controlled by the control unit LACU. While exposing one substrate on one substrate stage at the exposure station EXP, another substrate can be loaded onto another substrate stage at the measurement station MEA, so that various preliminary steps can be performed. Therefore, the measurement of the alignment marks is extremely time consuming, and providing two substrate stages results in a substantial increase in the yield of the device. If the position sensor IF is unable to measure the position of the substrate table while the substrate stage is at the measurement station and at the exposure station, a second position sensor can be provided to enable tracking of the position of the substrate table at both stations. The lithography apparatus LA may, for example, belong to the so-called dual stage type, which has two substrate stages and two stations - an exposure station and a measuring station - between which the substrate stages can be exchanged. In the production facility, the device 200 forms part of a "lithography unit" or "micro-shadow cluster" which also contains a coating device 208 for the photosensitive resist and Other coatings are applied to the substrate W for patterning by the device 200. At the output side of device 200, a bake device 210 and a developing device 212 are provided for developing the exposed pattern into a solid resist pattern. Between all such devices, the substrate handling system is responsible for supporting the substrate and transferring the substrate from one piece of equipment to the next. The equipment, often collectively referred to as a coating development system, is under the control of a coating and developing system control unit. The coating development system control unit itself is controlled by the supervisory control system SCS, and the supervisory control system SCS is also micro-controlled. The photographic device control unit LACU controls the lithography device. Therefore, different devices can be operated to maximize yield and processing efficiency. The supervisory control system SCS receives the recipe information R, which provides a detailed definition of the steps to be performed to produce each patterned substrate. Once the pattern has been applied and developed in the lithography unit, the patterned substrate 220 is transferred to other processing devices (such as the processing devices illustrated at 222, 224, 226). A wide range of processing steps are performed by various devices in a typical manufacturing facility. For the sake of example, device 222 in this embodiment is an etch station and device 224 performs a post-etch annealing step. Further physical and/or chemical processing steps are applied to additional equipment 226 or the like. Numerous types of operations may be required to fabricate actual devices, such as deposition of materials, modification of surface material properties (oxidation, doping, ion implantation, etc.), chemical mechanical polishing (CMP), and the like. In fact, device 226 can represent a series of different processing steps performed in one or more devices. It is well known that the fabrication of semiconductor devices involves many iterations of this process to build device structures with appropriate materials and patterns layer by layer on the substrate. Thus, the substrate 230 that reaches the lithography cluster can be a newly prepared substrate, or it can be a substrate that has been previously completely processed in this cluster or in another device. Similarly, depending on the desired processing, the substrate 232 on the exit device 226 can be returned for subsequent patterning operations in the same lithography cluster, which can be designated for patterning operations in different clusters, or It can be a finished product to be sent for cutting and packaging. Each layer of the product structure requires a different set of program steps, and the equipment 226 for each layer can be completely different in type. Moreover, even where the processing steps to be applied by device 226 are nominally the same in a larger facility, there may be several machines that operate in parallel to perform several assumptions of step 226 on different substrates. Small differences or malfunctions in settings between such machines may mean that they affect different substrates in different ways. Even for relatively common steps for each layer, such as etching (device 222) may be performed by several etching devices that are nominally identical but operate in parallel to maximize yield. Moreover, in practice, the different layers require different etching procedures depending on the details of the material to be etched, for example, chemical etching, plasma etching, and require special requirements such as anisotropic etching. Previous and/or subsequent procedures (as just mentioned) may be performed in other lithography devices, and previous and/or subsequent procedures may be performed even in different types of lithography devices. For example, some of the layers in the device fabrication process that are extremely demanding on parameters such as resolution and overlays can be implemented in more advanced lithography tools than other layers that are less demanding. Therefore, some layers can be exposed to the infiltration type lithography tool while the other layers are exposed to the "dry" tool. Some layers can be exposed to tools that operate at DUV wavelengths, while others are exposed using EUV wavelength radiation. In order to properly and consistently expose the substrate exposed by the lithography apparatus, it is necessary to detect the exposed substrate to measure properties such as overlay error between subsequent layers, line thickness, critical dimension (CD), and the like. Thus, a manufacturing facility positioned with a lithography unit LC may also include one or more metrology systems. The metrology system can include a stand-alone metrology device MET 240 and/or an integrated metrology device IM 207. The stand-alone metrology device MET 240 houses some or all of the substrates W that have been processed in the lithography unit for offline measurement. The integrated metrology apparatus IM 207 performs in-line metrology and is integrated into the coating development system to receive and measure some or all of the substrate W immediately after exposure. The weights and measures results are provided directly or indirectly to a supervisory control system (SCS) 238. If an error is detected, the exposure of the subsequent substrate can be adjusted, especially if the metrology can be performed quickly and quickly enough that other substrates of the same batch are still to be exposed. A common example of a metrology device in a modern lithography production facility is a scatterometer, such as an angular resolution scatterometer or a spectral scatterometer, and which can be typically applied to the properties of the developed substrate at 220 before etching in device 222. . Where stand-alone metrology apparatus 240 and/or integrated metrology apparatus 207 are used, it can be determined that important performance parameters, such as overlay or critical dimension (CD), do not meet the specified accuracy requirements in the developed resist. Prior to the etching step, there is a chance of stripping the developed resist via the lithography cluster and reprocessing the substrate 220. As is also well known, by the supervisory control system SCS and/or the control unit LACU 206 making small adjustments over time, the metrology results 242 from the device 240 can be used to maintain the accurate performance of the patterning operation in the lithography cluster, thereby Minimize the risk of producing unqualified products and requiring heavy work. Of course, the metrology apparatus 240 and/or other metrology equipment (not shown) can be applied to measure the properties of the processed substrates 232, 234 and the lead-in substrate 230.

圖2之(a)中展示度量衡設備。單機度量衡設備240及/或整合式度量衡設備207可包含(例如)此度量衡設備或任何其他合適度量衡設備。圖2之(b)中更詳細地說明目標T及用以照明該目標之量測輻射的繞射射線。所說明之度量衡設備屬於被稱為暗場度量衡設備之類型。度量衡設備可為單機裝置或可併入(例如)量測站處之微影設備LA中或微影單元LC中。貫穿設備具有若干分支之光軸係由點線O表示。在此設備中,由源11(例如,氙氣燈)發射之光係由包含透鏡12、14及物鏡16之光學系統經由光束分光器15而引導至基板W上。此等透鏡係以4F配置之雙重序列而配置。可使用不同透鏡配置,其限制條件為:該透鏡配置仍將基板影像提供至偵測器上,且同時允許接取中間光瞳平面以用於空間頻率濾光。因此,可藉由定義在呈現基板平面之空間光譜之平面(此處被稱作(共軛)光瞳平面)中的空間強度分佈來選擇輻射入射於基板上之角度範圍。詳言之,可藉由在為物鏡光瞳平面之背向投影式影像之平面中在透鏡12與14之間插入合適形式之孔徑板13來進行此選擇。在所說明之實例中,孔徑板13具有不同形式(被標註為13N及13S),從而允許選擇不同照明模式。本實例中之照明系統形成離軸照明模式。在第一照明模式中,孔徑板13N自僅出於描述起見而經指定為「北」之方向提供離軸照明。在第二照明模式中,孔徑板13S用以提供類似照明,但自被標註為「南」之相反方向提供照明。藉由使用不同孔徑,其他照明模式係可能的。光瞳平面之其餘部分理想地暗,此係因為所要照明模式外部之任何不必要光將干涉所要量測信號。 如圖2之(b)中所展示,目標T係在基板W垂直於物鏡16之光軸O的情況下被置放。基板W可由支撐件(未展示)來支撐。與軸線O成一角度而照射於目標T上之量測輻射射線I引起一個零階射線(實線0)及兩個一階射線(點鏈線+1及雙點鏈線-1)。應記住,在運用填充過度之小目標的情況下,此等射線僅僅為覆蓋包括度量衡目標T及其他特徵之基板區域的許多平行射線中之一者。由於板13中之孔徑具有有限寬度(為接納有用量之光所必要),所以入射射線I實際上將佔據一角度範圍,且繞射射線0及+1/-1將稍微散開。根據小目標之點散佈函數,每一階+1及-1將跨越角度範圍進一步擴散,而非如所展示之單一理想射線。應注意,目標之光柵間距及照明角度可經設計或經調整成使得進入物鏡之一階射線與中心光軸緊密地對準。圖2之(a)及圖3(b)中所說明之射線被展示為稍微離軸,以純粹地使其能夠在圖中較容易地被區分。 由基板W上之目標T繞射之至少0階及+1階係由物鏡16收集,且被引導回並穿過光束分光器15。返回至圖2之(a),藉由指定被標註為北(N)及南(S)之完全相反孔徑而說明第一及第二照明模式兩者。當量測輻射之入射射線I來自光軸之北側時(亦即,當使用孔徑板13N來應用第一照明模式時),經標註為+1(N)之+1繞射射線進入物鏡16。相比而言,當使用孔徑板13S來應用第二照明模式時,-1繞射射線(標註為-1(S))為進入透鏡16之繞射射線。 第二光束分光器17將繞射光束劃分成兩個量測分支。在第一量測分支中,光學系統18使用零階繞射光束及一階繞射光束而在第一感測器19 (例如,CCD或CMOS感測器)上形成目標之繞射光譜(光瞳平面影像)。每一繞射階射中感測器上之一不同點,使得影像處理可比較及對比若干階。由感測器19捕捉之光瞳平面影像可用於聚焦度量衡設備及/或正規化一階光束之強度量測。光瞳平面影像亦可用於諸如重新建構之許多量測目的。 在第二量測分支中,光學系統20、22在感測器23 (例如,CCD或CMOS感測器)上形成目標T之影像。在第二量測分支中,在與光瞳平面共軛之平面中提供孔徑光闌21。孔徑光闌21用以阻擋零階繞射光束,使得形成於感測器23上之目標之影像係僅由-1或+1一階光束形成。由感測器19及23捕捉之影像經輸出至處理影像之處理器PU,處理器PU之功能將取決於所執行之量測之特定類型。應注意,此處在廣泛意義上使用術語「影像」。由此,若僅存在-1階及+1階中之一者,則將不形成光柵線之影像。 圖2中所展示之孔徑板13及場光闌21之特定形式純粹為實例。在本發明之另一實施例中,使用目標之同軸照明,且使用具有離軸孔徑之孔徑光闌以將實質上僅一個一階繞射光傳遞至感測器。在又其他實施例中,代替一階光束或除了一階光束以外,可在量測中使用二階光束、三階光束及較高階光束(圖2中未展示)。 為了使量測輻射可適於此等不同類型之量測,孔徑板13可包含圍繞盤碟而形成之數個孔徑圖案,該盤碟旋轉以使所要圖案處於適當位置。應注意,孔徑板13N或13S可僅用以量測在一個方向(取決於設置而為X或Y)上定向之光柵。為了量測正交光柵,可實施達90°及270°之目標旋轉。上文所提及之先前已公開申請案中描述此等孔徑板之使用及設備之眾多其他變化及應用。 在上文中,提及通常緊接在顯影步驟之後及在蝕刻之前執行度量衡,其中在抗蝕劑中量測疊對目標(「顯影後」疊對量測),尤其在使用整合式度量衡設備執行線內量測時。此係因為蝕刻後量測(「蝕刻後」疊對量測)將需要額外獨立量測步驟,其就時間而言為費時的。由此,微影程序之回饋控制迴路係基於顯影後疊對量測而非蝕刻後疊對量測。此外,可展示對同一目標之蝕刻後量測及顯影後量測可不同。實際相關疊對為蝕刻後疊對,此係由於在蝕刻步驟中移除抗蝕劑。蝕刻後量測與顯影後量測之間的此不一致很大程度上係由於對目標之蝕刻步驟之效應或歸因於在藉由蝕刻程序移除硬式光罩之後的應力釋放。 另外,相較於蝕刻後(此可在圖3中觀測到),在形成未經蝕刻疊對目標之相關光柵之間存在明顯較大距離(顯影後所量測)。蝕刻後較接近在一起之光柵之效應為藉由量測品質之所得改良而改良來自光柵之信號耦合。 圖3示意性地說明執行並不需要額外獨立量測步驟之蝕刻後度量衡之方法的三個步驟。在實施例中,該方法包含在當前微影層形成期間量測蝕刻後前一層疊對及(視情況) (顯影後)當前層疊對兩者;即在層Ln 及層Ln - 1 上方形成層Ln + 1 期間量測: (a) 來自內埋式目標之疊對(層Ln 與層Ln - 1 之間的前一層之疊對) (b) 來自當前層之疊對(層Ln + 1 與層Ln 之間)。 此方法使用整合式度量衡(例如,整合於微影單元之塗佈顯影系統內之度量衡設備)執行量測。在處理基板期間,通常緊接在顯影步驟之後但在蝕刻步驟之前線內執行此類量測。可在微影程序期間與預處理後續基板(例如,抗蝕劑塗等)同時來執行此類量測且因此佔用極少額外時間或不佔用額外時間。 圖3展示微影程序之三個步驟(單獨地作為之較長程序之部分)。第一區塊展示在第一微影步驟Lin 之後基板之一部分,其中層Ln 經曝光至已經形成及處理(經顯影及蝕刻)之前一層Ln-1上。展示顯影後(亦即,預蝕刻)層Ln作為光罩層M1n及材料層M2n上方之抗蝕劑結構,在蝕刻步驟之後層Ln最終將被蝕刻至材料層M2n中。在此特定實例中,層Ln-1包含疊對光柵OVn-1及產品解析度疊對光柵DOVn-1。在此特定實例中,層Ln包含第一疊對光柵OVn,1(其直接曝光於層Ln-1中之疊對光柵OVn-1上方,藉此形成疊對目標)、第二疊對光柵OVn,2、產品解析度疊對光柵DOVn(其直接曝光於層Ln-1中之產品解析度疊對光柵DOVn-1上方,藉此形成產品解析度疊對目標)及臨界尺寸目標CDn。第二區塊展示在蝕刻步驟Ein之後的基板。第三區塊展示在第二微影步驟Lin+1之後的基板,其中層Ln+1經曝光至層Ln及層Ln+1上。展示顯影後(亦即,預蝕刻)層Ln+1作為光罩層M1n+1及材料層M2n+1上方之抗蝕劑結構,在蝕刻步驟之後層Ln+1最終將被蝕刻至材料層M2n+1中。在此特定實例中,層Ln+1經展示以包含第一疊對光柵OVn+1,1(其直接曝光於層Ln中之疊對光柵OVn,2上方,藉此形成疊對目標)及第二疊對光柵OVn+1,2。形成第二疊對光柵OVn+1,2以提供疊對目標之較低組成光柵以用於量測層Ln+1與後續層之間的疊對且因此在不存在後續層之情況下該第二疊對光柵為不必要的。 The metrology device is shown in Figure 2(a). The stand-alone metrology device 240 and/or the integrated metrology device 207 can include, for example, this metrology device or any other suitable metrology device. The target T and the diffracted radiation used to illuminate the target's measured radiation are illustrated in more detail in (b) of FIG. The illustrated metrology equipment is of the type known as dark field metrology equipment. The metrology device can be a stand-alone device or can be incorporated in, for example, a lithography device LA at the metrology station or in a lithography unit LC. The optical axis through which the device has several branches is represented by a dotted line O. In this apparatus, light emitted by a source 11 (e.g., a xenon lamp) is directed to a substrate W by an optical system including lenses 12, 14 and an objective lens 16 via a beam splitter 15. These lenses are arranged in a double sequence of 4F configurations. Different lens configurations can be used with the constraint that the lens configuration still provides the substrate image onto the detector while allowing access to the intermediate pupil plane for spatial frequency filtering. Thus, the range of angles at which radiation is incident on the substrate can be selected by defining a spatial intensity distribution in a plane that presents the spatial spectrum of the substrate plane (referred to herein as a (conjugate) pupil plane). In particular, this selection can be made by inserting a suitable form of aperture plate 13 between lenses 12 and 14 in the plane facing away from the projection image of the objective pupil plane. In the illustrated example, the aperture plates 13 have different forms (labeled 13N and 13S) allowing for different illumination modes to be selected. The illumination system in this example forms an off-axis illumination mode. In the first illumination mode, the aperture plate 13N provides off-axis illumination in a direction designated "north" for purposes of description only. In the second illumination mode, the aperture plate 13S is used to provide similar illumination, but provides illumination from the opposite direction labeled "South." Other lighting modes are possible by using different apertures. The rest of the pupil plane is ideally dark, because any unwanted light outside the desired illumination mode will interfere with the desired measurement signal. As shown in (b) of FIG. 2, the target T is placed with the substrate W perpendicular to the optical axis O of the objective lens 16. The substrate W may be supported by a support (not shown). The measuring radiation ray I incident on the target T at an angle to the axis O causes a zero-order ray (solid line 0) and two first-order ray (dot chain line +1 and double-point chain line -1). It should be borne in mind that in the case of the use of overfilled small targets, such rays are only one of many parallel rays covering the substrate area including the metrology target T and other features. Since the aperture in the plate 13 has a finite width (necessary for receiving a quantity of light), the incident ray I will actually occupy an angular range, and the diffracted rays 0 and +1/-1 will be slightly spread apart. According to the point spread function of the small target, each order +1 and -1 will spread further across the range of angles instead of a single ideal ray as shown. It should be noted that the grating pitch and illumination angle of the target can be designed or adjusted such that a step ray entering the objective lens is closely aligned with the central optical axis. The rays illustrated in (a) and 3 (b) of Fig. 2 are shown to be slightly off-axis to be purely distinguishable from the figure. At least the 0th order and the +1st order of the target T diffraction on the substrate W are collected by the objective lens 16 and guided back through the beam splitter 15. Returning to (a) of Figure 2, both the first and second illumination modes are illustrated by designating the exact opposite apertures labeled North (N) and South (S). When the incident ray I of the equivalent radiation is from the north side of the optical axis (i.e., when the first illumination mode is applied using the aperture plate 13N), the +1 diffracted ray labeled +1 (N) enters the objective lens 16. In contrast, when the second illumination mode is applied using the aperture plate 13S, the -1 diffracted ray (labeled -1(S)) is the diffracted ray entering the lens 16. The second beam splitter 17 divides the diffracted beam into two measuring branches. In the first measurement branch, the optical system 18 forms a diffraction spectrum of the target on the first sensor 19 (eg, a CCD or CMOS sensor) using a zero-order diffracted beam and a first-order diffracted beam (light)瞳 Planar image). One of the different points on the sensor in each diffraction step allows the image processing to compare and compare several orders. The pupil plane image captured by the sensor 19 can be used to focus the metrology device and/or normalize the intensity measurement of the first order beam. The pupil plane image can also be used for many measurement purposes such as re-construction. In the second measurement branch, the optical system 20, 22 forms an image of the target T on the sensor 23 (eg, a CCD or CMOS sensor). In the second measuring branch, an aperture stop 21 is provided in a plane conjugate to the pupil plane. The aperture stop 21 is used to block the zero-order diffracted beam such that the image of the target formed on the sensor 23 is formed only by a -1 or +1 first-order beam. The images captured by sensors 19 and 23 are output to a processor PU that processes the images, and the functionality of processor PU will depend on the particular type of measurement being performed. It should be noted that the term "image" is used herein in a broad sense. Therefore, if only one of the -1st order and the +1st order exists, the image of the raster line will not be formed. The particular form of aperture plate 13 and field stop 21 shown in Figure 2 is purely an example. In another embodiment of the invention, the target coaxial illumination is used and an aperture stop having an off-axis aperture is used to deliver substantially only one first order diffracted light to the sensor. In still other embodiments, instead of or in addition to the first order beam, a second order beam, a third order beam, and a higher order beam (not shown in Figure 2) may be used in the measurement. In order for the measurement radiation to be suitable for these different types of measurements, the aperture plate 13 can include a plurality of aperture patterns formed around the disk that rotate to bring the desired pattern into position. It should be noted that the aperture plate 13N or 13S may only be used to measure a grating oriented in one direction (X or Y depending on the setting). In order to measure the orthogonal grating, a target rotation of up to 90° and 270° can be performed. Numerous other variations and applications of the use of such aperture plates and apparatus are described in the previously published applications mentioned above. In the above, it is mentioned that the metrology is usually performed immediately after the development step and before the etching, wherein the overlay target is measured in the resist ("post-development" overlay measurement), especially when using an integrated metrology device When measuring in the line. This is because the post-etch measurement ("post-etch" stack-to-measure) will require an additional independent measurement step, which is time consuming in terms of time. Thus, the feedback control loop of the lithography program is based on post-development overlay measurement rather than post-etch overlay measurement. In addition, it can be shown that the post-etch measurement and the post-development measurement for the same target can be different. The actual associated stack is a post-etch stack, which is due to the removal of the resist during the etching step. This inconsistency between post-etch measurement and post-development measurement is largely due to the effect of the etching step on the target or due to stress relief after removal of the hard mask by the etching process. In addition, there is a significant greater distance (measured after development) between the gratings forming the unetched stack and the target compared to after etching (which can be observed in Figure 3). The effect of the gratings that are closer together after etching is to improve the signal coupling from the grating by the improved quality of the measurement quality. Figure 3 schematically illustrates three steps of a method of performing an post-etch metric that does not require an additional independent measurement step. In an embodiment, the method includes measuring a previous stack of etched and (as appropriate) (after development) the current stack pair during the formation of the current lithography layer; ie, above layer L n and layer L n - 1 During the formation of the layer L n + 1 : (a) a pair of pairs from buried objects (a stack of layers between the layer L n and the layer L n - 1 ) (b) a stack of pairs from the current layer ( Between layer L n + 1 and layer L n ). This method performs measurement using an integrated metrology (eg, a metrology device integrated into a coating development system of a lithography unit). Such measurements are typically performed in-line immediately after the development step but before the etching step during processing of the substrate. Such measurements can be performed simultaneously with the pre-processing of the substrate (eg, resist coating, etc.) during the lithography process and thus take up very little extra time or take up extra time. Figure 3 shows the three steps of the lithography program (alone as part of a longer program). The first block shows a portion of the substrate after the first lithography step Li n , wherein the layer L n is exposed to a layer L n-1 that has been formed and processed (developed and etched). After show development (i.e., pre-etching), the resist layer L n of the mask layer over the structure and the material layer M1 n M2 n, L n layer will eventually be etched into the material layer M2 n after the etching step. In this particular example, layer Ln-1 includes a stacked pair of gratings OVn -1 and a product resolution paired pair of beams DOVn -1 . In this particular example, layer L n includes a first stack of gratings OV n,1 (which is directly exposed over the stack of gratings OV n-1 in layer L n-1 , thereby forming a pair of targets), second Overlap grating OV n,2 , product resolution overlay raster DOV n (which is directly exposed above the product resolution in layer L n-1 over the raster DOV n-1 , thereby forming a product resolution overlay target) And critical dimension target CD n . The second block shows the substrate after the etching step Ei n . The third block shows the substrate after the second lithography step Li n+1 , wherein the layer L n+1 is exposed to the layer L n and the layer L n+1 . The developed (i.e., pre-etched) layer Ln+1 is shown as a resist structure over the mask layer M1 n+1 and the material layer M2 n+1 , and the layer L n+1 will eventually be etched after the etching step. To the material layer M2 n+1 . In this particular example, layer L n+1 is shown to include a first stack of gratings OV n+1,1 (which is directly exposed over the stack of gratings OV n,2 in layer L n , thereby forming a stack Target) and the second stack of gratings OV n+1,2 . Forming a second stack of gratings OV n+1, 2 to provide a lower constituent grating of the pair of targets for measuring the overlap between layer L n+1 and subsequent layers and thus in the absence of subsequent layers This second stack of gratings is not necessary.

第一微影步驟Lin示意性地指示量測由疊對光柵OVn-1、OVn,1形成之顯影後疊對目標以獲得層Ln與層Ln-1之間的顯影後疊對量測OVDn,n-1。亦量測CD目標CDn以獲得顯影後CD量測CDDn。在控制迴路FBDn中使用此等顯影後疊對及/或CD量測以用於控制對後續基板之微影步驟Lin。此顯影後控制迴路FBDn在整合式度量衡系統中為習知的。此層中亦可包括其他類型之目標,諸如用於量測焦點之焦點目標。在層Ln中包括焦點目標的情況下,該焦點目標亦可在顯影後予以量測及在控制迴路中使用以用於控制對後續基板之微影步驟Lin ,如所描述。 第二微影步驟Lin + 1 示意性地指示量測由疊對光柵OVn - 1 、OVn , 1 形成之蝕刻後疊對目標以獲得層Ln 與層Ln - 1 之間的蝕刻後疊對量測OVE n,n-1。本發明者已設計出可在微影步驟Lin + 1 期間在針對此微影步驟之預處理步驟之後執行此蝕刻後量測。因而,在層Ln + 1 之形成期間(亦即,緊接在顯影層Ln + 1 之後),經由薄膜光罩層M1n + 1 及此薄膜光罩層下方之材料層M2n + 1 執行兩個先前層之蝕刻後疊對量測OVE n,n-1,層Ln + 1 將被蝕刻至材料層M2n + 1 中。類似地,可在此步驟期間進行層Ln 之蝕刻後CD量測CDE n及層Ln 與層Ln - 1 之間的蝕刻後產品解析度疊對量測DOVE n,n-1,與在存在層Ln 中之焦點目標之情況下可對其進行蝕刻後焦點量測一樣。下文中將更詳細地描述產品解析度疊對度量衡。另外,如所展示,可執行層Ln + 1 與層Ln 之間的較習知顯影後疊對量測OVD n+1,n。 蝕刻後疊對量測OVE n,n-1、蝕刻後產品解析度疊對量測DOVE n,n-1及/或蝕刻後CD量測CDE n接著可用於控制迴路FBE n中以用於控制對後續基板之微影步驟Lin 中的疊對及/或CD。顯影後疊對量測OVD n+1,n可用於控制迴路FBD n+1中以用於控制對後續基板之微影步驟Lin + 1 。 已知對典型疊對目標(包含具有大約500奈米之間距之光柵)執行之疊對量測與在產品解析度下之產品結構之實際疊對之間可存在不一致。此不一致可導致來自疊對目標之經量測疊對值與產品結構之實際疊對之間的偏移。疊對光柵具有較大間距之原因為此使得能夠在抗蝕劑中量測疊對目標(亦即,顯影後量測)。對產品解析度疊對光柵執行顯影後量測為不可能的,此係由於此小間距(當上部光柵處於抗蝕劑中時與光柵之間的距離耦合)意謂不存在可量測一階信號,而零階信號在此距離內不穩定。本文中所揭示之方法產生產品解析度疊對光柵DOVn、DOVn-1,其可經量測以獲得產品解析度疊對之量度。舉例而言,產品解析度光柵可為具有小於100奈米(例如,在40奈米至80奈米之間)之間距之光柵。此係因為疊對目標可在蝕刻後予以量測且因此現將僅包含組成光柵DOVn、DOVn-1之間的小距離。此使得能夠以足夠準確度量測零階信號。應注意,可直接對產品結構執行此等蝕刻後量測,而非對專用疊對光柵(此亦適用於CD量測)。因而,術語「目標」應理解為包括產品結構。 The first lithography step Li n schematically indicates the measurement of the developed post-stacked target formed by the stacked gratings OV n-1 , OV n, 1 to obtain a developed stack between the layer L n and the layer L n-1 For measuring OV D n,n-1. The CD target CD n is also measured to obtain a CD measurement CD D n after development. These post-development overlays and/or CD measurements are used in control loop FB D n for controlling the lithography step Li n to subsequent substrates. This post-development control loop FB D n is well known in integrated metrology systems. Other types of targets may also be included in this layer, such as focus targets for measuring focus. In the case where L n layer comprises a focus target, the focus target may be measured after development and used for controlling the micro-Li n subsequent fixing step of the substrate, as described in the control loop. The second lithography step of Li n + 1 measurement is schematically indicated by the stack grating OV n - after etching 1, OV n, 1 is formed of the laminate with the layer L n L n to obtain the target - between etch 1 The back stack measures OV E n,n-1. The present inventors have devised can be measured after the lithography step during Li n + 1 after the etching performed for the pretreatment step of this lithography step. Thus, during the formation of the layer L n + 1 (i.e., immediately after the development layer L n + 1 ), via the thin film mask layer M1 n + 1 and the material layer M2 n + 1 under the thin film mask layer After the etch of the two previous layers is performed, the measurement OV E n,n-1, the layer L n + 1 will be etched into the material layer M2 n + 1 . Similarly, the CD can be measured L n CD E n L n layer and the layer after the etching of layer L n during this step - after the etching products between a resolution of the overlay measurement DOV E n, n-1 It can be the same as the focus measurement after etching in the presence of the focus target in the layer L n . Product resolution overlay versus weights will be described in more detail below. Further, as illustrated, layers may perform more conventional L n + 1 and between the post-development layer L n of overlay metrology OV D n + 1, n. Post-etch overlay measurement OV E n,n-1, post-etch product resolution stack measurement DOV E n,n-1 and/or post-etch CD measurement CD E n can then be used in control loop FB E n for controlling the micro-substrate Movies subsequent step of Li n overlay and / or CD. The post-development overlay measurement OV D n+1,n can be used in the control loop FB D n+1 for controlling the lithography step Li n + 1 to the subsequent substrate. It is known that there may be inconsistencies between the stack-to-measure performed on a typical stack-to-target (including a grating having a pitch of about 500 nm) and the actual overlay of the product structure at product resolution. This inconsistency can result in an offset between the measured overlay value from the overlay target and the actual overlay of the product structure. The reason why the stack has a large pitch for the grating makes it possible to measure the overlap target (i.e., post-development measurement) in the resist. It is impossible to perform post-development measurement on the grating by the product resolution stack. This is because the small pitch (coupling with the distance between the grating when the upper grating is in the resist) means that there is no measurable first order. The signal, while the zeroth order signal is unstable at this distance. The method disclosed herein produces a product resolution overlay raster DOV n , DOV n-1 that can be measured to obtain a measure of product resolution overlay. For example, the product resolution grating can be a grating having a distance of less than 100 nanometers (eg, between 40 nanometers and 80 nanometers). This is because the stack-to-target can be measured after etching and therefore will now only contain small distances between the constituent gratings DOV n , DOV n-1 . This makes it possible to measure the zero-order signal with a sufficiently accurate measure. It should be noted that such post-etch measurements can be performed directly on the product structure, rather than on dedicated overlay gratings (this also applies to CD measurements). Thus, the term "target" should be understood to include the structure of the product.

有可能使用產品解析度疊對目標替代較習知、較大間距疊對目標,但此將意謂產品解析度疊對目標不可用於顯影後量測及控制迴路。或者,產品解析度疊對目標可結合較大間距疊對目標使用。舉例而言,來自較大間距疊對目標與來自產品解析度疊對目標之疊對之量測之間的偏移有可能經判定且用以校正較大間距疊對目標之後續量測。在此實施例中,可量測產品解析度疊對目標(在整合式度量衡設備上線內量測或在單機度量衡設備上單獨量測)且該偏移可經判定並用以改良如本文中所描述而形成及量測之較標準疊對目標之量測準確度。舉例而言,可在適當時在每一層組合、每一基板或每一批次之基礎上判定此偏移。 It is possible to use a product resolution stack instead of a target, a larger pitch overlay target, but this would mean that the product resolution stack is not available for post-development measurement and control loops. Alternatively, the product resolution overlay can be used with the target in combination with a larger spacing stack. For example, the offset between the larger spaced overlay target and the overlay from the product resolution overlay to the target is likely to be determined and used to correct for subsequent measurements of the larger pitch overlay target. In this embodiment, the product resolution stack can be measured against the target (measured in-line on the integrated metrology device or separately measured on a single-machine metrology device) and the offset can be determined and used to improve as described herein And the accuracy of the measurement and measurement of the standard stack to the target. For example, this offset can be determined on a per-layer combination, on each substrate, or on a batch basis, as appropriate.

應瞭解,此處所描述之概念不限於量測之類型(例如,疊對或CD)或目標之類型中之任一者或其組合。在形成後續層之該等微影程序期間所執行的對形成於一或多個先前層中之目標之蝕刻後量測之原理至關重要。目標可包括疊對目標、產品解析度疊對目標、焦點目標及/或CD目標中之一者或任何組合。一或多個目標可為可用於對單一目標之不同類型之量測的雙或多個量測類型目標。此類目標可用於疊對量測、產品解析度疊對量測、焦點量測及/或CD量測中之任兩者或多於兩者。 It should be appreciated that the concepts described herein are not limited to any one or combination of types of measurements (eg, overlays or CDs) or types of targets. The principle of post-etch measurement of the targets formed in one or more previous layers performed during the lithography process that forms the subsequent layers is critical. The target may include one of the overlay target, the product resolution overlay target, the focus target, and/or the CD target, or any combination. One or more targets may be two or more measurement type targets that may be used for different types of measurements on a single target. Such targets can be used for either or more of stacking measurements, product resolution overlays, focus measurements, and/or CD measurements.

不同層可包含不同類型的目標(或其組成結構)之不同組合。舉例而言,在實施例中,蝕刻後量測與顯影後量測之間的偏移可經判定且用以校正後續顯影後量測,藉此去除在每一狀況下執行蝕刻後量測之需要。舉例而言,可在適當時在每一層(或層組合)、每一基板或每一批次之基礎上判定此偏移。 雖然上文所描述之目標為出於量測之目的而特定地設計及形成之度量衡目標,但在其他實施例中,可對為形成於基板上之裝置之功能部分的目標進行屬性量測。許多裝置具有規則的類光柵結構。如本文中所使用之術語「目標光柵」及「目標」無需已特定地針對所執行之量測來提供結構。此外,度量衡目標之間距P接近於散射計之光學系統之解析度極限,但可比藉由微影程序在目標部分C中製造之典型產品特徵之尺寸大得多。實際上,可將目標內之疊對光柵之線及/或空間製造為包括在尺寸上與產品特徵類似之較小結構。 與如實現於基板及圖案化裝置上之目標之實體光柵結構相關聯地,一實施例可包括含有機器可讀指令之一或多個序列之電腦程式,該等機器可讀指令描述量測基板上之目標及/或分析量測以獲得關於微影程序之資訊之方法。此電腦程式可執行於(例如)圖3之設備中之單元PU及/或圖2之控制單元LACU內。亦可提供其中經儲存有此電腦程式之資料儲存媒體(例如,半導體記憶體,磁碟或光碟)。在現有度量衡設備(例如,圖3中所展示之類型的度量衡設備)已在生產及/或在使用的情況下,可藉由供應經更新電腦程式產品以用於致使處理器執行經修改步驟S6且因此計算對結構不對稱性敏感度降低之疊對誤差或其他參數來實施本發明。 程式可視情況經配置以控制光學系統、基板支撐件及其類似者以執行步驟S2至S5以用於量測關於合適複數個目標之不對稱性。 雖然上文所揭示之實施例依據以繞射為基礎之疊對量測(例如,使用圖3(a)中所展示之設備之第二量測分支進行的量測)進行描述,但原則上相同模型可用於以光瞳為基礎之疊對量測(例如,使用圖3(a)中所展示之設備之第一量測分支進行的量測)。因此,應瞭解,本文中所描述之概念同樣適用於以繞射為基礎之疊對量測及以光瞳為基礎之疊對量測。 儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例的使用,但應瞭解,本發明可用於其他應用(例如,壓印微影)中,且在內容背景允許的情況下不限於光學微影。在壓印微影中,圖案化裝置中之構形(topography)界定產生於基板上之圖案。可將圖案化裝置之構形壓入被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後,將圖案化裝置移出抗蝕劑,從而在其中留下圖案。 本文中所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有為或為約365奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在5奈米至20奈米之範圍內之波長);以及粒子束(諸如,離子束或電子束)。 術語「透鏡」在內容背景允許之情況下可指各種類型之光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。 可使用以下條項來進一步描述實施例: 1. 一種量測一目標之方法,其包含: 在藉由一微影程序曝光結構之後量測一或多個先前層上方之一基板上之一當前層中的該目標,其中該一或多個先前層各自已經歷一蝕刻步驟,且其中該目標僅包含在該一或多個先前層中之至少一者中,藉此獲得對該目標之一蝕刻後量測。 Different layers may contain different combinations of different types of targets (or their constituent structures). For example, in an embodiment, the offset between the post-etch measurement and the post-development measurement can be determined and used to correct subsequent post-development measurements, thereby removing post-etch measurements in each condition. need. For example, this offset can be determined on a per layer (or layer combination) basis, on each substrate, or on a batch basis, as appropriate. While the above described objects are specifically designed and formed for metrology purposes for measurement purposes, in other embodiments, an attribute measurement can be performed on the target of the functional portion of the device formed on the substrate. Many devices have a regular grating-like structure. The terms "target raster" and "target" as used herein do not need to have specifically provided structure for the measurements performed. Furthermore, the distance P between the metrology targets is close to the resolution limit of the optical system of the scatterometer, but can be much larger than the typical product features produced by the lithography program in the target portion C. In practice, the lines and/or spaces of the stack within the target can be fabricated to include smaller structures that are similar in size to the product features. In association with a physical raster structure as embodied on a substrate and a patterned device, an embodiment can include a computer program containing one or more sequences of machine readable instructions describing a measurement substrate The above objectives and/or analytical measurements to obtain information about the lithography program. This computer program can be executed, for example, in the unit PU in the device of FIG. 3 and/or in the control unit LACU of FIG. A data storage medium (for example, a semiconductor memory, a magnetic disk or a compact disc) in which the computer program is stored may also be provided. In the case where an existing metrology device (eg, a metrology device of the type shown in FIG. 3) is already in production and/or in use, the updated computer program product can be supplied for causing the processor to perform the modified step S6. The present invention is therefore practiced by calculating stacking errors or other parameters that are less sensitive to structural asymmetry. The program may optionally be configured to control the optical system, substrate support, and the like to perform steps S2 through S5 for measuring asymmetry with respect to a suitable plurality of targets. Although the embodiments disclosed above are described in terms of a diffraction-based overlay measurement (eg, using a second measurement branch of the apparatus shown in FIG. 3(a)), in principle, The same model can be used for pupil-based overlay measurement (eg, using the first measurement branch of the device shown in Figure 3(a)). Therefore, it should be understood that the concepts described herein are equally applicable to diffraction-based stack-to-measurement and pupil-based stack-to-measurement. Although the use of embodiments of the present invention in the context of the content of optical lithography may be specifically referenced above, it will be appreciated that the invention may be used in other applications (eg, imprint lithography) and where the context of the content allows The next is not limited to optical lithography. In imprint lithography, the topography in the patterning device defines the pattern produced on the substrate. The patterning device can be configured to be pressed into a resist layer that is supplied to the substrate where the resist is cured by application of electromagnetic radiation, heat, pressure, or a combination thereof. After the resist is cured, the patterning device is removed from the resist to leave a pattern therein. The terms "radiation" and "beam" as used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (eg, having or being about 365 nm, 355 nm, 248 nm, 193 nm, 157 nm or 126 nm wavelength) and extreme ultraviolet (EUV) radiation (eg, having a wavelength in the range of 5 nm to 20 nm); and a particle beam (such as an ion beam or an electron beam). The term "lens", as the context of the context permits, may refer to any or a combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic, and electrostatic optical components. The following items may be further described using the following items: 1. A method of measuring a target, comprising: measuring one of a substrate on one of the one or more previous layers after exposing the structure by a lithography process The target in the layer, wherein the one or more previous layers each have undergone an etching step, and wherein the target is included only in at least one of the one or more previous layers, thereby obtaining one of the targets Measured after etching.

2.如條項1之方法,其中在該當前層之一顯影步驟之後執行該量測步驟。 2. The method of clause 1, wherein the measuring step is performed after a developing step of the current layer.

3.如條項1或2之方法,其中在蝕刻該當前層之前,貫穿該當前層將經蝕刻至之該層及/或一光罩層執行該量測步驟。 3. The method of clause 1 or 2, wherein the measuring step is performed through the current layer to be etched to the layer and/or a mask layer prior to etching the current layer.

4.如任何前述條項之方法,其中該目標包含用於量測該等先前層中之一第一層與該等先前層中之一第二層之間的疊對之一疊對目標,該疊對目標包含該第一層中之一第一結構及該第二層中之上覆於該第一結構之一第二結構。 4. The method of any preceding clause, wherein the target comprises measuring a stack of pairs of the first layer between the first layer and the second layer of the previous layers, The stack of pairs includes a first structure in the first layer and a second structure overlying the first structure in the second layer.

5.如條項4之方法,其中該第一結構及該第二結構各自具有小於100奈米之一解析度。 5. The method of clause 4, wherein the first structure and the second structure each have a resolution of less than 100 nanometers.

6.如條項5之方法,其中該方法包含另外量測包含具有大於100奈米之一解析度之結構以及亦包含在該第一層及該第二層內之一疊對目標;判定對包含具有小於100奈米之一解析度之結構的該疊對目標之該量測與對包含具有大於100奈米之一解析度之結構的該疊對目標之該量測之間的一偏移;以及使用該偏移以校正對包含具有大於100奈米之一解析度之結構的疊對目標之後續蝕刻後量測。 6. The method of clause 5, wherein the method comprises additionally measuring a structure comprising a resolution having a resolution greater than 100 nm and also including a stack of targets in the first layer and the second layer; An offset between the measurement of the overlay target comprising a structure having a resolution of less than 100 nm and the measurement of the overlay target comprising a structure having a resolution greater than 100 nm And using the offset to correct subsequent post-etch measurements of the overlay target comprising a structure having a resolution greater than 100 nanometers.

7.如任何前述條項之方法,其中該目標包含該等先前層之一者中之一臨界尺寸目標以用於量測該層中之臨界尺寸。 7. The method of any preceding clause, wherein the target comprises one of the previous levels of the critical dimension target for measuring a critical dimension in the layer.

8.如任何前述條項之方法,其中該方法包含在對其中形成有該目 標之最上部層執行一蝕刻步驟之前執行對該目標之一初始量測;判定對該目標之該初始量測與對該目標之該蝕刻後量測之間的一偏移;及使用該偏移以校正在對其中形成有目標之該最上部層執行一蝕刻步驟之前所執行之對該等目標之後續量測。 8. The method of any preceding clause, wherein the method comprises forming the target Performing an initial measurement of the target before performing an etching step on the uppermost layer; determining an offset between the initial measurement of the target and the post-etching measurement of the target; and using the bias The shifting corrects the subsequent measurements of the targets performed prior to performing an etch step on the uppermost layer in which the target is formed.

9.如任何前述條項之方法,其包含使用該蝕刻後量測以控制形成對應於後續基板上之該目標形成於其中之該(等)層的該適當一或多個先前層之一微影程序。 9. The method of any preceding clause, comprising using the post-etching measurement to control formation of one of the appropriate one or more previous layers corresponding to the (identical) layer in which the target on the subsequent substrate is formed. Shadow program.

10.如任何前述條項之方法,其包含在一或多個後續基板之預處理期間執行該蝕刻後量測。 10. The method of any preceding clause, comprising performing the post-etching measurement during pre-processing of one or more subsequent substrates.

11.如任何前述條項之方法,其包含:在具有該蝕刻後量測之一單一量測循環中量測至少部分地形成於該當前層中之一未經處理目標,以獲得對該未經處理目標之一量測;及使用對該未經處理目標之該量測以控制在後續基板上形成該當前層之一微影程序。 11. The method of any preceding clause, comprising: measuring, in a single measurement cycle having the post-etch measurement, an unprocessed target formed at least partially in the current layer to obtain the One of the processing targets is measured; and the measurement of the unprocessed target is used to control the formation of one of the current layers of the lithography process on the subsequent substrate.

12.如任何前述條項之方法,其中該目標包含該等先前層之一者中之一焦點目標以用於量測該層中之焦點。 12. The method of any preceding clause, wherein the target comprises one of the focus layers of one of the previous layers for measuring a focus in the layer.

13.一種執行一微影程序之方法,其包含:執行微影步驟以在一基板上之一或多個先前層中形成結構,該等先前層中之至少一者包含一目標;對該一或多個先前層執行一蝕刻步驟;曝光該一或多個先前層上方之一當前層;及在此等步驟之後:量測該目標以獲得對該目標之一蝕刻後量測。 13. A method of performing a lithography process, comprising: performing a lithography step to form a structure in one or more previous layers on a substrate, at least one of the prior layers comprising a target; Or performing an etch step on the plurality of previous layers; exposing one of the current layers above the one or more previous layers; and after such steps: measuring the target to obtain an etched measurement of one of the targets.

14.如條項13之方法,其包含在該曝光步驟與該量測步驟之間對該基板執行一顯影步驟以對該當前層進行顯影。 14. The method of clause 13, comprising performing a development step on the substrate between the exposing step and the measuring step to develop the current layer.

15.如條項13或14之方法,其中在蝕刻該當前層之前,貫穿該當前層將經蝕刻至之該層及/或一光罩層執行該量測步驟。 15. The method of clause 13 or 14, wherein the measuring step is performed through the current layer to be etched to the layer and/or a mask layer prior to etching the current layer.

16.如條項13至15中任一項之方法,其中該目標包含用於量測該等先前層中之一第一層與該等先前層中之一第二層之間的疊對之一疊對目標,該疊對目標包含該第一層中之一第一結構及該第二層中之上覆於該第一結構之一第二結構。 The method of any one of clauses 13 to 15, wherein the object comprises measuring a stack between one of the first layers and one of the second layers of the previous layers A stack of objects, the stack of objects comprising a first structure in the first layer and a second structure overlying the first structure in the second layer.

17.如條項16之方法,其中該第一結構及該第二結構各自具有小於100奈米之一解析度。 17. The method of clause 16, wherein the first structure and the second structure each have a resolution of less than 100 nanometers.

18.如條項17之方法,其中該方法包含另外量測包含具有大於100奈米之一解析度之結構以及亦包含在該第一層及該第二層內之一疊對目標;判定對包含具有小於100奈米之一解析度之結構的該疊對目標之該量測與對包含具有大於100奈米之一解析度之結構的該疊對目標之該量測之間的一偏移;及使用該偏移以校正對包含具有大於100奈米之一解析度之結構的疊對目標之後續蝕刻後量測。 18. The method of clause 17, wherein the method comprises additionally measuring a structure comprising a resolution having a resolution greater than 100 nm and also comprising a stack of targets within the first layer and the second layer; An offset between the measurement of the overlay target comprising a structure having a resolution of less than 100 nm and the measurement of the overlay target comprising a structure having a resolution greater than 100 nm And using the offset to correct for subsequent post-etch measurements of the overlay target comprising a structure having a resolution greater than 100 nanometers.

19.如條項13至18中任一項之方法,其中該目標包含該等先前層之一者中之一臨界尺寸目標以用於量測該層中之臨界尺寸。 The method of any one of clauses 13 to 18, wherein the target comprises one of the prior levels of the critical dimension target for measuring a critical dimension in the layer.

20.如條項13至19中任一項之方法,其中該目標包含該等先前層之一者中之一焦點目標以用於量測該層中之焦點。 The method of any one of clauses 13 to 19, wherein the target comprises one of the focus layers of one of the previous layers for measuring a focus in the layer.

21.如條項13至20中任一項之方法,其中該方法包含在該目標之形成期間及在對其中形成有該目標之該最上部層執行一蝕刻步驟之前執行對該目標之一初始量測; 判定對該目標之該初始量測與對該目標之該蝕刻後量測之間的一偏移;及 使用該偏移以校正在對其中形成有目標之該最上部層執行一蝕刻步驟之前所執行之對該等目標之後續量測。 22. 如條項13至21中任一項之方法,使用該蝕刻後量測以在形成對應於後續基板上之該目標形成於其中之該(等)層的該適當一或多個先前層時控制該微影程序。 23. 如條項13至22中任一項之方法,其包含在一或多個後續基板之預處理期間執行該蝕刻後量測。 24. 如條項13至23中任一項之方法,其包含與對形成於一或多個先前層中之該目標之該等蝕刻後量測並行地進行對至少部分地形成於該當前層中之一未經處理目標之量測;及 使用對該未經處理目標之該等量測以在於後續基板上形成該當前層時控制該微影程序。 25. 一種包含處理器可讀指令之電腦程式,該等處理器可讀指令在合適處理器控制之設備上運行時致使該處理器控制之設備執行如任何前述條項之方法。 26. 一種電腦程式載體,其包含如條項25之電腦程式。 27. 一種包含一微影設備及一度量衡設備之微影單元,該微影單元可經操作以執行如條項1至24中任一項之方法。 28. 如條項27之微影單元,其中該度量衡設備包含: 一照明系統,其經組態以運用輻射來照明該基板上之使用該微影程序而產生的一組合式目標; 一偵測系統,其經組態以偵測起因於該組合式目標之照明之散射輻射。 29. 如條項27或28之微影單元,其中該微影設備包含: 一照明光學系統,其經配置以照明一圖案; 一投影光學系統,其經配置以將該圖案之一影像投影至一基板上。 對特定實施例之前述描述將因此充分地揭露本發明之一般性質:在不脫離本發明之一般概念的情況下,其他人可藉由應用此項技術之技能範圍內之知識,針對各種應用而容易修改及/或調適此等特定實施例,而無需進行不當實驗。因此,基於本文中所呈現之教示及導引,此等調適及修改意欲在所揭示實施例之等效者的涵義及範圍內。應理解,本文中之措辭或術語係出於(例如)描述而非限制之目的,以使得本說明書之術語或措辭待由熟習此項技術者按照該等教示及該導引進行解譯。 本發明之廣度及範疇不應由上述例示性實施例中之任一者限制,而應僅根據以下申請專利範圍及其等效者進行界定。The method of any one of clauses 13 to 20, wherein the method comprises performing an initial one of the targets during the formation of the target and before performing an etching step on the uppermost layer in which the target is formed Measuring; determining an offset between the initial measurement of the target and the post-etch measurement of the target; and using the offset to correct an etch performed on the uppermost layer in which the target is formed Subsequent measurements of these targets performed prior to the step. 22. The method of any one of clauses 13 to 21, wherein the post-etching measurement is used to form the appropriate one or more previous layers of the (identical) layer formed in the target corresponding to the subsequent substrate. Control the lithography program. 23. The method of any of clauses 13 to 22, comprising performing the post-etching measurement during pre-processing of one or more subsequent substrates. The method of any one of clauses 13 to 23, comprising performing, at least in part, on the current layer in parallel with the post-etch measurements of the target formed in the one or more previous layers Measuring one of the unprocessed targets; and using the measurements of the unprocessed target to control the lithography process when the current layer is formed on a subsequent substrate. 25. A computer program comprising processor readable instructions which, when run on a device controlled by a suitable processor, cause the device controlled by the processor to perform the method of any of the preceding clauses. 26. A computer program carrier comprising a computer program as set forth in clause 25. 27. A lithography unit comprising a lithography apparatus and a metrology apparatus, the lithography unit operable to perform the method of any one of clauses 1 to 24. 28. The lithography unit of clause 27, wherein the metrology apparatus comprises: an illumination system configured to illuminate to illuminate a combined target on the substrate using the lithography program; A system configured to detect scattered radiation resulting from illumination of the combined target. 29. The lithography unit of clause 27 or 28, wherein the lithography apparatus comprises: an illumination optical system configured to illuminate a pattern; a projection optical system configured to project an image of the pattern to On a substrate. The foregoing description of the specific embodiments will thus fully disclose the general nature of the invention, and the invention can be applied to various applications by applying the knowledge of the skill of the art without departing from the general inventive concept. It is easy to modify and/or adapt these particular embodiments without undue experimentation. Therefore, the adaptations and modifications are intended to be within the meaning and scope of the equivalents of the disclosed embodiments. It is understood that the phraseology or terminology herein is used for the purposes of the description The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but only by the scope of the following claims and their equivalents.

0‧‧‧繞射射線/零階射線0‧‧‧Diffractive ray/zero-order ray

+1‧‧‧繞射射線/一階射線+1‧‧‧Diffraction ray/first order ray

-1‧‧‧繞射射線/一階射線-1‧‧‧Diffraction rays/first-order rays

+1(N)‧‧‧+1繞射射線+1 (N) ‧ ‧ +1 diffracted ray

-1(S)‧‧‧-1繞射射線-1(S)‧‧‧-1 diffracted ray

11‧‧‧源11‧‧‧ source

12‧‧‧透鏡12‧‧‧ lens

13‧‧‧孔徑板13‧‧‧Aperture plate

13N‧‧‧孔徑板13N‧‧‧ aperture plate

13S‧‧‧孔徑板13S‧‧‧Aperture plate

14‧‧‧透鏡14‧‧‧ lens

15‧‧‧光束分光器15‧‧‧beam splitter

16‧‧‧物鏡/透鏡16‧‧‧ Objective lens/lens

17‧‧‧第二光束分光器17‧‧‧Second beam splitter

18‧‧‧光學系統18‧‧‧Optical system

19‧‧‧第一感測器19‧‧‧First sensor

20‧‧‧光學系統20‧‧‧Optical system

21‧‧‧孔徑光闌/場光闌21‧‧‧Aperture stop/field stop

22‧‧‧光學系統22‧‧‧Optical system

23‧‧‧感測器23‧‧‧ Sensors

200‧‧‧微影工具/設備200‧‧‧ lithography tools/equipment

202‧‧‧量測站202‧‧‧Measurement station

204‧‧‧曝光站204‧‧‧Exposure station

206‧‧‧控制單元206‧‧‧Control unit

207‧‧‧整合式度量衡設備207‧‧‧Integrated weighing and measuring equipment

208‧‧‧塗佈設備208‧‧‧ Coating equipment

210‧‧‧烘烤設備210‧‧‧ baking equipment

212‧‧‧顯影設備212‧‧‧Developing equipment

220‧‧‧經圖案化基板/基板220‧‧‧ patterned substrate/substrate

222‧‧‧設備/蝕刻站222‧‧‧ Equipment/etching station

224‧‧‧設備224‧‧‧ Equipment

226‧‧‧設備/步驟226‧‧‧ Equipment/Steps

230‧‧‧基板/引入基板230‧‧‧Substrate/introduction substrate

232‧‧‧經處理基板232‧‧‧Processed substrate

234‧‧‧經處理基板234‧‧‧Processed substrate

240‧‧‧單機度量衡設備240‧‧‧Single weight measurement equipment

242‧‧‧度量衡結果242‧‧‧Measurement results

CDDn‧‧‧顯影後臨界尺寸(CD)量測CD D n‧‧‧ Critical dimension (CD) measurement after development

CDEn‧‧‧蝕刻後臨界尺寸(CD)量測CD E n‧‧‧ Critical dimension (CD) measurement after etching

CDn‧‧‧臨界尺寸(CD)目標CD n ‧‧‧critical size (CD) target

DOVEn,n-1‧‧‧蝕刻後產品解析度疊對量測DOV E n,n-1‧‧‧After etching, product resolution overlay measurement

DOVn‧‧‧產品解析度疊對光柵DOV n ‧‧‧ product resolution overlay grating

DOVn - 1‧‧‧產品解析度疊對光柵DOV n - 1 ‧‧‧ product resolution overlay grating

Ein‧‧‧蝕刻步驟Ei n ‧‧‧ etching step

FBDn‧‧‧控制迴路FB D n‧‧‧Control loop

FBDn+1‧‧‧控制迴路FB D n+1‧‧‧ control loop

FBEn‧‧‧控制迴路FB E n‧‧‧Control loop

I‧‧‧量測輻射射線/入射射線I‧‧‧Measured radiation/incident radiation

Ln - 1‧‧‧層L n - 1 ‧ ‧ layer

Ln‧‧‧層L n ‧‧ layer

Ln + 1‧‧‧層L n + 1 ‧‧‧

Lin‧‧‧第一微影步驟Li n ‧‧‧First lithography step

Lin + 1‧‧‧第二微影步驟Li n + 1 ‧‧‧second lithography step

MA‧‧‧圖案化裝置/倍縮光罩MA‧‧‧patterning device / doubling mask

M1n‧‧‧光罩層M1 n ‧‧‧mask layer

M1n + 1‧‧‧光罩層M1 n + 1 ‧‧‧mask layer

M2n‧‧‧材料層M2 n ‧‧‧ material layer

M2n + 1‧‧‧材料層M2 n + 1 ‧‧‧ material layer

OVDn,n-1‧‧‧顯影後疊對量測OV D n,n-1‧‧‧Development measurement after development

OVDn+1,n‧‧‧顯影後疊對量測OV D n+1,n‧‧‧Development measurement

OVEn,n-1‧‧‧蝕刻後疊對量測OV E n,n-1‧‧‧After etching

OVn - 1‧‧‧疊對光柵OV n - 1 ‧ ‧ stack pair grating

OVn , 1‧‧‧第一疊對光柵OV n , 1 ‧ ‧ first stack of gratings

OVn , 2‧‧‧第二疊對光柵OV n , 2 ‧‧‧Second stack of gratings

OVn + 1 , 1‧‧‧第一疊對光柵OV n + 1 , 1 ‧‧‧ first stack of gratings

OVn + 1 , 2‧‧‧第二疊對光柵OV n + 1 , 2 ‧‧‧second stack of gratings

O‧‧‧光軸O‧‧‧ optical axis

PU‧‧‧處理器/單元PU‧‧‧ processor/unit

R‧‧‧配方資訊R‧‧‧Formulation Information

SCS‧‧‧監督控制系統SCS‧‧‧Supervisory Control System

T‧‧‧度量衡目標T‧‧‧Metrics target

W‧‧‧基板W‧‧‧Substrate

現將僅藉助於實例參看隨附圖式來描述本發明之實施例,在該等圖式中: 圖1描繪微影設備連同形成用於半導體裝置之生產設施的其他設備; 圖2包含(a)供用於使用第一對照明孔徑量測目標之暗場散射計之示意圖、(b)給定照明方向之目標光柵之繞射光譜的細節; 圖3示意性地描繪根據本發明之一實施例的微影程序之三個步驟。Embodiments of the present invention will now be described, by way of example only, with reference to the drawings in which: FIG. 1 depicts a lithographic apparatus along with other apparatus forming a production facility for a semiconductor device; FIG. 2 includes (a a schematic diagram of a dark field scatterometer for use with a first pair of illumination aperture measurement targets, (b) a detail of a diffraction spectrum of a target grating for a given illumination direction; FIG. 3 schematically depicts an embodiment in accordance with the present invention The three steps of the lithography program.

Claims (15)

一種量測一目標之方法,其包含:在藉由一微影程序曝光一基板上之一當前層之後量測該目標,其中該基板更進一步包含一或多個先前層(preceding layers),其各自已經歷一蝕刻步驟,且其中該目標僅包含在該一或多個先前層中,藉此獲得對該目標之一蝕刻後量測。 A method of measuring a target, comprising: measuring a target after exposing a current layer on a substrate by a lithography process, wherein the substrate further comprises one or more preceding layers, Each has undergone an etch step, and wherein the target is only included in the one or more previous layers, thereby obtaining an etched measurement of one of the targets. 如請求項1之方法,其中在該當前層之一顯影步驟之後執行該量測步驟。 The method of claim 1, wherein the measuring step is performed after a developing step of the current layer. 如請求項1之方法,其中在蝕刻該當前層之前,貫穿該當前層將經蝕刻至之該層及/或一光罩層執行該量測步驟。 The method of claim 1, wherein the measuring step is performed through the current layer to be etched to the layer and/or a mask layer prior to etching the current layer. 如請求項1之方法,其中該目標包含用於量測該等先前層中之一第一層與該等先前層中之一第二層之間的疊對之一疊對目標,該疊對目標包含該第一層中之一第一結構及該第二層中之上覆於該第一結構之一第二結構。 The method of claim 1, wherein the target comprises a stack of pairs of targets between the first layer of the previous layers and the second layer of the previous layers, the overlay The target includes a first structure in the first layer and a second structure overlying the first structure in the second layer. 如請求項4之方法,其中該第一結構及該第二結構各自具有小於100奈米之一解析度,且其中該方法包含另外量測包含具有大於100奈米之一解析度之結構以及亦包含在該第一層及該第二層內之一疊對目標;判定對包含具有小於100奈米之一解析度之結構的該疊對目標之該量 測與對包含具有大於100奈米之一解析度之結構的該疊對目標之該量測之間的一偏移;及使用該偏移以校正對包含具有大於100奈米之一解析度之結構的疊對目標之後續蝕刻後量測。 The method of claim 4, wherein the first structure and the second structure each have a resolution of less than 100 nm, and wherein the method includes additionally measuring a structure having a resolution greater than 100 nm and a stack of targets included in the first layer and the second layer; determining the amount of the pair of targets comprising a structure having a resolution of less than 100 nm Measuring an offset between the measurement of the overlay target comprising a structure having a resolution greater than 100 nanometers; and using the offset to correct a resolution having a resolution greater than 100 nanometers The stack of structures is measured after subsequent etching of the target. 如請求項1之方法,其中該目標包含該等先前層之一者中之一臨界尺寸目標以用於量測該層中之臨界尺寸。 The method of claim 1, wherein the target comprises one of the previous levels of the critical dimension target for measuring a critical dimension in the layer. 如請求項1之方法,其中該方法包含在對其中形成有該目標之最上部層執行一蝕刻步驟之前執行對該目標之一初始量測;判定對該目標之該初始量測與對該目標之該蝕刻後量測之間的一偏移;及使用該偏移以校正在對其中形成有目標之該最上部層執行一蝕刻步驟之前所執行之對該等目標之後續量測。 The method of claim 1, wherein the method comprises performing an initial measurement of the target before performing an etching step on the uppermost layer in which the target is formed; determining the initial measurement of the target and the target An offset between the post-etch measurements; and the offset is used to correct subsequent measurements of the targets performed prior to performing an etch step on the uppermost layer in which the target is formed. 如請求項1之方法,其包含使用該蝕刻後量測以控制形成對應於後續基板上之該目標形成於其中之該(等)層的該適當一或多個先前層之一微影程序。 A method of claim 1, comprising using the post-etch measurement to control forming a lithography program of the appropriate one or more previous layers corresponding to the (or) layer of the target formed on the subsequent substrate. 如請求項1之方法,其包含在一或多個後續基板之預處理期間執行該蝕刻後量測。 The method of claim 1, comprising performing the post-etching measurement during pre-processing of one or more subsequent substrates. 如請求項1之方法,其包含: 在具有該蝕刻後量測之一單一量測循環中量測至少部分地形成於該當前層中之一未經處理目標,以獲得對該未經處理目標之一量測;及使用對該未經處理目標之該量測以控制在後續基板上形成該當前層之一微影程序。 The method of claim 1, comprising: Measuring an unprocessed target formed at least partially in the current layer in a single measurement cycle having the post-etch measurement to obtain one of the unprocessed targets; and using the This measurement of the processed target controls the formation of one of the current layers of the lithography process on the subsequent substrate. 如請求項1之方法,其中該目標包含該等先前層之一者中之一焦點目標以用於量測該層中之焦點。 The method of claim 1, wherein the target includes one of the focus layers of one of the previous layers for measuring a focus in the layer. 一種執行一微影程序之方法,其包含:執行微影步驟以在一基板上之一或多個先前層中形成結構,該等先前層中之至少一者包含一目標;對該一或多個先前層執行一蝕刻步驟;曝光該一或多個先前層上方之一當前層;及在此等步驟之後:量測該目標以獲得對該目標之一蝕刻後量測。 A method of performing a lithography process, comprising: performing a lithography step to form a structure in one or more previous layers on a substrate, at least one of the prior layers comprising a target; The previous layer performs an etching step; exposing one of the current layers above the one or more previous layers; and after such steps: measuring the target to obtain an etched measurement of one of the targets. 如請求項12之方法,其包含與對形成在一或多個先前層中之該目標之該等蝕刻後量測並行地進行對至少部分地形成在該當前層中之一未經處理目標之量測;及使用對該未經處理目標之該等量測以在於後續基板上形成該當前層時控制該微影程序。 The method of claim 12, comprising performing, in parallel with the post-etching measurements on the target forming the one or more previous layers, at least partially forming an unprocessed target in the current layer Measuring; and using the measurements of the unprocessed target to control the lithography process when the current layer is formed on a subsequent substrate. 一種包含處理器可讀指令之電腦程式,該等處理器可讀指令在合適處理器控制之設備上運行時致使該處理器控制之設備執行如請求項1之方 法。 A computer program comprising processor readable instructions that, when run on a device controlled by a suitable processor, cause the device controlled by the processor to perform the method of claim 1 law. 一種包含一微影設備及一度量衡設備之微影單元,該微影單元可經操作以執行如請求項1之方法。 A lithography unit comprising a lithography device and a metrology device, the lithography unit being operative to perform the method of claim 1.
TW106106889A 2016-03-03 2017-03-02 Metrology method and lithographic method, lithographic cell and computer program TWI626514B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
??16158550.0 2016-03-03
EP16158550 2016-03-03

Publications (2)

Publication Number Publication Date
TW201741780A TW201741780A (en) 2017-12-01
TWI626514B true TWI626514B (en) 2018-06-11

Family

ID=55456663

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106106889A TWI626514B (en) 2016-03-03 2017-03-02 Metrology method and lithographic method, lithographic cell and computer program

Country Status (5)

Country Link
US (1) US10831111B2 (en)
KR (1) KR102173439B1 (en)
CN (2) CN108700833A (en)
TW (1) TWI626514B (en)
WO (1) WO2017148738A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11556062B2 (en) * 2021-03-18 2023-01-17 Kla Corporation Sub-resolution imaging target

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090186286A1 (en) * 2008-01-21 2009-07-23 International Business Machines Corporation Method To Control Semiconductor Device Overlay Using Post Etch Image Metrology
US20110027704A1 (en) * 2009-07-31 2011-02-03 Asml Netherlands B.V. Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7022436B2 (en) * 2003-01-14 2006-04-04 Asml Netherlands B.V. Embedded etch stop for phase shift masks and planar phase shift masks to reduce topography induced and wave guide effects
NL1036245A1 (en) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method or diffraction based overlay metrology.
NL1036597A1 (en) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
JP5873212B2 (en) * 2012-04-12 2016-03-01 エーエスエムエル ネザーランズ ビー.ブイ. POSITION MEASURING METHOD, POSITION MEASURING DEVICE, LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD, AND OPTICAL ELEMENT
US9093458B2 (en) 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090186286A1 (en) * 2008-01-21 2009-07-23 International Business Machines Corporation Method To Control Semiconductor Device Overlay Using Post Etch Image Metrology
US20110027704A1 (en) * 2009-07-31 2011-02-03 Asml Netherlands B.V. Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells

Also Published As

Publication number Publication date
KR20180116401A (en) 2018-10-24
KR102173439B1 (en) 2020-11-04
TW201741780A (en) 2017-12-01
CN108700833A (en) 2018-10-23
US20190041760A1 (en) 2019-02-07
WO2017148738A1 (en) 2017-09-08
US10831111B2 (en) 2020-11-10
CN117970750A (en) 2024-05-03

Similar Documents

Publication Publication Date Title
KR102399698B1 (en) Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
TWI591448B (en) Method of measuring asymmetry, inspection apparatus, lithographic system and device manufacturing method
TWI616716B (en) Method for adapting a design for a patterning device
CN108700826B (en) Method of controlling a patterning process, lithographic apparatus, metrology apparatus lithographic cell and associated computer program
CN110249268B (en) Metrology method and apparatus and associated computer product
TWI653514B (en) Method for measuring substrate property, detecting device, lithography system and component manufacturing method
TWI569108B (en) Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
TWI666713B (en) Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
TW202024805A (en) Metrology method, patterning device, apparatus and computer program
TW201921148A (en) Method for estimating overlay
CN113168119B (en) Target for measuring parameters of a lithographic process
TWI646405B (en) Method and apparatus for determining fingerprint of performance parameters
TW202032283A (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
TWI664504B (en) Metrology method and apparatus, substrate, lithographic method and associated computer product
TW202004360A (en) Metrology method, apparatus and computer program
TWI646404B (en) Method for adjusting actuation of a lithographic apparatus
TWI691802B (en) Method of measuring a target, metrology apparatus, lithographic cell, and target
TWI626514B (en) Metrology method and lithographic method, lithographic cell and computer program
TWI636339B (en) Method for characterizing distortions in a lithographic process, lithographic apparatus, lithographic cell and computer program
TW201910923A (en) Method of measuring a parameter of interest, device manufacturing method, metrology apparatus, and lithographic system