TWI620330B - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
TWI620330B
TWI620330B TW106112883A TW106112883A TWI620330B TW I620330 B TWI620330 B TW I620330B TW 106112883 A TW106112883 A TW 106112883A TW 106112883 A TW106112883 A TW 106112883A TW I620330 B TWI620330 B TW I620330B
Authority
TW
Taiwan
Prior art keywords
region
substrate
conductivity type
semiconductor device
gate
Prior art date
Application number
TW106112883A
Other languages
Chinese (zh)
Other versions
TW201839997A (en
Inventor
邱建維
劉興潮
劉俊甫
周英凱
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW106112883A priority Critical patent/TWI620330B/en
Application granted granted Critical
Publication of TWI620330B publication Critical patent/TWI620330B/en
Publication of TW201839997A publication Critical patent/TW201839997A/en

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置,包括一基板、一第一摻雜區、一第二摻雜區、一閘極以及一閘極介電層。基板具有一第一導電型。第一摻雜區形成於基板之中,並具有一第二導電型。第二摻雜區形成於基板之中,並具有第二導電型。閘極形成於基板之上,並位於第一及第二摻雜區之間。閘極介電層形成於基板之上,並位於閘極與基板之間。閘極介電層具有一第一區域以及一第二區域。第一區域的厚度不同於第二區域的厚度。 A semiconductor device includes a substrate, a first doped region, a second doped region, a gate, and a gate dielectric layer. The substrate has a first conductivity type. The first doped region is formed in the substrate and has a second conductivity type. The second doped region is formed in the substrate and has a second conductivity type. A gate is formed over the substrate and between the first and second doped regions. A gate dielectric layer is formed over the substrate and between the gate and the substrate. The gate dielectric layer has a first region and a second region. The thickness of the first region is different from the thickness of the second region.

Description

半導體裝置及其製造方法 Semiconductor device and method of manufacturing same

本發明係有關於一種半導體裝置,特別是有關於一種具有不同厚度的閘極介電層的半導體裝置。 This invention relates to a semiconductor device, and more particularly to a semiconductor device having a gate dielectric layer of a different thickness.

半導體積體電路(integrated circuit,IC)工業在過去數十年間經歷了快速的成長,在半導體裝置的尺寸依循摩爾定律(Moore’s Law)持續縮小的演進下,裝置的運算速度與製程技術也不斷地在提升。為了在縮小裝置尺寸的同時節省製程成本以及提供最佳的元件效能,半導體積體電路工業在材料與製程設計方面皆不斷地在進步。 The semiconductor integrated circuit (IC) industry has experienced rapid growth in the past few decades. As the size of semiconductor devices continues to shrink in accordance with Moore's Law, the computing speed and process technology of the device are constantly changing. In promotion. In order to reduce the size of the device while saving process costs and providing optimum component performance, the semiconductor integrated circuit industry is constantly evolving in materials and process design.

雖然目前的半導體裝置及其製造方法已足夠應付它們原先預定的用途,但它們仍未在各個方面皆徹底的符合要求,因此半導體積體電路的製程技術目前仍有需努力的方向。 Although the current semiconductor devices and their manufacturing methods are sufficient for their intended use, they have not been fully met in all respects, and thus the process technology of semiconductor integrated circuits still needs to be worked.

本發明提供一種半導體裝置,包括一基板、一第一摻雜區、一第二摻雜區、一閘極以及一閘極介電層。基板具有一第一導電型。第一摻雜區形成於基板之中,並具有一第二導電型。第二摻雜區形成於基板之中,並具有第二導電型。閘極形成於基板之上,並位於第一及第二摻雜區之間。閘極介電層形成於基板之上,並位於閘極與基板之間。閘極介電層具有 一第一區域以及一第二區域。第一區域的厚度不同於第二區域的厚度。 The invention provides a semiconductor device comprising a substrate, a first doped region, a second doped region, a gate and a gate dielectric layer. The substrate has a first conductivity type. The first doped region is formed in the substrate and has a second conductivity type. The second doped region is formed in the substrate and has a second conductivity type. A gate is formed over the substrate and between the first and second doped regions. A gate dielectric layer is formed over the substrate and between the gate and the substrate. Gate dielectric layer a first area and a second area. The thickness of the first region is different from the thickness of the second region.

本發明另提供一種半導體裝置之製造方法,包括提供一基板,其具有一第一導電型;形成一第一摻雜區於該基板之中,其中該第一摻雜區具有一第二導電型;形成一第二摻雜區於該基板之中,其中該第二摻雜區具有該第二導電型;形成一閘極於該基板之上,其中該閘極位於該第一及第二摻雜區之間;以及形成一閘極介電層於該基板之上,其中該閘極介電層位於該閘極與該基板之間,並具有一第一區域以及一第二區域,該第一區域的厚度不同於該第二區域的厚度。 The present invention further provides a method of fabricating a semiconductor device, comprising: providing a substrate having a first conductivity type; forming a first doped region in the substrate, wherein the first doped region has a second conductivity type Forming a second doped region in the substrate, wherein the second doped region has the second conductivity type; forming a gate on the substrate, wherein the gate is located in the first and second doping And forming a gate dielectric layer on the substrate, wherein the gate dielectric layer is between the gate and the substrate, and has a first region and a second region, the first The thickness of a region is different from the thickness of the second region.

100、200‧‧‧半導體裝置 100, 200‧‧‧ semiconductor devices

110、210‧‧‧基板 110, 210‧‧‧ substrate

121、122、240‧‧‧井區 121, 122, 240‧‧ ‧ well area

131、132、221、222‧‧‧摻雜區 131, 132, 221, 222‧‧‧ doped areas

141、231‧‧‧閘極 141, 231‧‧ ‧ gate

142、232‧‧‧閘極介電層 142, 232‧‧ ‧ gate dielectric layer

R1~R5‧‧‧區域 R 1 ~R 5 ‧‧‧Area

143、233‧‧‧絕緣側壁層 143, 233‧‧‧Insulated sidewall layer

CH‧‧‧通道 CH‧‧‧ channel

241、242‧‧‧輕摻雜汲極 241, 242‧‧‧Lightly doped bungee

第1圖為本發明之半導體裝置的一可能示意圖。 Figure 1 is a schematic diagram of a possible semiconductor device of the present invention.

第2圖為本發明之半導體裝置的另一可能示意圖。 Figure 2 is another possible schematic diagram of the semiconductor device of the present invention.

第3A~3C圖係本發明實施例之半導體裝置100在其製造方法中各階段的剖面圖。 3A to 3C are cross-sectional views showing respective stages of the semiconductor device 100 of the embodiment of the present invention in the method of manufacturing the same.

為讓本發明之目的、特徵和優點能更明顯易懂,下文特舉出實施例,並配合所附圖式,做詳細之說明。本發明說明書提供不同的實施例來說明本發明不同實施方式的技術特徵。其中,實施例中的各元件之配置係為說明之用,並非用以限制本發明。另外,實施例中圖式標號之部分重覆,係為了簡化說明,並非意指不同實施例之間的關聯性。 In order to make the objects, features and advantages of the present invention more comprehensible, the embodiments of the invention are described in detail below. The present specification provides various embodiments to illustrate the technical features of various embodiments of the present invention. The arrangement of the various elements in the embodiments is for illustrative purposes and is not intended to limit the invention. In addition, the overlapping portions of the drawings in the embodiments are for the purpose of simplifying the description, and do not mean the relationship between the different embodiments.

必需了解的是,為特別描述或圖示之元件可以此 技術人士所熟知之各種形式存在。此外,當某層在其它層或基板「上」時,有可能是指「直接」在其它層或基板上,或指某層在其它層或基板上,或指其它層或基板之間夾設其它層。 It must be understood that components that are specifically described or illustrated may be Various forms are known to the skilled person. In addition, when a layer is "on" another layer or substrate, it may mean "directly" on another layer or substrate, or a layer on another layer or substrate, or between other layers or substrates. Other layers.

第1圖為本發明之半導體裝置的示意圖。如圖所示,半導體裝置100包括一基板110、摻雜區131、132、一閘極141以及一閘極介電層142。基板110可為一半導體基板,例如矽基板。此外,上述半導體基板亦可為元素半導體,包括鍺(germanium);化合物半導體,包括碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide);合金半導體,包括矽鍺合金(SiGe)、磷砷鎵合金(GaAsP)、砷鋁銦合金(AlInAs)、砷鋁鎵合金(AlGaAs)、砷銦鎵合金(GaInAs)、磷銦鎵合金(GaInP)及/或磷砷銦鎵合金(GaInAsP)或上述材料之組合。此外,基板110也可以是絕緣層上覆半導體(semiconductor on insulator)。在一實施例中,此基板110可為未摻雜之基板。然而,在其它實施例中,基板110亦可為輕摻雜之基板,例如輕摻雜之P型或N型基板。在本實施例中,基板110具一第一導電型。 Figure 1 is a schematic view of a semiconductor device of the present invention. As shown, the semiconductor device 100 includes a substrate 110, doped regions 131, 132, a gate 141, and a gate dielectric layer 142. The substrate 110 can be a semiconductor substrate such as a germanium substrate. In addition, the semiconductor substrate may also be an elemental semiconductor, including germanium; a compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide ), indium arsenide and/or indium antimonide; alloy semiconductors including bismuth alloy (SiGe), phosphorus arsenide alloy (GaAsP), arsenic aluminum indium alloy (AlInAs), arsenic aluminum gallium Alloy (AlGaAs), arsenic gallium alloy (GaInAs), indium gallium alloy (GaInP) and/or phosphorus indium gallium alloy (GaInAsP) or a combination of the above. Further, the substrate 110 may be a semiconductor on insulator. In an embodiment, the substrate 110 can be an undoped substrate. However, in other embodiments, the substrate 110 can also be a lightly doped substrate, such as a lightly doped P-type or N-type substrate. In this embodiment, the substrate 110 has a first conductivity type.

摻雜區131與132形成於基板110之中,並具有一第二導電型。在一可能實施例中,藉由植入N型雜質以形成N+型摻雜區131與132。N型雜質包括例如磷、砷、氮、銻、或其結合的雜質。在另一可能實施例中,藉由植入P型雜質以形成P+型摻雜區131與132。P型雜質包括例如硼、鎵、鋁、銦、或其結合的雜質。在本實施例中,第二導電型不同於第一導電型。 在一可能實施例中,第一導電型為P型,第二導電型為N型。在另一可能實施例中,第一導電型為N型,第二導電型為P型。 Doped regions 131 and 132 are formed in the substrate 110 and have a second conductivity type. In a possible embodiment, N-type doped regions 131 and 132 are formed by implanting N-type impurities. The N-type impurities include impurities such as phosphorus, arsenic, nitrogen, antimony, or a combination thereof. In another possible embodiment, P+ type doped regions 131 and 132 are formed by implanting P-type impurities. P-type impurities include impurities such as boron, gallium, aluminum, indium, or a combination thereof. In this embodiment, the second conductivity type is different from the first conductivity type. In a possible embodiment, the first conductivity type is a P type and the second conductivity type is an N type. In another possible embodiment, the first conductivity type is N-type and the second conductivity type is P-type.

閘極141形成於基板110之上,並位於摻雜區131與132之間。在一可能實施例中,閘極141、摻雜區131與132構成一電晶體。在此例中,閘極141電性連接至一閘極電極;摻雜區131電性連接至一汲極電極;摻雜區132電性連接至一源極電極。藉由控制閘極電極、汲極電極與源極電極的電壓位準,便可導通或不導通電晶體。在本實施例中,摻雜區131與閘極141之間的距離不同於摻雜區132與閘極141之間的距離,故半導體裝置100係為一非對稱結構,如一橫向擴散金屬氧化物半導體(Laterally Diffused Metal Oxide Semiconductor;LDMOS)。 The gate 141 is formed over the substrate 110 and between the doping regions 131 and 132. In a possible embodiment, the gate 141, the doped regions 131 and 132 constitute a transistor. In this example, the gate 141 is electrically connected to a gate electrode; the doped region 131 is electrically connected to a drain electrode; and the doped region 132 is electrically connected to a source electrode. By controlling the voltage level of the gate electrode, the drain electrode and the source electrode, the crystal can be turned on or off. In this embodiment, the distance between the doping region 131 and the gate 141 is different from the distance between the doping region 132 and the gate 141, so the semiconductor device 100 is an asymmetric structure, such as a laterally diffused metal oxide. Semiconductor (Diterally Diffused Metal Oxide Semiconductor; LDMOS).

閘極介電層142形成於基板110之上,並位於閘極141與基板110之間。在一可能實施例中,可先依序毯覆性沈積一介電材料層(用以形成閘極介電層142)及位於其上之導電材料層(用以形成閘極141)於基板110上。之後,再藉由一微影與蝕刻製程將介電材料層及導電材料層分別圖案化以形成閘極介電層142及閘極141。 The gate dielectric layer 142 is formed over the substrate 110 and between the gate 141 and the substrate 110. In a possible embodiment, a dielectric material layer (to form a gate dielectric layer 142) and a conductive material layer (to form a gate 141) thereon are sequentially deposited on the substrate 110. on. Thereafter, the dielectric material layer and the conductive material layer are respectively patterned by a lithography and etching process to form the gate dielectric layer 142 and the gate 141.

上述介電材料層之材料(亦即閘極介電層142之材料)可為氧化矽、氮化矽、氮氧化矽、高介電常數(high-k)介電材料、或其它任何適合之介電材料、或上述之組合。此高介電常數(high-k)介電材料之材料可為金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物、金屬的氮氧化物、金屬鋁酸鹽、鋯矽酸鹽、鋯鋁酸鹽。例如,此高介電常數(high-k)介電材料可為LaO、AlO、ZrO、 TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfO2、HfO3、HfZrO、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、HfTaO、HfTiO、HfTaTiO、HfAlON、(Ba,Sr)TiO3(BST)、Al2O3、SiO2、其它適當材料之其它高介電常數介電材料、或上述組合。此介電材料層可藉由前述化學氣相沉積法(CVD)或旋轉塗佈法形成。 The material of the dielectric material layer (ie, the material of the gate dielectric layer 142) may be tantalum oxide, tantalum nitride, hafnium oxynitride, high-k dielectric material, or any other suitable material. Dielectric material, or a combination of the above. The material of the high-k dielectric material may be a metal oxide, a metal nitride, a metal halide, a transition metal oxide, a transition metal nitride, a transition metal telluride, a metal oxynitride, Metal aluminate, zirconium silicate, zirconium aluminate. For example, the high-k dielectric material can be LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfO2, HfO3, HfZrO, HfLaO, HfSiO, HfSiON, LaSiO, AlSiO, HfTaO, HfTiO, HfTaTiO, HfAlON, (Ba, Sr)TiO3 (BST ), Al 2 O 3 , SiO 2 , other high dielectric constant dielectric materials of other suitable materials, or combinations thereof. This dielectric material layer can be formed by the aforementioned chemical vapor deposition (CVD) or spin coating method.

前述導電材料層之材料(亦即閘極141之材料)可為非晶矽、複晶矽、一或多種金屬、金屬氮化物、導電金屬氧化物、或上述之組合。上述金屬可包括但不限於鉬(molybdenum)、鎢(tungsten)、鈦(titanium)、鉭(tantalum)、鉑(platinum)或鉿(hafnium)。上述金屬氮化物可包括但不限於氮化鉬(molybdenum nitride)、氮化鎢(tungsten nitride)、氮化鈦(titanium nitride)以及氮化鉭(tantalum nitride)。上述導電金屬氧化物可包括但不限於釕金屬氧化物(ruthenium oxide)以及銦錫金屬氧化物(indium tin oxide)。此導電材料層之材料可藉由前述之化學氣相沉積法(CVD)、濺鍍法、電阻加熱蒸鍍法、電子束蒸鍍法、或其它任何適合的沈積方式形成,例如,在一實施例中,可用低壓化學氣相沈積法(LPCVD)在525~650℃之間沈積而製得非晶矽導電材料層或複晶矽導電材料層,其厚度範圍可為約1000Å至約10000Å。 The material of the conductive material layer (that is, the material of the gate electrode 141) may be amorphous germanium, a germanium germanium, one or more metals, a metal nitride, a conductive metal oxide, or a combination thereof. The above metals may include, but are not limited to, molybdenum, tungsten, titanium, tantalum, platinum or hafnium. The above metal nitrides may include, but are not limited to, molybdenum nitride, tungsten nitride, titanium nitride, and tantalum nitride. The above conductive metal oxide may include, but is not limited to, ruthenium oxide and indium tin oxide. The material of the conductive material layer can be formed by the aforementioned chemical vapor deposition (CVD), sputtering, resistance heating evaporation, electron beam evaporation, or any other suitable deposition method, for example, in an implementation. For example, an amorphous germanium conductive material layer or a polycrystalline germanium conductive material layer may be deposited by low pressure chemical vapor deposition (LPCVD) at a temperature between 525 and 650 ° C, and may have a thickness ranging from about 1000 Å to about 10000 Å.

如圖所示,閘極介電層142具有區域R1與R2。區域R1的厚度不同於區域R2的厚度。在本實施例中,區域R1的厚度小於區域R2的厚度。當閘極141與摻雜區132之間的跨壓達一臨界值時,一通道CH形成在區域R1的下方,因而導通閘極141、 摻雜區131與132所構成的電晶體。由於區域R1的厚度較薄,故電晶體從一導通狀態切換至一不導通狀態或是從一不導通狀態切換至一導通狀態的切換速度較快。另外,由於區域R2的厚度較厚,故可避免電晶體在不導通狀態時發生漏電。 As shown, the gate dielectric layer 142 has regions R1 and R2. The thickness of the region R1 is different from the thickness of the region R2. In the present embodiment, the thickness of the region R1 is smaller than the thickness of the region R2. When the voltage across the gate 141 and the doping region 132 reaches a critical value, a channel CH is formed under the region R1, thereby turning on the gate 141, A transistor formed by doping regions 131 and 132. Since the thickness of the region R1 is thin, the switching speed of the transistor from a conduction state to a non-conduction state or from a non-conduction state to a conduction state is faster. In addition, since the thickness of the region R2 is thick, it is possible to prevent leakage of the transistor when it is not in a conducting state.

在本實施例中,半導體裝置100更包括絕緣側壁層143。絕緣側壁層143形成在閘極141與閘極介電層142的側壁。在一些實施例中,可以LPCVD或PECVD在350~850℃下沈積一層厚度約200~2000Å的絕緣層,例如氧化矽或氮化矽;又,若是製作複合式(composite)側壁層,則可沈積一層以上的絕緣層。沈積完畢後,使用SF6、CF4、CHF3、或C2F6當作蝕刻源,以反應性離子蝕刻程序進行非等向性的蝕刻,便可在閘極141與閘極介電層142的側壁形成絕緣側壁層143。 In the embodiment, the semiconductor device 100 further includes an insulating sidewall layer 143. An insulating sidewall layer 143 is formed on sidewalls of the gate 141 and the gate dielectric layer 142. In some embodiments, an insulating layer having a thickness of about 200 to 2000 Å, such as yttrium oxide or tantalum nitride, may be deposited by LPCVD or PECVD at 350 to 850 ° C. Further, if a composite sidewall layer is formed, deposition may be performed. More than one layer of insulation. After deposition, SF6, CF4, CHF3, or C2F6 is used as an etching source, and anisotropic etching is performed by a reactive ion etching process to form insulating sidewalls on the sidewalls of the gate 141 and the gate dielectric layer 142. Layer 143.

在其它實施例中,半導體裝置100更包括一井區121。井區121形成於基板110之中,並具有第二導電型。在一可能實施例中,可藉由離子佈植步驟形成井區121。舉例而言,當此第二導電型為N型時,可於預定形成井區121之區域佈植磷離子或砷離子以形成井區121。然而,當此第二導電型為P型時,可於預定形成井區121之區域佈植硼離子或銦離子以形成井區121。在本實施例中,摻雜區131位於井區121之中。在一可能實施例中,摻雜區131的摻雜濃度高於井區121的摻雜濃度。另外,摻雜區131與閘極介電層142在空間上彼此分隔。 In other embodiments, the semiconductor device 100 further includes a well region 121. The well region 121 is formed in the substrate 110 and has a second conductivity type. In a possible embodiment, the well region 121 can be formed by an ion implantation step. For example, when the second conductivity type is N-type, phosphorus ions or arsenic ions may be implanted in a region where the well region 121 is to be formed to form the well region 121. However, when the second conductivity type is a P-type, boron ions or indium ions may be implanted in a region where the well region 121 is to be formed to form the well region 121. In the present embodiment, the doped region 131 is located in the well region 121. In a possible embodiment, the doping concentration of the doping region 131 is higher than the doping concentration of the well region 121. In addition, the doping region 131 and the gate dielectric layer 142 are spatially separated from each other.

在另一實施例中,半導體裝置100更包括一井區122。井區122形成於基板110之中,並具有第一導電型。在一可能實施例中,可藉由離子佈植步驟形成井區122。舉例而言, 當此第一導電型為N型時,可於預定形成井區122之區域佈植磷離子或砷離子以形成井區122。然而,當此第一導電型為P型時,可於預定形成井區122之區域佈植硼離子或銦離子以形成井區122。在一可能實施例中,井區122的摻雜濃度高於基板110的摻雜濃度。在本實施例中,摻雜區132位於井區122之中。如圖所示,閘極介電層142重疊部分井區122。 In another embodiment, the semiconductor device 100 further includes a well region 122. The well region 122 is formed in the substrate 110 and has a first conductivity type. In a possible embodiment, the well region 122 can be formed by an ion implantation step. For example, When the first conductivity type is N-type, phosphorus ions or arsenic ions may be implanted in the region where the well region 122 is to be formed to form the well region 122. However, when the first conductivity type is a P-type, boron ions or indium ions may be implanted in a region where the well region 122 is to be formed to form the well region 122. In a possible embodiment, the doping concentration of the well region 122 is higher than the doping concentration of the substrate 110. In the present embodiment, the doped region 132 is located in the well region 122. As shown, the gate dielectric layer 142 overlaps a portion of the well region 122.

第2圖為本發明之半導體裝置之另一示意圖。如圖所示,半導體裝置200包括一基板210、摻雜區221、222、一閘極231以及一閘極介電層232。基板210具有第一導電型。由於基板210的形成方式與第1圖的基板110的形成方式相似,故不再贅述。 Fig. 2 is another schematic view of the semiconductor device of the present invention. As shown, the semiconductor device 200 includes a substrate 210, doped regions 221, 222, a gate 231, and a gate dielectric layer 232. The substrate 210 has a first conductivity type. Since the manner in which the substrate 210 is formed is similar to the manner in which the substrate 110 in FIG. 1 is formed, it will not be described again.

摻雜區221與222具有第二導電型,並形成於基板210之中。由於摻雜區221與222的形成方式與第1圖的摻雜區131與132的形成方式相似,故不再贅述。閘極231設置於基板210之上,並位於摻雜區221與222之間。閘極介電層232設置於閘極231與基板210之間。由於閘極231與閘極介電層232的形成方式與第1圖的閘極141與閘極介電層142的形成方式相似,故不再贅述。 The doping regions 221 and 222 have a second conductivity type and are formed in the substrate 210. Since the formation manner of the doping regions 221 and 222 is similar to that of the doping regions 131 and 132 of FIG. 1, it will not be described again. The gate 231 is disposed above the substrate 210 and between the doping regions 221 and 222. The gate dielectric layer 232 is disposed between the gate 231 and the substrate 210. Since the formation manner of the gate electrode 231 and the gate dielectric layer 232 is similar to that of the gate electrode 141 and the gate dielectric layer 142 of FIG. 1, it will not be described again.

在本實施例中,閘極介電層232具有區域R3~R5。區域R4位於區域R3與區域R5之間。區域R3的厚度與區域R5的厚度相同,但不同於區域R4的厚度。如圖所示,區域R3的厚度大於區域R4的厚度。 In the present embodiment, the gate dielectric layer 232 has regions R3 R R5. The region R4 is located between the region R3 and the region R5. The thickness of the region R3 is the same as the thickness of the region R5, but is different from the thickness of the region R4. As shown, the thickness of the region R3 is greater than the thickness of the region R4.

在其它實施例中,半導體裝置200更包括一井區240。井區240形成於基板210之中,並具有第一導電型。在一 可能實施例中,井區240的摻雜濃度低於基板210的摻雜濃度。由於井區240的形成方式與第1圖的井區122相同,故不再贅述。在本實施例中,摻雜區221與222位於井區240之中。 In other embodiments, the semiconductor device 200 further includes a well region 240. The well region 240 is formed in the substrate 210 and has a first conductivity type. In a In a possible embodiment, the doping concentration of the well region 240 is lower than the doping concentration of the substrate 210. Since the formation of the well region 240 is the same as that of the well region 122 of FIG. 1, it will not be described again. In the present embodiment, doped regions 221 and 222 are located in well region 240.

在另一可能實施例中,半導體裝置200更包括輕摻雜汲極(Lightly Doped Drain;LDD)241與242。在本實施例中,輕摻雜汲極241與242具有第二導電型。如圖所示,輕摻雜汲極241直接接觸摻雜區221,輕摻雜汲極242直接接觸摻雜區222。輕摻雜汲極241與242用以避免熱載子效應(hot carrier effect)。 In another possible embodiment, the semiconductor device 200 further includes Lightly Doped Drains (LDDs) 241 and 242. In the present embodiment, the lightly doped drains 241 and 242 have a second conductivity type. As shown, the lightly doped drain 241 directly contacts the doped region 221, and the lightly doped drain 242 directly contacts the doped region 222. Lightly doped gates 241 and 242 are used to avoid hot carrier effects.

在其它實施例中,半導體裝置200更包括絕緣側壁層233。絕緣側壁層233形成在閘極231與閘極介電層232的側壁。由於絕緣側壁層233的形成方式與第1圖的絕緣側壁層143的形成方式相同,故不再贅述。在本實施例中,絕緣側壁層233重疊輕摻雜汲極241與242。 In other embodiments, the semiconductor device 200 further includes an insulating sidewall layer 233. An insulating sidewall layer 233 is formed on the sidewalls of the gate 231 and the gate dielectric layer 232. Since the manner of forming the insulating sidewall layer 233 is the same as that of the insulating sidewall layer 143 of FIG. 1, it will not be described again. In the present embodiment, the insulating sidewall layer 233 overlaps the lightly doped gates 241 and 242.

第3A~3C圖係本發明實施例之半導體裝置100在其製造方法中各階段的剖面圖。參見第3A圖,首先提供基板110。在一可能實施例中,基板110具有第一導電型。接著,形成井區121與122於基板100中。在一可能實施例中,井區121具有第二導電型,井區122具有第一導電型。第一導電型相異於第二導電型。在其它實施例中,井區122的摻雜濃度高於基板110的摻雜濃度。 3A to 3C are cross-sectional views showing respective stages of the semiconductor device 100 of the embodiment of the present invention in the method of manufacturing the same. Referring to Figure 3A, a substrate 110 is first provided. In a possible embodiment, the substrate 110 has a first conductivity type. Next, well regions 121 and 122 are formed in substrate 100. In a possible embodiment, the well region 121 has a second conductivity type and the well region 122 has a first conductivity type. The first conductivity type is different from the second conductivity type. In other embodiments, the doping concentration of the well region 122 is higher than the doping concentration of the substrate 110.

參見第3B圖,於基板110上依序形成閘極介電層142及閘極141。在一實施例中,可先依序毯覆性沈積一介電材料層(用以形成閘極介電層142)及位於其上之導電材料層(用以 形成閘極141)於基板110上,再將此介電材料層及導電材料層經一微影與蝕刻製程露出預定形成摻雜區131與132。之後,再藉由另一微影與蝕刻製程將介電材料層及導電材料層分別圖案化以形成閘極介電層142及閘極141。在本實施例中,閘極介電層142可被劃分成區域R1與R2。區域R1的厚度小於區域R2的厚度。 Referring to FIG. 3B, a gate dielectric layer 142 and a gate 141 are sequentially formed on the substrate 110. In an embodiment, a dielectric material layer (to form a gate dielectric layer 142) and a conductive material layer thereon are sequentially deposited in a blanket manner (for The gate electrode 141 is formed on the substrate 110, and the dielectric material layer and the conductive material layer are exposed through a lithography and etching process to form the doped regions 131 and 132. Thereafter, the dielectric material layer and the conductive material layer are respectively patterned by another lithography and etching process to form the gate dielectric layer 142 and the gate 141. In the present embodiment, the gate dielectric layer 142 can be divided into regions R1 and R2. The thickness of the region R1 is smaller than the thickness of the region R2.

接著,參見第3C圖,在閘極介電層142及閘極141的側壁形成絕緣側壁層143。於絕緣側壁層143之後,進行離子佈植步驟以形成摻雜區131與132。摻雜區131與132分別設於閘極141兩側之基板110內。在一可能實施例中,摻雜區131係設於井區121之中,並具有第二導電型,而摻雜區132係設於井區122之中,並具有第二導電型。如圖所示,摻雜區132與閘極介電層142在空間上彼此分隔。在一可能實施例中,摻雜區131與132的摻雜濃度均高於井區121的摻雜濃度。在其它實施例中,閘極141、摻雜區131與132構成一電晶體。 Next, referring to FIG. 3C, an insulating sidewall layer 143 is formed on the sidewalls of the gate dielectric layer 142 and the gate 141. After insulating the sidewall layer 143, an ion implantation step is performed to form doped regions 131 and 132. Doped regions 131 and 132 are respectively disposed in the substrate 110 on both sides of the gate 141. In a possible embodiment, the doped region 131 is disposed in the well region 121 and has a second conductivity type, and the doped region 132 is disposed in the well region 122 and has a second conductivity type. As shown, the doped regions 132 are spatially separated from the gate dielectric layer 142. In a possible embodiment, the doping concentrations of the doping regions 131 and 132 are both higher than the doping concentration of the well region 121. In other embodiments, the gate 141, the doped regions 131 and 132 constitute a transistor.

由於區域R1的厚度小於區域R2的厚度,故可縮短電晶體的切換時間,如從一導通狀態切換至一不導通狀態,或是從一不導通狀態切換至一導通狀態。另外,由於區域R2的厚度大於區域R1的厚度,故可避免電晶體在不導通狀態時發生漏電現象。 Since the thickness of the region R1 is smaller than the thickness of the region R2, the switching time of the transistor can be shortened, such as switching from a conducting state to a non-conducting state, or switching from a non-conducting state to a conducting state. In addition, since the thickness of the region R2 is larger than the thickness of the region R1, it is possible to avoid leakage of the transistor when the transistor is not in a conducting state.

除非另作定義,在此所有詞彙(包含技術與科學詞彙)均屬本發明所屬技術領域中具有通常知識者之一般理解。此外,除非明白表示,詞彙於一般字典中之定義應解釋為與其相關技術領域之文章中意義一致,而不應解釋為理想狀態或過 分正式之語態。 Unless otherwise defined, all terms (including technical and scientific terms) are used in the ordinary meaning In addition, unless explicitly stated, the definition of a vocabulary in a general dictionary should be interpreted as consistent with the meaning of an article in its related technical field, and should not be interpreted as an ideal state or In the official voice.

雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾。舉例來,本發明實施例所系統、裝置或是方法可以硬體、軟體或硬體以及軟體的組合的實體實施例加以實現。因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。 Although the present invention has been disclosed in the above preferred embodiments, it is not intended to limit the invention, and any one of ordinary skill in the art can make some modifications and refinements without departing from the spirit and scope of the invention. . For example, the system, apparatus or method of the embodiments of the present invention may be implemented in a physical embodiment of a combination of hardware, software or hardware and software. Therefore, the scope of the invention is defined by the scope of the appended claims.

Claims (19)

一種半導體裝置,包括:一基板,具有一第一導電型;一第一摻雜區,形成於該基板之中,並具有一第二導電型;一第二摻雜區,形成於該基板之中,並具有該第二導電型;一閘極,形成於該基板之上,並位於該第一及第二摻雜區之間;以及一閘極介電層,形成於該基板之上,並位於該閘極與該基板之間,其中該閘極介電層具有一第一區域以及一第二區域,該第一區域的厚度不同於該第二區域的厚度,其中該第一摻雜區與該閘極介電層在空間上彼此分隔。 A semiconductor device comprising: a substrate having a first conductivity type; a first doped region formed in the substrate and having a second conductivity type; and a second doped region formed on the substrate And having the second conductivity type; a gate formed on the substrate between the first and second doped regions; and a gate dielectric layer formed on the substrate And between the gate and the substrate, wherein the gate dielectric layer has a first region and a second region, the first region having a thickness different from the thickness of the second region, wherein the first doping The regions are spatially separated from the gate dielectric layer. 如申請專利範圍第1項所述之半導體裝置,更包括:一第一井區,形成於該基板之中,並具有該第一導電型,其中該第二摻雜區位於該第一井區中。 The semiconductor device of claim 1, further comprising: a first well region formed in the substrate and having the first conductivity type, wherein the second doping region is located in the first well region in. 如申請專利範圍第2項所述之半導體裝置,更包括:一第二井區,形成於該基板之中,並具有該第二導電型,其中該第一摻雜區位於該第二井區之中。 The semiconductor device of claim 2, further comprising: a second well region formed in the substrate and having the second conductivity type, wherein the first doping region is located in the second well region Among them. 如申請專利範圍第1項所述之半導體裝置,其中該閘極介電層更具有一第三區域,該第三區域的厚度相同於該第一區域的厚度。 The semiconductor device of claim 1, wherein the gate dielectric layer further has a third region having a thickness equal to a thickness of the first region. 如申請專利範圍第4項所述之半導體裝置,其中該第二區域位於該第一及第三區域之間。 The semiconductor device of claim 4, wherein the second region is located between the first and third regions. 如申請專利範圍第5項所述之半導體裝置,更包括: 一井區,形成於該基板之中,並具有該第一導電型,其中該第一及第二摻雜區位於該井區之中。 The semiconductor device according to claim 5, further comprising: A well region is formed in the substrate and has the first conductivity type, wherein the first and second doping regions are located in the well region. 如申請專利範圍第1項所述之半導體裝置,其中該第一摻雜區、該第二摻雜區及該閘極構成一電晶體。 The semiconductor device of claim 1, wherein the first doped region, the second doped region, and the gate form a transistor. 如申請專利範圍第1項所述之半導體裝置,其中該第一導電型為P型,該第二導電型為N型。 The semiconductor device according to claim 1, wherein the first conductivity type is a P type, and the second conductivity type is an N type. 如申請專利範圍第1項所述之半導體裝置,其中該第一導電型為N型,該第二導電型為P型。 The semiconductor device according to claim 1, wherein the first conductivity type is an N type and the second conductivity type is a P type. 一種半導體裝置之製造方法,包括:提供一基板,其具有一第一導電型;形成一第一摻雜區於該基板之中,其中該第一摻雜區具有一第二導電型;形成一第二摻雜區於該基板之中,其中該第二摻雜區具有該第二導電型;形成一閘極於該基板之上,其中該閘極位於該第一及第二摻雜區之間;以及形成一閘極介電層於該基板之上,其中該閘極介電層位於該閘極與該基板之間,並具有一第一區域以及一第二區域,該第一區域的厚度不同於該第二區域的厚度。 A method of fabricating a semiconductor device, comprising: providing a substrate having a first conductivity type; forming a first doped region in the substrate, wherein the first doped region has a second conductivity type; forming a a second doped region in the substrate, wherein the second doped region has the second conductivity type; forming a gate on the substrate, wherein the gate is located in the first and second doped regions And forming a gate dielectric layer over the substrate, wherein the gate dielectric layer is between the gate and the substrate, and has a first region and a second region, the first region The thickness is different from the thickness of the second region. 如申請專利範圍第10項所述之半導體裝置之製造方法,更包括:形成一第一井區於該基板之中,其中該第一井區具有該第一導電型,該第二摻雜區位於該第一井區中。 The method of manufacturing a semiconductor device according to claim 10, further comprising: forming a first well region in the substrate, wherein the first well region has the first conductivity type, the second doping region Located in the first well area. 如申請專利範圍第11項所述之半導體裝置之製造方法,更 包括:形成一第二井區於該基板之中,其中該第二井區具有該第二導電型,該第一摻雜區位於該第二井區之中。 The method for manufacturing a semiconductor device according to claim 11 of the patent application, The method includes forming a second well region in the substrate, wherein the second well region has the second conductivity type, and the first doping region is located in the second well region. 如申請專利範圍第12項所述之半導體裝置之製造方法,其中該第一摻雜區與該閘極介電層在空間上彼此分隔。 The method of fabricating a semiconductor device according to claim 12, wherein the first doped region and the gate dielectric layer are spatially separated from each other. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中該閘極介電層更具有一第三區域,該第三區域的厚度相同於該第一區域的厚度。 The method of fabricating a semiconductor device according to claim 10, wherein the gate dielectric layer further has a third region having a thickness equal to a thickness of the first region. 如申請專利範圍第14項所述之半導體裝置之製造方法,其中該第二區域位於該第一及第三區域之間。 The method of fabricating a semiconductor device according to claim 14, wherein the second region is located between the first and third regions. 如申請專利範圍第15項所述之半導體裝置之製造方法,更包括:形成一井區於該基板之中,該井區具有該第一導電型,該第一及第二摻雜區位於該井區之中。 The manufacturing method of the semiconductor device of claim 15, further comprising: forming a well region in the substrate, the well region having the first conductivity type, wherein the first and second doping regions are located In the well area. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中該第一摻雜區、該第二摻雜區及該閘極構成一電晶體。 The method of fabricating a semiconductor device according to claim 10, wherein the first doped region, the second doped region, and the gate form a transistor. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中該第一導電型為P型,該第二導電型為N型。 The method of manufacturing a semiconductor device according to claim 10, wherein the first conductivity type is a P type, and the second conductivity type is an N type. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中該第一導電型為N型,該第二導電型為P型。 The method of manufacturing a semiconductor device according to claim 10, wherein the first conductivity type is an N type and the second conductivity type is a P type.
TW106112883A 2017-04-18 2017-04-18 Semiconductor device and method for manufacturing the same TWI620330B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW106112883A TWI620330B (en) 2017-04-18 2017-04-18 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW106112883A TWI620330B (en) 2017-04-18 2017-04-18 Semiconductor device and method for manufacturing the same

Publications (2)

Publication Number Publication Date
TWI620330B true TWI620330B (en) 2018-04-01
TW201839997A TW201839997A (en) 2018-11-01

Family

ID=62639796

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106112883A TWI620330B (en) 2017-04-18 2017-04-18 Semiconductor device and method for manufacturing the same

Country Status (1)

Country Link
TW (1) TWI620330B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11942542B2 (en) 2021-09-29 2024-03-26 Vanguard International Semiconductor Corporation Semiconductor device and fabrication method thereof
TWI821798B (en) * 2021-11-23 2023-11-11 世界先進積體電路股份有限公司 Semiconductor device and fabrication method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110220995A1 (en) * 2010-03-10 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Having Multi-Thickness Gate Dielectric

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110220995A1 (en) * 2010-03-10 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Having Multi-Thickness Gate Dielectric

Also Published As

Publication number Publication date
TW201839997A (en) 2018-11-01

Similar Documents

Publication Publication Date Title
US10923576B2 (en) Atomic layer deposition methods and structures thereof
US9514994B2 (en) FinFET device and fabrication method thereof
US20150214112A1 (en) Semiconductor device and method for forming the same
US10205004B2 (en) FinFET isolation structure and method for fabricating the same
US11804484B2 (en) FinFet isolation structure and method for fabricating the same
US9059315B2 (en) Concurrently forming nFET and pFET gate dielectric layers
US10276679B2 (en) Semiconductor device and method for manufacturing the same
KR20170046088A (en) Atomic layer deposition methods and structures thereof
US10872890B2 (en) Semiconductor device
US10043802B2 (en) Gate structure with additional oxide layer
US20130113053A1 (en) Semiconductor structure and process thereof
TWI591728B (en) Semiconductor structure and method for forming the same
TWI620330B (en) Semiconductor device and method for manufacturing the same
US10672669B2 (en) Structure for improving dielectric reliability of CMOS device
CN109087943A (en) Tunnelling field effect transistor structure and its production method
US20110263114A1 (en) Method for etching mo-based metal gate stack with aluminium nitride barrier
US10566243B2 (en) Semiconductor device having multiple work functions and manufacturing method thereof
KR20080110366A (en) Method for fabricating a gate in a semiconductor
US9449829B1 (en) Semiconductor process
TWI587505B (en) High voltage semiconductor structure
TW201712862A (en) Semiconductor device and method of manufacturing the same