TWI618191B - Semiconductor devices, through-substrate via structures and methods for forming the same - Google Patents

Semiconductor devices, through-substrate via structures and methods for forming the same Download PDF

Info

Publication number
TWI618191B
TWI618191B TW106108892A TW106108892A TWI618191B TW I618191 B TWI618191 B TW I618191B TW 106108892 A TW106108892 A TW 106108892A TW 106108892 A TW106108892 A TW 106108892A TW I618191 B TWI618191 B TW I618191B
Authority
TW
Taiwan
Prior art keywords
semiconductor
layer
hole
substrate
semiconductor substrate
Prior art date
Application number
TW106108892A
Other languages
Chinese (zh)
Other versions
TW201836064A (en
Inventor
陳立哲
陳姿亘
劉興潮
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW106108892A priority Critical patent/TWI618191B/en
Application granted granted Critical
Publication of TWI618191B publication Critical patent/TWI618191B/en
Publication of TW201836064A publication Critical patent/TW201836064A/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導體裝置包含貫穿基底的導通孔結構,也包含貫穿基底的導通孔結構上的第一金屬層和電子元件,以及貫穿基底的導通孔結構下的第二金屬層和另一電子元件。貫穿基底的導通孔結構包含由半導體基底的第一表面延伸至相對的第二表面的貫穿孔洞,且貫穿孔洞之側壁與第二表面之間在半導體基底側夾有銳角,貫穿基底的導通孔結構也包含填充於該貫穿孔洞內的導電層,以及設置於貫穿孔洞內且介於導電層與半導體基底之間的半導體層。 The semiconductor device includes a via structure through the substrate, a first metal layer and electronic components on the via structure through the substrate, and a second metal layer and another electronic component under the via structure of the substrate. The via structure penetrating through the substrate includes a through hole extending from the first surface of the semiconductor substrate to the opposite second surface, and an acute angle is sandwiched between the sidewall of the through hole and the second surface on the side of the semiconductor substrate, and the via structure of the through substrate A conductive layer filled in the through hole and a semiconductor layer disposed between the conductive layer and the semiconductor substrate are also included.

Description

半導體裝置、貫穿基底的導通孔結構及其形成方法 Semiconductor device, via structure through substrate, and method of forming same

本發明是關於半導體裝置,特別是有關於半導體裝置中的貫穿基底的導通孔結構及其形成方法。 The present invention relates to a semiconductor device, and more particularly to a via structure that penetrates a substrate in a semiconductor device and a method of forming the same.

傳統的二維(2D)製程技術中,必須在平面上拉長金屬導線,經過許多不同的結構層才能連接兩個裝置,導致訊號的衰減,以及成本的提高。因此,為突破此瓶頸發展了半導體三維(3D)積體電路(integrated circuit,IC)的技術,其中貫穿基底的導通孔(through-substrate via,TSV)是核心技術之一,原本長距離的金屬導線,藉由TSV技術垂直導通堆疊的晶片,使訊號傳遞方式由水平改成垂直傳輸,可增加晶片堆疊密度、縮小體積、降低功耗、提升訊號傳輸速度,進而增加產品的效能,應用層面相當廣泛。 In the traditional two-dimensional (2D) process technology, the metal wires must be elongated on the plane, and the two devices can be connected through many different structural layers, resulting in signal attenuation and cost increase. Therefore, in order to break through this bottleneck, a semiconductor three-dimensional (3D) integrated circuit (IC) technology has been developed, in which a through-substrate via (TSV) is one of the core technologies, and a long-distance metal. The wires are vertically turned on by the TSV technology to change the signal transmission mode from horizontal to vertical, which can increase the stack density, reduce the size, reduce the power consumption, and improve the signal transmission speed, thereby increasing the performance of the product. widely.

雖然目前存在的貫穿基底的導通孔結構及其形成方法已足夠應付它們原先預定的用途,但它們仍未在各個方面皆徹底的符合要求,因此貫穿基底的導通孔技術目前仍有需努力的方向。 Although the through-substrate via structures and their formation methods are sufficient for their intended use, they have not been fully met in all respects. Therefore, there is still a need for a through-hole via technology. .

本揭露提供了貫穿基底的導通孔結構的實施例及 其形成方法,透過具有錐角(taper angle)之貫穿孔洞,減少填充於貫穿孔洞內之材料的內部孔隙的產生。此外,藉由位於貫穿孔洞內,且介於導電層與半導體基底之間的半導體層的設置,克服以往對半導體基底之底面進行平坦化製程時(又稱晶背研磨(back grind,BG)),因貫穿孔洞內部和外部之材料差異太大,容易因為應力分布不均和對研磨液之蝕刻選擇比的差異,而使得貫穿孔洞內之導電層突出於半導體基底外,導致半導體基底在實施平坦化製程後表面仍有不平整的問題。 The present disclosure provides an embodiment of a via structure through the substrate and The formation method is such that through the through hole having a taper angle, the generation of internal pores of the material filled in the through hole is reduced. In addition, by the arrangement of the semiconductor layer located in the through hole and between the conductive layer and the semiconductor substrate, the planarization process of the bottom surface of the semiconductor substrate is overcome (also referred to as back grind (BG)). Because the material difference between the inside and the outside of the hole is too large, it is easy to cause the conductive layer in the through hole to protrude outside the semiconductor substrate due to the uneven distribution of stress and the difference in etching selectivity to the polishing liquid, resulting in flatness of the semiconductor substrate. There is still a problem of unevenness on the surface after the chemical process.

根據一些實施例,提供貫穿基底的導通孔結構。此貫穿基底的導通孔結構包含貫穿孔洞,由半導體基底的第一表面延伸至相對的第二表面,且貫穿孔洞之側壁與第二表面之間在半導體基底側夾有銳角。此貫穿基底的導通孔結構更包含導電層,填充於貫穿孔洞內,以及半導體層,設置於貫穿孔洞內,且介於導電層與半導體基底之間。 According to some embodiments, a via structure is provided through the substrate. The through-substrate via structure includes a through hole extending from the first surface of the semiconductor substrate to the opposite second surface, and an acute angle is sandwiched between the sidewall of the through hole and the second surface on the side of the semiconductor substrate. The through-substrate via structure further includes a conductive layer filled in the through hole, and a semiconductor layer disposed in the through hole and interposed between the conductive layer and the semiconductor substrate.

根據一些實施例,提供半導體裝置。此半導體裝置包含貫穿孔洞,由半導體基底的第一表面延伸至相對的第二表面,且貫穿孔洞之側壁與第二表面之間在半導體基底側夾有銳角。此半導體裝置還包含導電層,填充於貫穿孔洞內。此半導體裝置更包含半導體層,設置於貫穿孔洞內,且介於導電層與半導體基底之間。此外,半導體裝置包含第一金屬層,鄰接於第一表面,且電連接於電子元件,以及第二金屬層,鄰接於第二表面,且電連接於另一電子元件。 According to some embodiments, a semiconductor device is provided. The semiconductor device includes a through hole extending from a first surface of the semiconductor substrate to an opposite second surface, and an acute angle is sandwiched between the sidewall of the through hole and the second surface on the side of the semiconductor substrate. The semiconductor device further includes a conductive layer filled in the through hole. The semiconductor device further includes a semiconductor layer disposed in the through hole and interposed between the conductive layer and the semiconductor substrate. Further, the semiconductor device includes a first metal layer adjacent to the first surface and electrically connected to the electronic component, and the second metal layer, adjacent to the second surface, and electrically connected to the other electronic component.

根據一些實施例,提供貫穿基底的導通孔結構的形成方法。此貫穿基底的導通孔結構的形成方法包含在半導體 基底內形成孔洞,在孔洞的側壁和底面上形成半導體層,在半導體層上和半導體基底上形成導電層,其中導電層填滿孔洞。此貫穿基底的導通孔結構的形成方法更包含在半導體基底之頂面實施第一平坦化製程,移除孔洞以外的導電層,以及在半導體基底之底面實施第二平坦化製程,移除一部分半導體基底,使得半導體基底的表面與在孔洞之底面上的半導體層共平面,其中孔洞之側壁與半導體基底的此表面之間在半導體基底側夾有銳角。 According to some embodiments, a method of forming a via structure through a substrate is provided. The method of forming the via structure through the substrate is included in the semiconductor A hole is formed in the substrate, a semiconductor layer is formed on the sidewall and the bottom surface of the hole, and a conductive layer is formed on the semiconductor layer and the semiconductor substrate, wherein the conductive layer fills the hole. The method for forming the via structure of the through substrate further comprises: performing a first planarization process on a top surface of the semiconductor substrate, removing a conductive layer other than the hole, and performing a second planarization process on the bottom surface of the semiconductor substrate to remove a portion of the semiconductor The substrate is such that the surface of the semiconductor substrate is coplanar with the semiconductor layer on the bottom surface of the hole, wherein the sidewall of the hole and the surface of the semiconductor substrate have an acute angle on the side of the semiconductor substrate.

100‧‧‧貫穿基底的導通孔結構 100‧‧‧through via structure

101‧‧‧半導體基底 101‧‧‧Semiconductor substrate

101a‧‧‧第一表面 101a‧‧‧ first surface

101b‧‧‧第二表面 101b‧‧‧ second surface

101B‧‧‧底面 101B‧‧‧ bottom

101F‧‧‧頂面 101F‧‧‧ top surface

102‧‧‧孔洞 102‧‧‧ hole

102B‧‧‧孔洞之底面 102B‧‧‧Bottom of the hole

102S‧‧‧孔洞之側壁 102S‧‧‧ sidewall of the hole

102’‧‧‧貫穿孔洞 102’‧‧‧through holes

103‧‧‧半導體層 103‧‧‧Semiconductor layer

105‧‧‧阻障層 105‧‧‧Barrier layer

107‧‧‧導電層 107‧‧‧ Conductive layer

110‧‧‧第一金屬層 110‧‧‧First metal layer

115、125‧‧‧電子元件 115, 125‧‧‧ electronic components

120‧‧‧第二金屬層 120‧‧‧Second metal layer

200‧‧‧半導體裝置 200‧‧‧Semiconductor device

θ‧‧‧銳角 Θ‧‧‧ acute angle

藉由以下的詳述配合所附圖式,我們能更加理解本揭露的觀點。值得注意的是,根據工業上的標準慣例,一些特徵部件(feature)可能沒有按照比例繪製。事實上,為了能清楚地討論,不同特徵部件的尺寸可能被增加或減少。 We can better understand the point of view of the disclosure by the following detailed description in conjunction with the accompanying drawings. It is worth noting that some features may not be drawn to scale according to industry standard practice. In fact, the dimensions of different features may be increased or decreased for clarity of discussion.

第1-8圖是根據本揭露的一些實施例,顯示形成貫穿基底的導通孔結構之不同階段的剖面示意圖;第9圖是根據本揭露的一些實施例,顯示形成半導體裝置的剖面示意圖。 1-8 are cross-sectional schematic views showing different stages of formation of a via structure through a substrate in accordance with some embodiments of the present disclosure; and FIG. 9 is a cross-sectional view showing the formation of a semiconductor device in accordance with some embodiments of the present disclosure.

以下揭露提供了很多不同的實施例或範例,用於實施所提供的半導體裝置之不同元件。各元件和其配置的具體範例描述如下,以簡化本揭露之說明。當然,這些僅僅是範例,並非用以限定本揭露。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得 它們不直接接觸的實施例。此外,本揭露可能在不同的範例中重複參考數字及/或字母。如此重複是為了簡明和清楚,而非用以表示所討論的不同實施例及/或形態之間的關係。 The following disclosure provides many different embodiments or examples for implementing the various components of the semiconductor device provided. Specific examples of the components and their configurations are described below to simplify the description of the present disclosure. Of course, these are merely examples and are not intended to limit the disclosure. For example, reference to a first element formed above a second element in the description may include embodiments in which the first and second elements are in direct contact, and may also include additional elements formed between the first and second elements. Make Embodiments in which they are not in direct contact. Furthermore, the disclosure may repeat reference numerals and/or letters in different examples. This repetition is for the purpose of clarity and clarity, and is not intended to represent the relationship of the various embodiments and/

以下描述實施例的一些變化。在不同圖式和說明的實施例中,相似的參考數字被用來標明相似的元件。可以理解的是,在方法的前、中、後可以提供額外的操作,且一些敘述的操作可為了該方法的其他實施例被取代或刪除。 Some variations of the embodiments are described below. In the various figures and illustrated embodiments, like reference numerals are used to design It will be appreciated that additional operations may be provided before, during, and after the method, and that some of the recited operations may be substituted or deleted for other embodiments of the method.

本揭露提供形成貫穿基底的導通孔結構的實施例。第1-8圖係根據本揭露的一些實施例,顯示形成第8圖中所示之貫穿基底的導通孔結構100之不同階段的剖面示意圖。 The present disclosure provides an embodiment of a via structure that forms a through substrate. 1-8 are cross-sectional schematic views showing different stages of forming a via structure 100 through the substrate shown in FIG. 8 in accordance with some embodiments of the present disclosure.

根據一些實施例,如第1圖所示,在半導體基底101內形成孔洞102。半導體基底101可由矽或其他半導體材料製成,或者,半導體基底101可包含其他元素半導體材料,例如鍺(Ge)。一些實施例中,半導體基底101由化合物半導體製成,例如碳化矽、氮化鎵、砷化鎵、砷化銦或磷化銦。一些實施例中,半導體基底101由合金半導體製成,例如矽鍺、碳化矽鍺、磷化砷鎵或磷化銦鎵。一些實施例中,半導體基底101包含絕緣層上覆矽(silicon-on-insulator,SOI)基底。一些實施例中,半導體基底101包含磊晶層。舉例而言,半導體基底101有覆蓋在塊材半導體之上的磊晶層。一些實施例中,半導體基底101可為輕摻雜之P型或N型基底。 According to some embodiments, as shown in FIG. 1, a hole 102 is formed in the semiconductor substrate 101. The semiconductor substrate 101 may be made of germanium or other semiconductor material, or the semiconductor substrate 101 may comprise other elemental semiconductor materials such as germanium (Ge). In some embodiments, the semiconductor substrate 101 is made of a compound semiconductor such as tantalum carbide, gallium nitride, gallium arsenide, indium arsenide or indium phosphide. In some embodiments, the semiconductor substrate 101 is made of an alloy semiconductor such as germanium, tantalum carbide, gallium arsenide or indium gallium phosphide. In some embodiments, the semiconductor substrate 101 comprises a silicon-on-insulator (SOI) substrate. In some embodiments, the semiconductor substrate 101 comprises an epitaxial layer. For example, the semiconductor substrate 101 has an epitaxial layer overlying the bulk semiconductor. In some embodiments, the semiconductor substrate 101 can be a lightly doped P-type or N-type substrate.

接續前述,如第1圖所示,孔洞102可藉由適當的製程,例如微影和蝕刻製程來形成。值得注意的是,孔洞102自半導體基底101之頂面101F朝向半導體基底101之底面 101B延伸,但並未延伸至底面101B。此外,孔洞102之側壁102S與孔洞102之底面102B在半導體基底101側之延伸虛線夾有銳角θ。換言之,孔洞102自頂面101F朝向底面102B具有錐角的剖面。一些實施例中,銳角θ在約88度以下和約60度以上的角度範圍內,且孔洞102的深寬比(aspect ratio)為約20以上。 Following the foregoing, as shown in FIG. 1, the holes 102 can be formed by a suitable process such as a lithography and etching process. It should be noted that the hole 102 is from the top surface 101F of the semiconductor substrate 101 toward the bottom surface of the semiconductor substrate 101. 101B extends but does not extend to the bottom surface 101B. Further, the side wall 102S of the hole 102 and the bottom surface 102B of the hole 102 have an acute angle θ with an extended dotted line on the side of the semiconductor substrate 101. In other words, the hole 102 has a tapered cross section from the top surface 101F toward the bottom surface 102B. In some embodiments, the acute angle θ is in the range of angles below about 88 degrees and above about 60 degrees, and the aspect ratio of the holes 102 is about 20 or more.

根據一些實施例,如第2圖所示,在孔洞102的側壁102S和底面102B上,以及半導體基底101之頂面101F上形成半導體層103。一些實施例中,半導體層103之材料可為多晶矽或氧化矽,藉由化學氣相沉積(chemical vapor deposition,CVD)製程、流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)製程、原子層沉積(Atomic layer deposition,ALD)製程、低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)製程、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)製程、其他合適的製程或前述之組合所形成。 According to some embodiments, as shown in FIG. 2, a semiconductor layer 103 is formed on the sidewall 102S and the bottom surface 102B of the hole 102, and on the top surface 101F of the semiconductor substrate 101. In some embodiments, the material of the semiconductor layer 103 may be polycrystalline germanium or germanium oxide, by a chemical vapor deposition (CVD) process, a flowable chemical vapor deposition (FCVD) process, an atomic layer. Atomic layer deposition (ALD) process, low-pressure chemical vapor deposition (LPCVD) process, plasma enhanced chemical vapor deposition (PECVD) process, other suitable processes or The combination of the foregoing is formed.

一些實施例中,如第2圖所示,半導體層103在孔洞102之底面102B上的部份具有厚度t1,半導體層103在孔洞102之側壁102S上的部份具有厚度t2,其中厚度t1大於厚度t2,如此更可確保在後續的第二平坦化製程(又稱底部研磨製程,如第8圖所示)中,半導體層103在孔洞102之底面102B上的部份能防止孔洞內的導電層107突出,進而防止突出的導電層107被刮傷。 In some embodiments, as shown in FIG. 2, a portion of the semiconductor layer 103 on the bottom surface 102B of the hole 102 has a thickness t 1 , and a portion of the semiconductor layer 103 on the sidewall 102S of the hole 102 has a thickness t 2 , wherein the thickness T 1 is greater than the thickness t 2 , which further ensures that in the subsequent second planarization process (also referred to as the bottom polishing process, as shown in FIG. 8 ), the portion of the semiconductor layer 103 on the bottom surface 102B of the hole 102 can be prevented. The conductive layer 107 in the hole protrudes, thereby preventing the protruding conductive layer 107 from being scratched.

根據一些實施例,如第3圖所示,在半導體基底 101的頂面101F實施平坦化製程,以移除孔洞102外的半導體層103,並暴露半導體基底101的頂面101F。平坦化製程包含化學機械研磨(chemical mechanical polishing,CMP)製程、研磨(grinding)製程、蝕刻製程、其他合適的製程或前述之組合。一些實施例中,此步驟可以省略,待孔洞102填充完成後一併實施平坦化製程,以移除孔洞102外的所有材料直至暴露半導體基底101的頂面101F。 According to some embodiments, as shown in FIG. 3, on a semiconductor substrate The top surface 101F of 101 performs a planarization process to remove the semiconductor layer 103 outside the hole 102 and expose the top surface 101F of the semiconductor substrate 101. The planarization process includes a chemical mechanical polishing (CMP) process, a grinding process, an etching process, other suitable processes, or a combination of the foregoing. In some embodiments, this step may be omitted. After the filling of the holes 102 is completed, a planarization process is performed to remove all materials outside the holes 102 until the top surface 101F of the semiconductor substrate 101 is exposed.

接續前述,如第4圖所示,在孔洞102內和半導體基底101的頂面101F上形成阻障層105,且阻障層105係形成於半導體層103之上,其中一部分的阻障層105形成於孔洞102的底面上。阻障層105的形成係用以穩定地接合後續形成的導電層107(如第5圖所示)。一些實施例中,阻障層105之材料可為鈦或氮化鈦,藉由化學氣相沉積(CVD)製程、流動式化學氣相沉積(FCVD)製程、原子層沉積(ALD)製程、低壓化學氣相沉積(LPCVD)製程、電漿增強化學氣相沉積(PECVD)製程、其他合適的製程或前述之組合所形成。 Following the foregoing, as shown in FIG. 4, a barrier layer 105 is formed in the hole 102 and on the top surface 101F of the semiconductor substrate 101, and the barrier layer 105 is formed on the semiconductor layer 103, and a part of the barrier layer 105 is formed. Formed on the bottom surface of the hole 102. The formation of the barrier layer 105 serves to stably bond the subsequently formed conductive layer 107 (as shown in FIG. 5). In some embodiments, the material of the barrier layer 105 may be titanium or titanium nitride by a chemical vapor deposition (CVD) process, a flow chemical vapor deposition (FCVD) process, an atomic layer deposition (ALD) process, and a low voltage. A chemical vapor deposition (LPCVD) process, a plasma enhanced chemical vapor deposition (PECVD) process, other suitable processes, or a combination of the foregoing.

根據一些實施例,如第5圖所示,在孔洞102內和半導體基底101之頂面101F上形成導電層107,且導電層107係形成於半導體層103和阻障層105上。一些實施例中,導電層107包含金屬或其他合適的導電材料,例如:鎢、銅、鎳、鋁、WSix、多晶矽或前述之組合,且導電層107係藉由化學氣相沉積(CVD)製程、流動式化學氣相沉積(FCVD)製程、原子層沉積(ALD)製程、低壓化學氣相沉積(LPCVD)製程、電漿增強化學氣相沉積(PECVD)製程、其他合適的製程或前述之組 合所形成。 According to some embodiments, as shown in FIG. 5, a conductive layer 107 is formed in the hole 102 and on the top surface 101F of the semiconductor substrate 101, and the conductive layer 107 is formed on the semiconductor layer 103 and the barrier layer 105. In some embodiments, the conductive layer 107 comprises a metal or other suitable conductive material, such as: tungsten, copper, nickel, aluminum, WSix, polysilicon, or a combination thereof, and the conductive layer 107 is processed by a chemical vapor deposition (CVD) process. Flow chemical vapor deposition (FCVD) process, atomic layer deposition (ALD) process, low pressure chemical vapor deposition (LPCVD) process, plasma enhanced chemical vapor deposition (PECVD) process, other suitable processes, or groups of the foregoing Formed together.

接續前述,如第6圖所示,在半導體基底101的頂面101F實施第一平坦化製程,以移除孔洞102外的阻障層105和導電層107,並暴露半導體基底101的頂面101F。一些實施例中,第一平坦化製程包含化學機械研磨(CMP)製程、研磨製程、蝕刻製程、其他合適的製程或前述之組合。 Following the foregoing, as shown in FIG. 6, a first planarization process is performed on the top surface 101F of the semiconductor substrate 101 to remove the barrier layer 105 and the conductive layer 107 outside the hole 102, and expose the top surface 101F of the semiconductor substrate 101. . In some embodiments, the first planarization process comprises a chemical mechanical polishing (CMP) process, a polishing process, an etching process, other suitable processes, or a combination of the foregoing.

根據一些實施例,如第7圖所示,在半導體基底101的頂面101F上形成第一金屬層110,並於第一金屬層110上形成電子元件115。一些實施例中,電子元件115可包含一或多層的導電層或介電層。在形成第一金屬層110和電子元件115之後,可在電子元件115上形成承載的膠帶,以便於後續針對半導體基底101的底面101B進行平坦化製程。 According to some embodiments, as shown in FIG. 7, a first metal layer 110 is formed on the top surface 101F of the semiconductor substrate 101, and an electronic component 115 is formed on the first metal layer 110. In some embodiments, electronic component 115 can include one or more layers of conductive or dielectric layers. After the first metal layer 110 and the electronic component 115 are formed, a carrier tape may be formed on the electronic component 115 to facilitate subsequent planarization processing for the bottom surface 101B of the semiconductor substrate 101.

接著,如第8圖所示,在半導體基底101的底面101B實施第二平坦化製程,以移除位於孔洞102下的一部分的半導體基底101,使得半導體基底101的表面與在孔洞102之底面上的半導體層103共平面。一些實施例中,第二平坦化製程更移除在孔洞102之底面上的一部分的半導體層103,但未暴露出位於半導體層103上和孔洞102內的阻障層105和導電層107。 Next, as shown in FIG. 8, a second planarization process is performed on the bottom surface 101B of the semiconductor substrate 101 to remove a portion of the semiconductor substrate 101 under the hole 102 such that the surface of the semiconductor substrate 101 and the bottom surface of the hole 102 are on the bottom surface of the hole 102. The semiconductor layers 103 are coplanar. In some embodiments, the second planarization process further removes a portion of the semiconductor layer 103 on the bottom surface of the hole 102, but does not expose the barrier layer 105 and the conductive layer 107 on the semiconductor layer 103 and within the hole 102.

值得注意的是,在實施第二平坦化製程之前,在孔洞102之底面上的半導體層103的厚度大於半導體層103之其餘部分的厚度。一些實施例中,在實施第二平坦化製程之後,在孔洞102之底面上的半導體層103的厚度可大於、等於或小於半導體層103之其餘部分的厚度。此外,第二平坦化製 程包含化學機械研磨(CMP)製程、研磨製程、蝕刻製程、其他合適的製程或前述之組合。 It is to be noted that the thickness of the semiconductor layer 103 on the bottom surface of the hole 102 is greater than the thickness of the remaining portion of the semiconductor layer 103 before the second planarization process is performed. In some embodiments, the thickness of the semiconductor layer 103 on the bottom surface of the hole 102 may be greater than, equal to, or less than the thickness of the remaining portion of the semiconductor layer 103 after the second planarization process is performed. In addition, the second flattening system The process includes a chemical mechanical polishing (CMP) process, a polishing process, an etching process, other suitable processes, or a combination of the foregoing.

根據一些實施例,如第8圖所示,在實施第二平坦化製程之後,形成貫穿基底的導通孔結構100。貫穿基底的導通孔結構100具有從半導體基底101的第一表面101a延伸至半導體基底101之相對的第二表面101b的貫穿孔洞102’。值得注意的是,貫穿孔洞102’之側壁與半導體基底101的第二表面101b之間在半導體基底101側夾有銳角θ,銳角θ在約88度以下和約60度以上的角度範圍內。再者,半導體層103位於貫穿孔洞102’內,且介於填充在貫穿孔洞102’內的導電層107與半導體基底101之間,其中一部分的半導體層103位於導電層107下。換言之,位於貫穿孔洞102’之底面上的半導體層103位於導電層107下。一些實施例中,阻障層105設置於半導體層103和導電層107之間,用以幫助兩者接合。 According to some embodiments, as shown in FIG. 8, after the second planarization process is performed, a via structure 100 is formed through the substrate. The via structure 100 through the substrate has a through hole 102' extending from the first surface 101a of the semiconductor substrate 101 to the opposite second surface 101b of the semiconductor substrate 101. It is to be noted that an acute angle θ is sandwiched between the side wall of the through hole 102' and the second surface 101b of the semiconductor substrate 101 on the side of the semiconductor substrate 101, and the acute angle θ is in an angular range of about 88 degrees or less and about 60 degrees or more. Further, the semiconductor layer 103 is located in the through hole 102' and interposed between the conductive layer 107 filled in the through hole 102' and the semiconductor substrate 101, and a part of the semiconductor layer 103 is located under the conductive layer 107. In other words, the semiconductor layer 103 on the bottom surface of the through hole 102' is located under the conductive layer 107. In some embodiments, a barrier layer 105 is disposed between the semiconductor layer 103 and the conductive layer 107 to facilitate bonding.

一些實施例中,半導體層103可由多晶矽製成,由於半導體層103的材料相同或相似於半導體基底101的材料,在實施第二平坦化製程時,半導體層103與半導體基底101對於研磨液的蝕刻選擇比(etching selectivity)大致相同,不易產生應力分布不均的情形。因此,在實施第二平坦化製程後,填充於貫穿孔洞102’內的導電層107不會被暴露出來,且在貫穿孔洞102’之底面上的半導體層103與半導體基底101共平面。換言之,半導體基底101的第一表面101a與貫穿基底的導通孔結構100的頂面共平面且平整,半導體基底101的第二表面101b與貫穿基底的導通孔結構100的底面共平面且平 整,導電層107不會自半導體基底101的第二表面101b突出,進而降低導電層107在後續製程或運送過程中被刮傷的機率。 In some embodiments, the semiconductor layer 103 may be made of polycrystalline germanium. Since the material of the semiconductor layer 103 is the same or similar to the material of the semiconductor substrate 101, the semiconductor layer 103 and the semiconductor substrate 101 are etched for the polishing liquid during the second planarization process. The selection selectivity is substantially the same, and it is less likely to cause uneven stress distribution. Therefore, after the second planarization process is performed, the conductive layer 107 filled in the through hole 102' is not exposed, and the semiconductor layer 103 on the bottom surface of the through hole 102' is coplanar with the semiconductor substrate 101. In other words, the first surface 101a of the semiconductor substrate 101 is coplanar and flat with the top surface of the via structure 100 penetrating the substrate, and the second surface 101b of the semiconductor substrate 101 is coplanar and flat with the bottom surface of the via structure 100 penetrating the substrate. The conductive layer 107 does not protrude from the second surface 101b of the semiconductor substrate 101, thereby reducing the probability that the conductive layer 107 will be scratched during subsequent processing or transportation.

第9圖是根據本揭露的一些實施例,顯示形成半導體裝置200的剖面示意圖。 FIG. 9 is a cross-sectional view showing the formation of a semiconductor device 200 in accordance with some embodiments of the present disclosure.

根據一些實施例,如第9圖所示,在實施第二平坦化製程之後,在貫穿基底的導通孔結構100上形成第二金屬層120,並於第二金屬層120下方形成另一電子元件125。一些實施例中,電子元件125可包含一或多層的導電層或介電層。一些實施例中,貫穿基底的導通孔結構100透過第一金屬層110電連接位於貫穿基底的導通孔結構100上方的電子元件115,以及透過第二金屬層120電連接位於貫穿基底的導通孔結構100下方的另一電子元件125,以形成半導體裝置200。 According to some embodiments, as shown in FIG. 9, after the second planarization process is performed, the second metal layer 120 is formed on the via structure 100 penetrating the substrate, and another electronic component is formed under the second metal layer 120. 125. In some embodiments, electronic component 125 can include one or more layers of conductive or dielectric layers. In some embodiments, the via structure 100 through the substrate is electrically connected to the electronic component 115 located above the via structure 100 of the substrate through the first metal layer 110, and electrically connected to the via structure of the through substrate through the second metal layer 120. Another electronic component 125 below 100 is formed to form semiconductor device 200.

一些實施例中,第一金屬層110和第二金屬層120的材料為CrAu、TiAu、TiNiAu、TiNiAg或前述之組合,可藉由電鍍(plating)、化學氣相沉積(CVD)、物理氣相沉積(physical vapor deposition,PVD)或前述之組合形成。一些實施例中,電子元件115和125可為兩個不同的晶片之一部分。一些其他的實施例中,電子元件115可電連接一部分的積體電路,電子元件125可電連接一部分的另一積體電路。 In some embodiments, the materials of the first metal layer 110 and the second metal layer 120 are CrAu, TiAu, TiNiAu, TiNiAg or a combination thereof, and may be by plating, chemical vapor deposition (CVD), physical vapor phase. Formed by physical vapor deposition (PVD) or a combination of the foregoing. In some embodiments, electronic components 115 and 125 can be part of two different wafers. In some other embodiments, the electronic component 115 can be electrically connected to a portion of the integrated circuit, and the electronic component 125 can be electrically coupled to a portion of another integrated circuit.

本揭露提供貫穿基底的導通孔結構的實施例及其形成方法,以及含有此貫穿基底的導通孔結構的半導體裝置,透過具有錐角之貫穿孔洞,減少填充於貫穿孔洞內之導電層的內部孔隙和環繞導電層之半導體層的內部孔隙的產生。此外,藉由位於貫穿孔洞內,且介於導電層與半導體基底之間的半導 體層的設置,利用貫穿孔洞內部和外部之材料相同或相似的關係,在實施第二平坦化製程時,使得半導體層與半導體基底對於研磨液的蝕刻選擇比大致相同,不易產生應力分布不勻的情形,進而在實施第二平坦化製程之後,能產生平整的半導體基底的表面。 The present disclosure provides an embodiment of a via structure through a substrate and a method of forming the same, and a semiconductor device including the via structure through the substrate, through a through hole having a taper angle, reducing internal pores of the conductive layer filled in the through hole And the generation of internal pores of the semiconductor layer surrounding the conductive layer. In addition, by a semi-conductor located in the through hole and between the conductive layer and the semiconductor substrate The body layer is disposed in the same or similar relationship with the material inside and outside the hole. When the second planarization process is performed, the etching selectivity of the semiconductor layer and the semiconductor substrate to the polishing liquid is substantially the same, and the stress distribution is not easily generated. In the event that, after the second planarization process is performed, a flat surface of the semiconductor substrate can be produced.

以上概述數個實施例為特徵,以便在本發明所屬技術領域中具有通常知識者可以更理解本揭露的觀點。在發明所屬技術領域中具有通常知識者應該理解他們能以本揭露為基礎,設計或修改其他製程和結構以達到與在此介紹的實施例相同之目的及/或優勢。在發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並無悖離本揭露的精神與範圍,且他們能在不違背本揭露之精神和範圍之下,做各式各樣的改變、取代和替換。 The above summary of the several embodiments is characterized in that the subject matter of the present disclosure can be more fully understood by those of ordinary skill in the art. Those having ordinary skill in the art should understand that they can design or modify other processes and structures based on the present disclosure to achieve the same objects and/or advantages as the embodiments described herein. It is also to be understood by those of ordinary skill in the art that the present invention is not limited to the spirit and scope of the disclosure, and that they can be practiced without departing from the spirit and scope of the disclosure. Various changes, substitutions and substitutions.

Claims (15)

一種貫穿基底的導通孔結構,包括:一貫穿孔洞,由一半導體基底的一第一表面延伸至相對的一第二表面,且該貫穿孔洞之一側壁與該第二表面之間在該半導體基底側夾有一銳角;一導電層,填充於該貫穿孔洞內;以及一半導體層,設置於該貫穿孔洞內,且介於該導電層與該半導體基底之間,其中一部分的該半導體層在該導電層之下,且與該第二表面共平面。 A via structure extending through a substrate, comprising: a uniform via hole extending from a first surface of a semiconductor substrate to an opposite second surface, and a sidewall of one of the through holes and the second surface being between the semiconductor substrate The side clip has an acute angle; a conductive layer is filled in the through hole; and a semiconductor layer is disposed in the through hole and between the conductive layer and the semiconductor substrate, wherein a portion of the semiconductor layer is electrically conductive Below the layer and coplanar with the second surface. 如申請專利範圍第1項所述之貫穿基底的導通孔結構,其中該半導體層環繞該導電層。 The through-substrate via structure of claim 1, wherein the semiconductor layer surrounds the conductive layer. 如申請專利範圍第1項所述之貫穿基底的導通孔結構,其中該半導體層為多晶矽。 The through-substrate via structure according to claim 1, wherein the semiconductor layer is polycrystalline germanium. 如申請專利範圍第1項所述之貫穿基底的導通孔結構,其中該銳角在88度以下和60度以上的角度範圍內。 The through-substrate via structure according to claim 1, wherein the acute angle is within an angle range of 88 degrees or less and 60 degrees or more. 如申請專利範圍第1項所述之貫穿基底的導通孔結構,更包括:一阻障層,設置於該導電層與該半導體層之間,且一部分的該阻障層位於該貫穿孔洞的底部。 The through-substrate via structure according to claim 1, further comprising: a barrier layer disposed between the conductive layer and the semiconductor layer, and a portion of the barrier layer is located at the bottom of the through hole . 一種半導體裝置,包括:一貫穿孔洞,由一半導體基底的一第一表面延伸至相對的一第二表面,且該貫穿孔洞之一側壁與該第二表面之間在該半導體基底側夾有一銳角;一導電層,填充於該貫穿孔洞內; 一半導體層,設置於該貫穿孔洞內,且介於該導電層與該半導體基底之間,一部分的該半導體層在該導電層之下,且與該第二表面共平面;一第一金屬層,鄰接於該第一表面,且電連接於一電子元件;以及一第二金屬層,鄰接於該第二表面,且電連接於另一電子元件。 A semiconductor device comprising: a permanent via hole extending from a first surface of a semiconductor substrate to an opposite second surface, and an acute angle between the sidewall of one of the through holes and the second surface on the side of the semiconductor substrate a conductive layer filled in the through hole; a semiconductor layer disposed in the through hole and interposed between the conductive layer and the semiconductor substrate, a portion of the semiconductor layer being under the conductive layer and coplanar with the second surface; a first metal layer Adjacent to the first surface and electrically connected to an electronic component; and a second metal layer adjacent to the second surface and electrically connected to the other electronic component. 如申請專利範圍第6項所述之半導體裝置,其中該半導體層環繞該導電層。 The semiconductor device of claim 6, wherein the semiconductor layer surrounds the conductive layer. 如申請專利範圍第6項所述之半導體裝置,其中該半導體層為多晶矽。 The semiconductor device of claim 6, wherein the semiconductor layer is polycrystalline germanium. 如申請專利範圍第6項所述之半導體裝置,其中該銳角在88度以下和60度以上的角度範圍內。 The semiconductor device according to claim 6, wherein the acute angle is within an angular range of 88 degrees or less and 60 degrees or more. 如申請專利範圍第6項所述之半導體裝置,更包括:一阻障層,設置於該導電層與該半導體層之間,且一部分的該阻障層位於該貫穿孔洞的底部。 The semiconductor device of claim 6, further comprising: a barrier layer disposed between the conductive layer and the semiconductor layer, and a portion of the barrier layer is located at a bottom of the through hole. 一種貫穿基底的導通孔結構的形成方法,包括:在一半導體基底內形成一孔洞;在該孔洞的一側壁和一底面上形成一半導體層;在該半導體層上和該半導體基底上形成一導電層,其中該導電層填滿該孔洞;在該半導體基底之頂面實施一第一平坦化製程,移除該孔洞以外的該導電層;以及在該半導體基底之底面實施一第二平坦化製程,移除一部 分該半導體基底,使得該半導體基底的一表面與在該孔洞之該底面上的該半導體層共平面;其中該孔洞之該側壁與該半導體基底的該表面之間在該半導體基底側夾有一銳角。 A method for forming a via structure through a substrate, comprising: forming a hole in a semiconductor substrate; forming a semiconductor layer on a sidewall and a bottom surface of the hole; forming a conductive layer on the semiconductor layer and the semiconductor substrate a layer, wherein the conductive layer fills the hole; a first planarization process is performed on a top surface of the semiconductor substrate to remove the conductive layer except the hole; and a second planarization process is performed on a bottom surface of the semiconductor substrate , remove one Dividing the semiconductor substrate such that a surface of the semiconductor substrate is coplanar with the semiconductor layer on the bottom surface of the hole; wherein the sidewall of the hole and the surface of the semiconductor substrate have an acute angle on the side of the semiconductor substrate . 如申請專利範圍第11項所述之貫穿基底的導通孔結構的形成方法,其中在實施該第二平坦化製程之前,在該孔洞之該底面上的該半導體層的厚度大於該半導體層之其餘部分的厚度。 The method for forming a through-substrate via structure according to claim 11, wherein the thickness of the semiconductor layer on the bottom surface of the hole is greater than the rest of the semiconductor layer before the second planarization process is performed. The thickness of the part. 如申請專利範圍第11項所述之貫穿基底的導通孔結構的形成方法,其中該第二平坦化製程更薄化在該孔洞之該底面上的該半導體層的厚度。 The method of forming a via structure through the substrate as described in claim 11, wherein the second planarization process thins the thickness of the semiconductor layer on the bottom surface of the hole. 如申請專利範圍第11項所述之貫穿基底的導通孔結構的形成方法,其中實施該第二平坦化製程之後,未暴露出該導電層。 The method for forming a via structure through the substrate as described in claim 11, wherein the conductive layer is not exposed after the second planarization process is performed. 如申請專利範圍第11項所述之貫穿基底的導通孔結構的形成方法,更包括:在形成該半導體層之後和形成該導電層之前,在該半導體層上和該半導體基底上形成一阻障層,其中一部分的該阻障層形成於該孔洞的該底面上。 The method for forming a through-substrate via structure according to claim 11, further comprising: forming a barrier on the semiconductor layer and the semiconductor substrate after forming the semiconductor layer and before forming the conductive layer a layer, a portion of the barrier layer is formed on the bottom surface of the hole.
TW106108892A 2017-03-17 2017-03-17 Semiconductor devices, through-substrate via structures and methods for forming the same TWI618191B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW106108892A TWI618191B (en) 2017-03-17 2017-03-17 Semiconductor devices, through-substrate via structures and methods for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW106108892A TWI618191B (en) 2017-03-17 2017-03-17 Semiconductor devices, through-substrate via structures and methods for forming the same

Publications (2)

Publication Number Publication Date
TWI618191B true TWI618191B (en) 2018-03-11
TW201836064A TW201836064A (en) 2018-10-01

Family

ID=62189075

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106108892A TWI618191B (en) 2017-03-17 2017-03-17 Semiconductor devices, through-substrate via structures and methods for forming the same

Country Status (1)

Country Link
TW (1) TWI618191B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201403768A (en) * 2012-07-03 2014-01-16 Ind Tech Res Inst Substrate through via structure
TW201511202A (en) * 2013-09-13 2015-03-16 Inotera Memories Inc Semiconductor device with TSV

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201403768A (en) * 2012-07-03 2014-01-16 Ind Tech Res Inst Substrate through via structure
TW201511202A (en) * 2013-09-13 2015-03-16 Inotera Memories Inc Semiconductor device with TSV

Also Published As

Publication number Publication date
TW201836064A (en) 2018-10-01

Similar Documents

Publication Publication Date Title
TW201027703A (en) Semiconductor device and methods for froming the same
US9646938B2 (en) Integrated circuit with backside structures to reduce substrate warp
US9076699B2 (en) TSV backside reveal structure and exposing process
US10770447B2 (en) Method for fabricating substrate structure and substrate structure fabricated by using the method
JP6244474B2 (en) Devices, systems and methods for manufacturing through-substrate vias and front structures
US8877559B2 (en) Through-silicon via with sidewall air gap
US9287225B2 (en) Semiconductor device and manufacturing method thereof
US9666507B2 (en) Through-substrate structure and method for fabricating the same
US8853077B2 (en) Through silicon via packaging structures and fabrication method
CN111564368A (en) Semiconductor device and manufacturing method thereof
TW201628149A (en) Semiconductor package structure and method for forming the same
US9786605B1 (en) Advanced through substrate via metallization in three dimensional semiconductor integration
US9972534B1 (en) Semiconductor devices, through-substrate via structures and methods for forming the same
US20170345737A1 (en) Advanced through substrate via metallization in three dimensional semiconductor integration
TWI618191B (en) Semiconductor devices, through-substrate via structures and methods for forming the same
US9012324B2 (en) Through silicon via process
US9899314B2 (en) Semiconductor substrate and fabrication method thereof
US11004741B2 (en) Profile of through via protrusion in 3DIC interconnect
US10170397B2 (en) Semiconductor devices, via structures and methods for forming the same
US9978607B2 (en) Through via structure and method
US9799720B2 (en) Inductor heat dissipation in an integrated circuit
US10141244B2 (en) TSV layout structure and TSV interconnect structure, and fabrication methods thereof
CN104465494B (en) The forming method of silicon hole
US20230230945A1 (en) Package structure and method for forming same
TWI786699B (en) Semiconductor structure and method of forming the same