TWI596675B - Finfet channel on oxide structures and related methods - Google Patents

Finfet channel on oxide structures and related methods Download PDF

Info

Publication number
TWI596675B
TWI596675B TW104137837A TW104137837A TWI596675B TW I596675 B TWI596675 B TW I596675B TW 104137837 A TW104137837 A TW 104137837A TW 104137837 A TW104137837 A TW 104137837A TW I596675 B TWI596675 B TW I596675B
Authority
TW
Taiwan
Prior art keywords
layer
epitaxial layer
fins
substrate
semiconductor
Prior art date
Application number
TW104137837A
Other languages
Chinese (zh)
Other versions
TW201701358A (en
Inventor
江國誠
蔡慶威
英強 梁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201701358A publication Critical patent/TW201701358A/en
Application granted granted Critical
Publication of TWI596675B publication Critical patent/TWI596675B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Thin Film Transistor (AREA)

Description

在氧化物基板上的FinFET溝道以及相關方法 FinFET channel on oxide substrate and related methods

隨著電子工業的發展,需要面積更小、運行更快的電子元件,該電子電子元件同時能夠支援大量漸增的複雜且精準的功能。因此,在半導體工業中的持續趨勢是製造低成本、高性能且低能耗的積體電路器(ICs)。迄今,在很大程度上已實現了:通過按比例縮小半導體IC尺寸(例如最小特徵尺寸)從而提高了生產效率並且降低了相關成本。然而,這種縮放比例也使得半導體製造工藝增加了其複雜性。因此,實現半導體ICs和電子元件的持續發展,則需要半導體製造工藝的類似改進。 With the development of the electronics industry, there is a need for smaller, faster-running electronic components that can simultaneously support a large number of increasingly complex and precise functions. Therefore, a continuing trend in the semiconductor industry is to manufacture low cost, high performance, and low power integrated circuit devices (ICs). To date, it has been largely achieved that production efficiency is reduced and associated costs are reduced by scaling down semiconductor IC sizes (e.g., minimum feature sizes). However, this scaling also adds complexity to the semiconductor fabrication process. Therefore, to achieve continuous development of semiconductor ICs and electronic components, similar improvements in semiconductor manufacturing processes are required.

近來,引入了多閘電子元件以試圖降低關閉狀態的電流、減少短溝道效應(SCEs)並且通過增加閘-溝道耦合以改進閘控制。所引入的一個這樣的多閘電子元件是鰭場效應電晶體(FinFET)。FinFET得名於其鰭狀結構,該鰭狀結構從其上形成的板材延伸,並且用於形成場效應電晶體(FET)溝道。FinFET與傳統的互補金屬氧化物半導體(CMOS)工藝相容,並且其三維結構在維持閘的控制能力且減輕SCEs的同時,允許其能夠任意地縮放。在傳統工藝中,通過FinFET鰭元件而實現防穿通現象(APT)離子注入,以防止FinFET源極/汲極耗盡區的穿通。然而,通過對FinFET電子元件的鰭而注入摻雜劑離子(例如,用於注入APT的摻雜劑),直接導致在FinFET溝道區 域中形成缺陷並且將雜質帶入FinFET溝道區域中。這種溝道缺陷和雜質可導致載體流經FinFET時發生散射,從而降低了溝道的遷移率並且對電子元件性能產生不良影響。通過FinFET鰭注入摻雜劑也可導致摻雜劑分佈不均勻,並且可引起FinFET電子元件參數變化等其它問題。因此,現有技術充分地表明其在各方面還有待改進。 Recently, multi-gate electronic components have been introduced in an attempt to reduce the current in the off state, reduce short channel effects (SCEs), and improve gate control by increasing gate-to-channel coupling. One such multi-gate electronic component introduced is a fin field effect transistor (FinFET). The FinFET takes its name from its fin structure, which extends from the sheet material formed thereon and is used to form a field effect transistor (FET) channel. FinFETs are compatible with conventional complementary metal oxide semiconductor (CMOS) processes, and their three-dimensional structure allows them to be scaled arbitrarily while maintaining gate control and mitigating SCEs. In conventional processes, anti-punch-through (APT) ion implantation is achieved by FinFET fin elements to prevent punch-through of the FinFET source/drain depletion region. However, by implanting dopant ions (for example, dopants for implanting APT) into the fins of FinFET electronic components, this directly leads to the FinFET channel region. Defects are formed in the domain and impurities are brought into the FinFET channel region. Such channel defects and impurities can cause scattering of the carrier as it flows through the FinFET, thereby reducing channel mobility and adversely affecting electronic component performance. Injecting dopants through the FinFET fins can also result in non-uniform dopant distribution and can cause other problems such as variations in FinFET electronic component parameters. Therefore, the prior art fully demonstrates that it still needs to be improved in various aspects.

100-134‧‧‧方法 100-134‧‧‧ method

200‧‧‧元件 200‧‧‧ components

202‧‧‧基板 202‧‧‧Substrate

202A‧‧‧基板部分 202A‧‧‧Substrate part

204、206‧‧‧抗穿通(APT)區域 204, 206‧‧‧Anti-punch-through (APT) area

208、210‧‧‧圖案化光層 208, 210‧‧‧ patterned light layer

212、214‧‧‧離子佈植工藝 212, 214‧‧‧Ion implantation process

302、304、302A、304A‧‧‧磊晶層 302, 304, 302A, 304A‧‧‧ epitaxial layer

306‧‧‧硬質遮罩(HM)層 306‧‧‧Hard mask (HM) layer

306A‧‧‧HM層部分 306A‧‧‧HM section

308‧‧‧氧化層物 308‧‧‧Oxide

308A‧‧‧氧化物層部分 308A‧‧‧Oxide layer part

310‧‧‧氮化物層 310‧‧‧ nitride layer

310A‧‧‧氮化物層部分 310A‧‧‧ nitride layer part

402‧‧‧鰭 402‧‧‧Fins

404‧‧‧溝道 404‧‧‧Channel

302R‧‧‧殘餘材料部分 302R‧‧‧ Residual material section

302C‧‧‧被氧化層 302C‧‧‧Oxidized layer

304SW‧‧‧側壁 304SW‧‧‧ sidewall

602‧‧‧氧化物層 602‧‧‧Oxide layer

702‧‧‧襯墊層 702‧‧‧ liner

802‧‧‧介電層 802‧‧‧ dielectric layer

902‧‧‧隔離區 902‧‧‧Isolated Area

HFIN、H‧‧‧鰭高度 H FIN , H‧‧‧Fin height

WFIN‧‧‧鰭寬度 W FIN ‧‧‧Fin width

904‧‧‧頂面 904‧‧‧ top surface

402BP‧‧‧水平面 402BP‧‧‧ water level

1102‧‧‧空隙 1102‧‧‧ gap

1302、1406‧‧‧介電層 1302, 1406‧‧‧ dielectric layer

1302A‧‧‧介電區域 1302A‧‧‧Dielectric area

1402‧‧‧閘極堆疊 1402‧‧‧gate stacking

1404‧‧‧側壁墊片 1404‧‧‧ Sidewall gasket

1408‧‧‧電極層 1408‧‧‧electrode layer

1410‧‧‧硬質遮罩 1410‧‧‧hard mask

1412‧‧‧氧化物層 1412‧‧‧Oxide layer

1414‧‧‧氮化物層 1414‧‧‧ nitride layer

1502‧‧‧源極區域 1502‧‧‧ source area

1504‧‧‧汲極區域 1504‧‧‧Bungee area

1602‧‧‧源極結構 1602‧‧‧Source structure

1604‧‧‧汲極結構 1604‧‧‧汲 structure

1702‧‧‧ILD層 1702‧‧‧ILD layer

1704‧‧‧溝道 1704‧‧‧Channel

1802‧‧‧高介電係數/金屬閘極堆疊 1802‧‧‧High dielectric constant/metal gate stack

為協助讀者達到最佳理解效果,建議在閱讀本揭露時同時應閱讀以下具體描述。應理解的是,根據工業中的常規標準,各種特徵并未按比例示出。事實上,為更清楚地論述,各種特徵尺寸可任意地增大或減小。 To assist the reader in achieving the best understanding, it is recommended that you read the following detailed description when reading this disclosure. It should be understood that various features are not shown in the <RTIgt; In fact, for more clarity, various feature sizes can be arbitrarily increased or decreased.

圖1是根據本揭露的一個或多個方面製造FinFET元件或其部分的方法的流程圖;圖2A、3、4A、5A、6A、7A、8、9、10A、11A、12A、13A和14-18是根據圖1所示的方法方面,元件200的實施例的等距視圖;以及圖2B、4B、5B、6B、7B、10B、11B、12B和13B是根據圖1所示的方法方面,對應於上述各自的等距視圖,元件200的實施例的截面圖。 1 is a flow chart of a method of fabricating a FinFET element or portion thereof in accordance with one or more aspects of the present disclosure; FIGS. 2A, 3, 4A, 5A, 6A, 7A, 8, 9, 10A, 11A, 12A, 13A, and 14 -18 is an isometric view of an embodiment of element 200 in accordance with the method aspect illustrated in FIG. 1; and FIGS. 2B, 4B, 5B, 6B, 7B, 10B, 11B, 12B, and 13B are aspects of the method illustrated in FIG. Corresponding to the respective isometric views described above, a cross-sectional view of an embodiment of the component 200.

本說明書提供了數個不同的實施方法或實施例,可用於實現本發明的不同特徵。以下所描述的組件和裝置的具體示例用以簡化本揭露。當然,這些只是示例並且旨在不局限於此。例如,以下所描述的在第二特徵之上或在第二特徵上形成第一特徵,則包括了以直接接觸的方式形成該第一和第二特徵的實施例,並且也包括了在該第一和第二特徵之間形成附加特徵的實施例,而這樣的該第一和第二特徵可以不是直接接觸的。另外,本揭露在不同示例中可重複參考數字 和/或參考字母。該重複的目的在於簡明及清楚,但其本身不決定所描述的實施例和/或構造之間的關係。 This description provides several different implementations or embodiments that can be used to implement various features of the invention. Specific examples of the components and devices described below are used to simplify the disclosure. Of course, these are just examples and are not intended to be limited thereto. For example, forming a first feature on or in a second feature as described below includes an embodiment in which the first and second features are formed in direct contact, and also included in the Embodiments of additional features are formed between the first and second features, and such first and second features may not be in direct contact. In addition, the disclosure may repeat reference numerals in different examples. And / or reference letters. The purpose of this repetition is to be concise and clear, but does not in itself determine the relationship between the described embodiments and/or construction.

此外,空間上的相關術語,諸如“在...的下面”、“在...的下方”、“低於”、“在...的上方”以及“上面”等,此處可用於簡單地描述如圖中所示的一個元件或特徵相對於另一(多個)元件或另一(多個)特徵的關係。該空間上的相關術語旨在包括除了圖中所描述的方向外,還包括在使用或操作中的元件的不同方向。另外,裝置可被定向(旋轉90度或以其它方向定向),並且此處所用的空間上的相關描述符號可同樣作相應地說明。 In addition, spatially related terms such as "below", "below", "below", "above", and "above", etc., are used herein. Briefly, the relationship of one element or feature to another element(s) or another feature(s) is shown. Related terms in this space are intended to include different orientations of the elements in use or operation in addition to the orientation depicted in the figures. Additionally, the device can be oriented (rotated 90 degrees or oriented in other directions), and the spatially related descriptive symbols used herein can be equally illustrated accordingly.

還應注意的是本揭露所呈現的實施例以參照此處所用FinFET元件的多閘電晶體或鰭型多閘電晶體的形式示出。這種元件可包括P型金屬氧化物半導體FinFET元件或N型金屬氧化物半導體FinFET元件。FinFET元件可以是雙閘元件、三閘元件、整體元件、矽上絕緣(SOI)元件和/或其它構造。常規技術之一可理解的是半導體元件的其它示例能夠用於本揭露的其它方面。例如,此處描述的一些實施例也可應用與閘圍繞(GAA)元件、歐米茄閘(Ω閘)元件或Pi閘(II閘)元件。 It should also be noted that the embodiments presented herein are shown in the form of multi-gate transistors or fin-type multi-gate transistors with reference to the FinFET elements used herein. Such an element may include a P-type metal oxide semiconductor FinFET element or an N-type metal oxide semiconductor FinFET element. The FinFET component can be a dual gate component, a triple gate component, a monolithic component, a top-sand insulation (SOI) component, and/or other configurations. One of the conventional techniques is understood to be that other examples of semiconductor components can be used in other aspects of the present disclosure. For example, some embodiments described herein may also be applied to a gate surrounding (GAA) component, an omega gate (Ω gate) component, or a Pi gate (II gate) component.

圖1示出的是製造半導體的方法100,製造半導體包括製造設置在板材上的具有無摻雜劑溝道的鰭。此處所使用的術語“無摻雜劑”材料是用於描述一種具有濃度約0cm-3至約1x1017cm-3的外在摻雜劑的材料(例如,半導體材料)。在一些示例中,此處所用的術語“零摻雜劑”可與具有相似含義的“無摻雜劑”交換使用。另外,在一些實施例中,此處所用的術語“零摻雜劑”和“無摻雜劑”可適用於板材區域、鰭區域或非人為摻雜(例如,通過離子注入工藝、擴散工藝或其它摻雜工藝而形成的非人為摻雜)的其它區域。如下所述,電子元件溝道中摻雜劑的存在可導致載體在有源電子元件中分散,由 此在很大程度上降低了電子元件的性能。如下所述,具有在實質上無摻雜劑的外延生長的零摻雜溝道區域的電子元件,諸如FinFET電子元件,在很大程度上改善了電子元件的性能(例如,增加了電子元件運行中的電流)。此處所用的“摻雜劑”或“外在摻雜劑”用於描述可引入至半導體晶格中的雜質(例如,B、P、As等),從而改變半導體的電學性能。例如,N型雜質可用于一種形成N型材料的半導體,以及P型雜質可用于一種形成P型材料的半導體。應理解的是,該方法100包括了具有互補金屬氧化物半導體(CMOS)的技術工藝流程特徵的步驟,並且因此此處只做簡要描述。其它步驟可在方法100前、後和/或期間實施。 1 illustrates a method 100 of fabricating a semiconductor that includes fabricating a fin having a dopant-free channel disposed on a board. The term "dopant-free" material as used herein is used to describe a material (eg, a semiconductor material) having an extrinsic dopant having a concentration of from about 0 cm" 3 to about 1 x 1017 cm" 3 . In some examples, the term "zero dopant" as used herein may be used interchangeably with "no dopant" having a similar meaning. Additionally, in some embodiments, the terms "zero dopant" and "non-dopant" as used herein may be applied to sheet regions, fin regions, or non-artificial doping (eg, by ion implantation processes, diffusion processes, or Other regions of non-human doping formed by other doping processes. As described below, the presence of a dopant in the channel of the electronic component can cause the carrier to be dispersed in the active electronic component, thereby greatly degrading the performance of the electronic component. As described below, electronic components, such as FinFET electronic components, having a zero-doped channel region that is substantially epitaxially grown without dopants greatly improve the performance of the electronic components (eg, increase the operation of electronic components) Current in). As used herein, "dopant" or "external dopant" is used to describe an impurity (eg, B, P, As, etc.) that can be introduced into a semiconductor crystal lattice, thereby altering the electrical properties of the semiconductor. For example, an N-type impurity can be used for a semiconductor forming an N-type material, and a P-type impurity can be used for a semiconductor forming a P-type material. It should be understood that the method 100 includes the steps of a technical process flow feature having a complementary metal oxide semiconductor (CMOS) and is therefore only briefly described herein. Other steps may be performed before, after, and/or during method 100.

圖2A、3、4A、5A、6A、7A、8、9、10A、11A、12A、13A和14-18是根據圖1所示的方法100的各階段,半導體元件200的實施例的等距視圖。圖2B、4B、5B、6B、7B、10B、11B、12B和13B是根據圖1所示的方法100的各階段,對應於上述各自的等距視圖,半導體元件200的實施例的截面圖。應理解的是半導體元件200可通過CMOS技術工藝製作而成,並且因此一些工藝在此處只作簡要描述。另外,半導體元件200可包括各種其它元件和結構,諸如元件(例如附加電晶體、雙極面結型電晶體、電阻器、電容、電感器、二極體、熔斷器、靜態隨機存取記憶器(SRAM)和/或其它邏輯電路等)的其它類型。但為了更好地理解本揭露而將其簡化。在一些實施例中,半導體元件200包括了可以互連的多個半導體元件(例如,電晶體),其包括PFETs、NFETs等。此外,應理解的是方法100的工藝步驟(包括參照圖2-18所給出的描述)僅是示範性的,並且旨在不局限於下列權利要求中所特別描述的範圍。 2A, 3, 4A, 5A, 6A, 7A, 8, 9, 10A, 11A, 12A, 13A, and 14-18 are isometrics of an embodiment of the method 100 shown in FIG. view. 2B, 4B, 5B, 6B, 7B, 10B, 11B, 12B, and 13B are cross-sectional views of embodiments of semiconductor device 200 in accordance with various stages of method 100 illustrated in FIG. 1, corresponding to respective isometric views. It should be understood that the semiconductor component 200 can be fabricated by a CMOS technology process, and thus some processes are only briefly described herein. Additionally, semiconductor component 200 can include various other components and structures, such as components (eg, additional transistors, bipolar junction transistors, resistors, capacitors, inductors, diodes, fuses, static random access memory) Other types of (SRAM) and/or other logic circuits, etc. However, it is simplified for a better understanding of the disclosure. In some embodiments, semiconductor component 200 includes a plurality of semiconductor components (eg, transistors) that can be interconnected, including PFETs, NFETs, and the like. In addition, it is to be understood that the process steps of the method 100 (including the description given with reference to FIGS. 2-18) are merely exemplary and are not intended to be limited to the scope specifically described in the following claims.

方法100始於方框102,即提供基板。參照圖2中的示例,在方框102的實施例中,提供了基板202。在一些實施例中,基板202 可以是半導體基板,諸如矽基板。基板202可包括各種層,其包括形成在半導體基板上的傳導層和絕緣層。根據設計所需,基板202可包括本領域所熟知的各種摻雜構造。基板202還可包括其它半導體,諸如鍺、碳化矽(SiC)、鍺化矽(SiGe)或金剛石。作為選擇,基板202可包括化合物半導體和/或合金半導體。此外,基板202可任意地包括磊晶層(epi層),其用於應對提高性能,也可包括矽上絕緣(SOI)結構,和/或其它具有適合的精進結構。 The method 100 begins at block 102 by providing a substrate. Referring to the example in FIG. 2, in an embodiment of block 102, a substrate 202 is provided. In some embodiments, substrate 202 It may be a semiconductor substrate such as a germanium substrate. The substrate 202 can include various layers including a conductive layer and an insulating layer formed on the semiconductor substrate. Substrate 202 can include various doping configurations well known in the art, depending on the design requirements. Substrate 202 may also include other semiconductors such as germanium, tantalum carbide (SiC), germanium telluride (SiGe), or diamond. Alternatively, substrate 202 can comprise a compound semiconductor and/or an alloy semiconductor. In addition, the substrate 202 can optionally include an epitaxial layer (epi layer) for coping with improved performance, including on-insulator (SOI) structures, and/or other suitable refinement structures.

方法100然後進入至方框104,即實施APT佈植。參照圖2A和2B中的示例,示出了方框104的實施例。在一些實施例中,實施第一光刻(照片)步驟以圖案化P型抗穿通(anti-punch through,APT)區域204。例如,在一些實施例中,實施第一照片步驟可包括在基板202上形成光阻層(光阻),將光阻暴露至圖案(例如,P型APT佈植遮罩),實施顯影後烘烤工藝,並且發展該光阻以形成圖案化光阻層208。如圖2A所示,在形成圖案化光阻層208之後,在基板202的P型APT區域206中實施離子佈植工藝212,同時N型APT區域204保持由光阻層208遮罩。例如,通過離子佈植工藝212而佈植進入至P型APT區域206中的P型摻雜劑可包括硼、鋁、鎵、銦或其它P型受體材料。在離子佈植工藝212之後,例如,可通過溶劑、光阻剝離液、灰化或其它適合的技術而移除光阻層208。其後,在一些實施例中,實施第二照片步驟,其中第二照片技術可包括在基板202上形成光阻層,將光阻暴露至圖案(例如,N型APT佈植遮罩),實施顯影後烘烤工藝,並且發展該光阻以形成圖案化光阻層210。如圖2B所示,在形成圖案化光阻層210之後,在基板202的N型APT區域204中實施離子佈植工藝214,同時P型APT區域206保持由光阻層210遮罩。例如,通過離子佈植工藝214而佈植進入至N型APT區域204中的N型摻雜劑可包括砷、磷、銻或其它N型施體材料。在離子佈植工藝214之後,例如,可通過溶劑、光阻剝離液、灰化 或其它適合的技術而移除光阻層210。應理解的是,第一和第二照片步驟可以任意順序實施,例如,N型APT區域204可在P型APT區域206之前佈植。此外,在各種實施例中,APT佈置可具有高摻雜劑濃度,例如,在約1x1018cm-3和1x1019cm-3之間。如下所述,由於在APT佈植基板上存在後續形成的介電層,其用於防止摻雜劑擴散,所以這種高APT摻雜劑濃度可被更好地利用。 The method 100 then proceeds to block 104 where the APT deployment is performed. Referring to the examples in Figures 2A and 2B, an embodiment of block 104 is shown. In some embodiments, a first photolithography (photograph) step is performed to pattern a P-type anti-punch through (APT) region 204. For example, in some embodiments, performing the first photo step may include forming a photoresist layer (resistance) on the substrate 202, exposing the photoresist to a pattern (eg, a P-type APT implant mask), performing post-development bake The baking process is performed and the photoresist is developed to form a patterned photoresist layer 208. As shown in FIG. 2A, after forming the patterned photoresist layer 208, an ion implantation process 212 is performed in the P-type APT region 206 of the substrate 202 while the N-type APT region 204 remains covered by the photoresist layer 208. For example, the P-type dopant implanted into the P-type APT region 206 by the ion implantation process 212 can include boron, aluminum, gallium, indium, or other P-type acceptor materials. After the ion implantation process 212, the photoresist layer 208 can be removed, for example, by solvent, photoresist stripping, ashing, or other suitable technique. Thereafter, in some embodiments, a second photo step is performed, wherein the second photo technique can include forming a photoresist layer on the substrate 202, exposing the photoresist to a pattern (eg, an N-type APT implant mask), implementing A post-development baking process is performed and the photoresist is developed to form a patterned photoresist layer 210. As shown in FIG. 2B, after forming the patterned photoresist layer 210, an ion implantation process 214 is performed in the N-type APT region 204 of the substrate 202 while the P-type APT region 206 remains covered by the photoresist layer 210. For example, the N-type dopant implanted into the N-type APT region 204 by the ion implantation process 214 can include arsenic, phosphorus, antimony or other N-type donor materials. After the ion implantation process 214, the photoresist layer 210 can be removed, for example, by solvent, photoresist stripping, ashing, or other suitable technique. It should be understood that the first and second photo steps can be performed in any order, for example, the N-type APT region 204 can be implanted prior to the P-type APT region 206. Moreover, in various embodiments, the APT arrangement can have a high dopant concentration, for example, between about 1 x 10 18 cm -3 and 1 x 10 19 cm -3 . As described below, such a high APT dopant concentration can be better utilized due to the presence of a subsequently formed dielectric layer on the APT implant substrate that is used to prevent dopant diffusion.

在形成FinFET鰭結構之前實施APT佈植工藝212、214,可避免FinFET鰭損壞和元件劣化。例如,以下將描述,在現有的半導體工藝流程中,通過FinFET鰭元件而實施離子佈植工藝(例如,APT離子佈植工藝),這可造成鰭元件損壞,包括對FinFET溝道區域造成損壞,其可導致載體散射並且因此而降低元件性能。儘管高溫退火可用於試圖移除這種缺陷(也用於摻雜劑活化),但卻不能移除由於離子佈植而造成的所有缺陷,並且基板(或鰭元件)可因此而不能回復至其離子佈植之前的狀態。此外,通過FinFET鰭元件的摻雜劑佈植可造成不均勻的摻雜輪廓,其包括分佈在FinFET溝道區域內的摻雜劑。本領域所熟知的是,在元件溝道中增加摻雜濃度可由於離子佈植濺射而導致增加元件的移動性。 Implementing the APT implant process 212, 214 prior to forming the FinFET fin structure can avoid FinFET fin damage and component degradation. For example, as will be described below, in an existing semiconductor process flow, an ion implantation process (eg, an APT ion implantation process) is performed by a FinFET fin element, which may cause damage to the fin element, including damage to the FinFET channel region, It can lead to carrier scattering and thus to reduced component performance. Although high temperature annealing can be used to attempt to remove such defects (also used for dopant activation), it does not remove all defects due to ion implantation, and the substrate (or fin element) can therefore not be restored to it. The state before ion implantation. Furthermore, dopant implantation by FinFET fin elements can result in a non-uniform doping profile that includes dopants distributed within the FinFET channel region. It is well known in the art that increasing the doping concentration in the channel of the element can increase the mobility of the element due to ion implantation sputtering.

本揭露的實施例在現有技術的基礎上提供了有益效果,但應理解的是,其它實施例可提供不同的有益效果,並且此處所描述的有益效果並不都是必要的,而且沒有特殊的有益效果能用於所有的實施例。例如,此處所描述的實施例包括用於防止半導體元件劣化的方法和結構,該劣化由於離子佈植工藝(諸如APT離子佈植工藝),包括形成缺陷和引入溝道雜質而產生。在一些實施例中,在形成FinFET鰭元件之前(如下所述)佈植N型APT區域204和/或P型APT區域206(如上所述)。因此,避免了APT離子佈植發生劣化。在一些實施例中,如下所述,在APT佈植基板上形成磊晶生長的零摻雜劑溝道層。此外, 在各種實施例中,磊晶生長的零摻雜劑溝道層通過氧化層與APT佈植基板分離,其用於防止APT摻雜劑擴散。由於氧化阻礙層的這種有益效果,APT佈植可具有高摻雜劑濃度,例如,在約1x1018cm-3和1x1019cm-3之間。在一些實施例中,由於磊晶生長的零摻雜劑溝道層基本上沒有摻雜劑,所以減輕了載體溝道濺射,並且改進了元件的移動性和驅動電流。在各種實施例中,零摻雜劑溝道層(和有源元件溝道)具有小於1x1017cm-3的摻雜劑濃度。在一些工藝(包括將氧化的SiGe層用於防止擴散)中,SiGe層可以不被完全氧化,其造成Ge殘餘而不利於元件的性能。因此,如下所述,本揭露的實施例進一步提供了用於完全氧化SiGe層的方法,同時也提供了在不損壞FinFET高度或寬度的情況下減少和/或消除如上Ge殘餘的方法。另外,應注意的是此處所描述的方法和結構可用於NFET或PFET元件。此外,雖然此處所描述的主要針對於FinFET元件,但本揭露中所涉及的本領域常規技術之一應知曉:此處所描述的方法和結構在不背離本揭露範圍的情況下可同樣應用於元件的其它類型。另外,在閱讀本揭露的同時,本領域技術人員可容易地理解其它實施例和有益效果。 The embodiments of the present disclosure provide benefits on the basis of the prior art, but it should be understood that other embodiments may provide different benefits, and the benefits described herein are not all necessary, and there is no special Advantageous effects can be applied to all embodiments. For example, the embodiments described herein include methods and structures for preventing degradation of semiconductor components resulting from ion implantation processes, such as APT ion implantation processes, including formation of defects and introduction of channel impurities. In some embodiments, the N-type APT region 204 and/or the P-type APT region 206 (as described above) are implanted prior to forming the FinFET fin elements (as described below). Therefore, deterioration of APT ion implantation is avoided. In some embodiments, an epitaxially grown zero dopant channel layer is formed on the APT implant substrate as described below. Moreover, in various embodiments, the epitaxially grown zero dopant channel layer is separated from the APT implant substrate by an oxide layer that serves to prevent diffusion of the APT dopant. Due to this beneficial effect of the oxidative barrier layer, the APT implant can have a high dopant concentration, for example between about 1 x 10 18 cm -3 and 1 x 10 19 cm -3 . In some embodiments, since the epitaxially grown zero dopant channel layer is substantially free of dopants, carrier channel sputtering is mitigated and the mobility and drive current of the components are improved. In various embodiments, the zero dopant channel layer (and active element channel) has a dopant concentration of less than 1 x 10 17 cm -3 . In some processes, including the use of an oxidized SiGe layer to prevent diffusion, the SiGe layer may not be completely oxidized, which causes Ge residue to be detrimental to the performance of the component. Thus, as described below, embodiments of the present disclosure further provide a method for fully oxidizing a SiGe layer while also providing a method of reducing and/or eliminating the above Ge residue without damaging the height or width of the FinFET. Additionally, it should be noted that the methods and structures described herein can be used with NFET or PFET components. In addition, although the description herein is primarily directed to FinFET components, one of ordinary skill in the art to which the present disclosure pertains is to be understood that the methods and structures described herein can be equally applied to components without departing from the scope of the present disclosure. Other types. In addition, other embodiments and advantageous effects will be readily apparent to those skilled in the art in view of this disclosure.

參照圖1,方法100然後進入至方框106,即生長一個或多個磊晶層。同時參照圖3中的示例,在方框106的實施例中,磊晶層302形成在APT佈植基板202上,並且磊晶層304形成在磊晶層302上。在一些實施例中,磊晶層302具有範圍在約2-10nm的厚度。在一些實施例中,磊晶層304具有範圍在約30-60nm的厚度。例如,層302、304的磊晶生長可通過分子束磊晶(MBE)工藝、金屬有機化學蒸鍍(MOCVD)工藝和/或其它適合的磊晶生長工藝實施。在一些實施例中,磊晶生長層302、304具有與基板202相同的材料。在一些實施例中,磊晶生長層302、304具有不同於基板202的材料。在至少一些示例中,磊晶層302包括磊晶生長的鍺化矽(SiGe)層,並且磊晶層304包括磊晶生長的矽 (Si)層。作為選擇,在一些實施例中,磊晶層302、304任意一個可包括(諸如鍺)的其它材料;(諸如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦)的化合物半導體;(諸如SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP和/或GaInAsP)的合金半導體,或其組合。在各種實施例中,磊晶層302、304基本上為無摻雜劑(即,具有約從0cm-3至1x1017cm-3的外在摻雜劑濃度),其中例如,在磊晶生長工藝中沒有實施故意摻雜步驟。如下更詳細的描述,磊晶生長的零摻雜劑磊晶層304可用作後續形成FinFET元件的溝道區域。因此,通過FinFET溝道的載體散射對於FinFET元件(包括此處所描述的實質上為無摻雜劑的磊晶生長的零摻雜劑磊晶層304)而言可大幅度地減少。 Referring to Figure 1, method 100 then proceeds to block 106 by growing one or more epitaxial layers. Referring also to the example of FIG. 3, in the embodiment of block 106, an epitaxial layer 302 is formed on the APT implant substrate 202, and an epitaxial layer 304 is formed over the epitaxial layer 302. In some embodiments, epitaxial layer 302 has a thickness ranging from about 2-10 nm. In some embodiments, the epitaxial layer 304 has a thickness ranging from about 30-60 nm. For example, epitaxial growth of layers 302, 304 can be performed by a molecular beam epitaxy (MBE) process, a metal organic chemical vapor deposition (MOCVD) process, and/or other suitable epitaxial growth processes. In some embodiments, the epitaxially grown layers 302, 304 have the same material as the substrate 202. In some embodiments, the epitaxially grown layers 302, 304 have a different material than the substrate 202. In at least some examples, epitaxial layer 302 includes an epitaxially grown germanium telluride (SiGe) layer, and epitaxial layer 304 includes an epitaxially grown germanium (Si) layer. Alternatively, in some embodiments, any of the epitaxial layers 302, 304 may include other materials such as germanium; (such as tantalum carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or A compound semiconductor of indium telluride; an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP, and/or GaInAsP, or a combination thereof. In various embodiments, the epitaxial layers 302, 304 are substantially non-dopant (ie, have an extrinsic dopant concentration of from about 0 cm -3 to 1 x 10 17 cm -3 ), wherein, for example, in epitaxial growth No intentional doping steps were performed in the process. As described in more detail below, epitaxially grown zero dopant epitaxial layer 304 can be used as a channel region for subsequent formation of FinFET elements. Thus, carrier scattering through the FinFET channel can be substantially reduced for FinFET components, including the substantially dopant-free epitaxially grown zero dopant epitaxial layer 304 described herein.

在各種實施例中,磊晶層302具有第一氧化速率,並且磊晶層304具有低於第一磊晶速率的第二磊晶速率。例如,在(磊晶層302包括SiGe並且磊晶層304包括Si)的實施例中,磊晶層304中Si的氧化速率低於磊晶層302中SiGe的氧化速率。在後續氧化工藝期間(在形成FinFET鰭元件之後),如下所述,鰭元件包括磊晶層302的部分可完全被氧化,同時只有鰭元件包括磊晶層304的側壁部分可被氧化。在一些實施例中,例如,鰭元件中磊晶層302的完全被氧化的部分用於在APT摻雜劑佈植進入基板202之前防止APT摻雜劑擴散,從而使APT摻雜劑將不會擴散至後續形成的FinFET溝道中。同樣,在一些實施例中,鰭元件中磊晶層304的被氧化的側壁用於在形成FinFET溝道的同時微調整鰭元件的形狀。 In various embodiments, the epitaxial layer 302 has a first rate of oxidation, and the epitaxial layer 304 has a second rate of epitaxy that is lower than the first rate of epitaxy. For example, in an embodiment (the epitaxial layer 302 includes SiGe and the epitaxial layer 304 includes Si), the rate of oxidation of Si in the epitaxial layer 304 is lower than the rate of oxidation of SiGe in the epitaxial layer 302. During the subsequent oxidation process (after formation of the FinFET fin elements), as described below, portions of the fin elements including the epitaxial layer 302 may be completely oxidized while only the sidewall portions of the fin elements including the epitaxial layer 304 may be oxidized. In some embodiments, for example, the fully oxidized portion of the epitaxial layer 302 in the fin element is used to prevent diffusion of the APT dopant prior to implantation of the APT dopant into the substrate 202 such that the APT dopant will not Diffusion into the subsequently formed FinFET channel. Also, in some embodiments, the oxidized sidewalls of the epitaxial layer 304 in the fin element are used to fine tune the shape of the fin element while forming the FinFET channel.

同樣在圖3所示的示例中,硬質遮罩(HM)層306可形成在磊晶層304上。在一些實施例中,HM層306包括氧化物層308(例如,可包括SiO2的墊片氧化物層)和形成在氧化層物308上的氮化物層310(例如,可包括Si3N4的墊片氮化物層)。在一些示例中,氧化層308可包括熱生長的氧化物、CVD沉積的氧化物和/或ALD沉積的氧化物, 氮化物層310可包括由CVD或其它適合的技術沉積而成的氮化物層。例如,氧化物層308可具有在約5nm和約40nm之間的厚度。在一些實施例中,氮化物層310可具有在約20nm和約160nm之間的厚度。 Also in the example shown in FIG. 3, a hard mask (HM) layer 306 can be formed on the epitaxial layer 304. In some embodiments, HM layer 306 includes an oxide layer 308 (eg, a pad oxide layer that can include SiO 2 ) and a nitride layer 310 formed over oxide layer 308 (eg, can include Si 3 N 4 Pad nitride layer). In some examples, oxide layer 308 can include thermally grown oxide, CVD deposited oxide, and/or ALD deposited oxide, and nitride layer 310 can include a nitride layer deposited by CVD or other suitable technique. . For example, oxide layer 308 can have a thickness between about 5 nm and about 40 nm. In some embodiments, the nitride layer 310 can have a thickness between about 20 nm and about 160 nm.

方法100然後進入至方框108,即形成用於後續FinFET形成的鰭元件。參照圖4A和4B中的示例,在方框108的實施例中,形成從基板202延伸的多個鰭元件402。在各種實施例中,鰭元件402中的每一個包括從基板202處形成的基板部分202A、從磊晶層302處形成的第一磊晶層302A、從磊晶層304處形成的第二磊晶層304A以及從HM層處形成的HM層部分306A(包括氧化物層部分308A和氮化物層部分310A)。 The method 100 then proceeds to block 108 to form a fin element for subsequent FinFET formation. Referring to the examples in FIGS. 4A and 4B, in the embodiment of block 108, a plurality of fin elements 402 extending from the substrate 202 are formed. In various embodiments, each of the fin elements 402 includes a substrate portion 202A formed from the substrate 202, a first epitaxial layer 302A formed from the epitaxial layer 302, and a second Lei formed from the epitaxial layer 304. The crystal layer 304A and the HM layer portion 306A (including the oxide layer portion 308A and the nitride layer portion 310A) formed from the HM layer.

與基板202一樣,鰭402可包括矽或另一元素(諸如鍺)半導體;(諸如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦)的化合物半導體;(諸如SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP和/或GaInAsP)的合金半導體,或其組合。鰭402可採用核實的工藝(包括光刻和蝕刻工藝)製作而成。光刻工藝可包括在基板202上(例如,在圖3中的HM層306上)形成光阻層,將光阻暴露至圖案,實施顯影後烘烤工藝,並且發展該光阻以形成具有光阻的遮罩元件。在一些實施例中,圖案化該光阻以形成遮罩元件可採用電子束(e-束)光刻技術而實施。然後,遮罩元件可用於保護基板202的區域和由此而形成的層,同時,在未保護的區域中用蝕刻工藝形成穿過HM層306、穿過磊晶層302、304並且進入至基板202的溝道404,由此而留下多個延伸的鰭402。溝道402可採用干蝕刻(例如,反應離子蝕刻)、濕蝕刻和/或其它適合的工藝蝕刻而成。也可採用形成該鰭方法的許多其它實施例。如下更詳細地描述,在一些實施例中,第二磊晶層部分304A可用作FinFET元件溝道。另外,由於第二磊晶層部分304A是零摻雜劑的,並且如下所述在元件製造的過程中一直保持零摻雜劑,所以FinFET 溝道區域因此而基本上保持了無摻雜劑。因此,根據本揭露的實施例,減輕了FinFET載體溝道散射,並且改進了元件的移動性和驅動電流。 Like the substrate 202, the fins 402 may include germanium or another element (such as germanium) semiconductor; compound semiconductors such as tantalum carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide An alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP, and/or GaInAsP, or a combination thereof. The fins 402 can be fabricated using a verified process including photolithography and etching processes. The lithography process can include forming a photoresist layer on the substrate 202 (eg, on the HM layer 306 in FIG. 3), exposing the photoresist to the pattern, performing a post-development bake process, and developing the photoresist to form light having Resistive mask element. In some embodiments, patterning the photoresist to form a masking element can be implemented using electron beam (e-beam) lithography. The masking element can then be used to protect the area of the substrate 202 and the layer formed thereby, while forming an etch process through the HM layer 306, through the epitaxial layers 302, 304, and into the substrate in an unprotected area. Channel 404 of 202, thereby leaving a plurality of extended fins 402. Channel 402 can be etched using dry etching (eg, reactive ion etching), wet etching, and/or other suitable processes. Many other embodiments of the method of forming the fins can also be employed. As described in more detail below, in some embodiments, the second epitaxial layer portion 304A can be used as a FinFET element channel. In addition, since the second epitaxial layer portion 304A is a zero dopant, and the dopant is always maintained during the fabrication of the device as described below, the FinFET The channel region thus essentially remains free of dopants. Thus, in accordance with embodiments of the present disclosure, FinFET carrier channel scattering is mitigated and component mobility and drive current are improved.

如圖4A和4B所示,鰭402的側壁,特別是第二磊晶層部分304A,基本上是垂直的。在各種實施例中,這種垂直的鰭輪廓改進了FinFET的元件性能。在某些情況下,形成鰭402,最初可使鰭402具有楔形形狀。然而,在一些實施例中,如下所述,可採用後續實施的氧化工藝以調整鰭402的輪廓並且由此而形成垂直的側壁。 As shown in Figures 4A and 4B, the sidewalls of the fins 402, particularly the second epitaxial layer portion 304A, are substantially vertical. In various embodiments, this vertical fin profile improves the component performance of the FinFET. In some cases, the fins 402 are formed, initially allowing the fins 402 to have a wedge shape. However, in some embodiments, as described below, a subsequent implementation of the oxidation process can be employed to adjust the profile of the fins 402 and thereby form vertical sidewalls.

方法100然後進入至方框110,即實施修整工藝。參照圖4A/5A中的示例,在方框110的實施例中,修整第一磊晶層部分302A以形成修整後的磊晶層部分302B。在各種實施例中,如下所述。形成修整後的磊晶層部分302B確保在後續的氧化工藝期間磊晶層部分302B能夠完全地被氧化。例如,在磊晶層302包括SiGe的實施例中,修整後的磊晶層部分302B也同樣可包括SiGe。因此,在後續的氧化工藝期間,該SiGe修整後的磊晶層部分302B將完全地被氧化。在一些實施例中,用於形成修整後的磊晶層部分302B的修整工藝包括諸如濕蝕刻工藝的蝕刻工藝。例如,用於修整工藝的蝕刻劑可包括硫酸(H2SO4)和過氧化氫(H2O2)的混合物(稱為過氧化硫混合物(SPM))、氫氧化銨(NH4OH)、H2O2和水(H2O)的混合物(稱為過氧化銨混合物(APM))、NH4OH和H2O2的混合物、H2O2和/或其它本領域熟知的蝕刻劑。作為選擇,在一些實施例中,修整工藝可包括干蝕刻工藝或干/濕蝕刻工藝的組合。 The method 100 then proceeds to block 110 where a trimming process is performed. Referring to the example in FIGS. 4A/5A, in an embodiment of block 110, the first epitaxial layer portion 302A is trimmed to form a trimmed epitaxial layer portion 302B. In various embodiments, as described below. Forming the trimmed epitaxial layer portion 302B ensures that the epitaxial layer portion 302B can be completely oxidized during the subsequent oxidation process. For example, in embodiments where epitaxial layer 302 includes SiGe, trimmed epitaxial layer portion 302B may also include SiGe. Therefore, during the subsequent oxidation process, the SiGe trimmed epitaxial layer portion 302B will be completely oxidized. In some embodiments, the trimming process used to form the trimped epitaxial layer portion 302B includes an etch process such as a wet etch process. For example, the etchant used in the trimming process may include a mixture of sulfuric acid (H 2 SO 4 ) and hydrogen peroxide (H 2 O 2 ) (referred to as a mixture of sulfur peroxide (SPM)), ammonium hydroxide (NH 4 OH). a mixture of H 2 O 2 and water (H 2 O) (referred to as ammonium percarbonate mixture (APM)), a mixture of NH 4 OH and H 2 O 2 , H 2 O 2 and/or other etching well known in the art. Agent. Alternatively, in some embodiments, the trim process may include a dry etch process or a dry/wet etch process combination.

方法100然後進入至方框112,,即實施氧化工藝。參照圖5A/6A和5B/6B中的示例,在方框112的實施例中,元件200暴露至氧化工藝,該工藝完全氧化多個鰭元件402中的每一個的修整後的磊晶層部分302,從而形成被氧化層302C。在一些實施例中,被氧化層302C(例如,可包括SiGe氧化層)具有範圍在約5-20nm的厚度。在各種實 施例中,氧化工藝也可在一個或多個基板202、基板部分202A、第二磊晶層部分304A和HM層部分306A上形成氧化物層602。在一些示例中,氧化工藝可實施為將原件200暴露至濕氧化工藝、干氧化工藝或其組合。在至少一些實施例中,元件200在壓強約1ATM、溫度範圍在約400-600℃,並且時間在約0.5-2小時的情況下,採用水蒸氣或水流作為氧化劑而使其暴露至濕氧化工藝。應理解的是此處所提供的氧化工藝環境只是示範性的,並且旨在不局限於此。如圖6A/6B所示,氧化工藝也同時氧化第二磊晶層部分304A的側壁304SW(例如,而不是氧化第二磊晶層部分304A的全部)。在一些實施例中,提供側壁304SW的氧化可調整鰭402的輪廓,例如,減小和/或調整鰭402之前形成的楔形輪廓(例如,在方框108處形成鰭元件之後)。 The method 100 then proceeds to block 112 where the oxidation process is performed. Referring to the examples in FIGS. 5A/6A and 5B/6B, in an embodiment of block 112, element 200 is exposed to an oxidation process that completely oxidizes the trimmed epitaxial layer portion of each of the plurality of fin elements 402 302, thereby forming an oxide layer 302C. In some embodiments, the oxide layer 302C (eg, can include a SiGe oxide layer) has a thickness ranging from about 5-20 nm. In various realities In an embodiment, the oxidation process may also form an oxide layer 602 on one or more of the substrate 202, the substrate portion 202A, the second epitaxial layer portion 304A, and the HM layer portion 306A. In some examples, the oxidation process can be implemented to expose the original 200 to a wet oxidation process, a dry oxidation process, or a combination thereof. In at least some embodiments, element 200 is exposed to a wet oxidation process using a vapor or water stream as an oxidant at a pressure of about 1 ATM, a temperature range of about 400-600 ° C, and a time of about 0.5-2 hours. . It should be understood that the oxidation process environment provided herein is merely exemplary and is not intended to be limited thereto. As shown in Figures 6A/6B, the oxidation process also simultaneously oxidizes sidewalls 304SW of second epitaxial layer portion 304A (e.g., instead of oxidizing all of second epitaxial layer portion 304A). In some embodiments, the contour of the oxidized adjustable fin 402 of the sidewall 304SW is provided, for example, to reduce and/or adjust the wedge profile formed prior to the fin 402 (eg, after forming the fin element at block 108).

如上所述,在一些實施例中,第一磊晶層部分302A(和修整後的磊晶層部分302B)可包括具有第一氧化速率的材料,並且第二磊晶層部分304A可包括具有第二氧化速率的材料,其中第二氧化速率低於第一氧化速率。例如,在(第一磊晶層部分302A(和修整後的磊晶層部分302B)包括SiGe,並且第二磊晶層部分304A包括Si)的實施例中,較高的SiGe氧化速率(即,與Si相比)確保SiGe層(即,修整後的磊晶層部分302B)能夠完全被氧化,同時,只有Si層的側壁部分(即,第二磊晶層部分304A)被氧化。應理解的是,上述多個材料中的任意一種均可選作用於第一和第二磊晶層部分302A和304A,只要第二磊晶層部分304的氧化速率低於低於第一磊晶層部分302A的氧化速率(並且低於修整後的磊晶層部分302B的氧化速率)。以這種方式,鰭元件402中每一個的完全被氧化層302C用於防止APT摻雜劑在佈植進入基板202之前擴散,同時,呈現出基板部分202A直接低於被氧化層302C。因此,在各種實施例中,被氧化層302C用於防止基板部分202A中的APT摻雜劑擴散進入至第二磊晶層部分304A中,同時用作後續形 成FinFET元件的溝道區域。此外,在一些實施例中,通過調整在第二磊晶層部分304A的側壁304SW上的氧化,鰭402的輪廓也可被調整。本領域技術人員還應理解的是,根據所希望的給出元件的設計、工藝技術或其它工藝條件,可以選擇氧化工藝環境從而使鰭402調整為任意多個輪廓。 As noted above, in some embodiments, the first epitaxial layer portion 302A (and the trimmed epitaxial layer portion 302B) can include a material having a first oxidation rate, and the second epitaxial layer portion 304A can include a first A material having a rate of oxidation wherein the second rate of oxidation is lower than the rate of first oxidation. For example, in an embodiment where (the first epitaxial layer portion 302A (and the trimmed epitaxial layer portion 302B) comprises SiGe and the second epitaxial layer portion 304A includes Si), a higher SiGe oxidation rate (ie, Compared with Si) it is ensured that the SiGe layer (i.e., the trimmed epitaxial layer portion 302B) can be completely oxidized, while only the sidewall portion of the Si layer (i.e., the second epitaxial layer portion 304A) is oxidized. It should be understood that any of the above plurality of materials may optionally act on the first and second epitaxial layer portions 302A and 304A as long as the oxidation rate of the second epitaxial layer portion 304 is lower than the first epitaxial layer. The oxidation rate of layer portion 302A (and lower than the rate of oxidation of trimmed epitaxial layer portion 302B). In this manner, the fully oxidized layer 302C of each of the fin elements 402 serves to prevent the APT dopant from diffusing prior to implantation into the substrate 202, while exhibiting that the substrate portion 202A is directly below the oxide layer 302C. Thus, in various embodiments, the oxide layer 302C is used to prevent diffusion of APT dopants in the substrate portion 202A into the second epitaxial layer portion 304A while serving as a subsequent shape. The channel region of the FinFET element. Moreover, in some embodiments, the profile of the fins 402 can also be adjusted by adjusting oxidation on the sidewalls 304SW of the second epitaxial layer portion 304A. It will also be understood by those skilled in the art that the oxidation process environment can be selected to tailor the fins 402 to any number of profiles, depending on the desired design of the component, process technology, or other process conditions.

返回至對氧化修整後的磊晶層部分302B的描述,其中修整後的磊晶層部分302包括SiGe,應理解的是,再給出的SiGe層中,相比較Si而言Ge的氧化相對更複雜。因此,如上所述,在氧化工藝期間,修整後的磊晶層部分302B中的部分材料(例如,Ge)可擴散進入至第二磊晶層部分304A和基板部分202A中的一個或二者中,從而形成殘餘材料部分302R。在各種實施例中,殘餘材料部分302R包括非氧化的Ge殘餘和/或僅部分被氧化的Ge。在各種示例中,對於後續製造FinFET元件而言,殘餘材料部分302R中的這種殘餘Ge(以及第二磊晶層部分304A中殘餘材料部分302R中個別殘餘的Ge)呈現出可靠度問題。因此,所希望的是移除殘餘材料部分302R中殘餘的Ge,尤其是對於第二磊晶層部分304A而言,因為第二磊晶層部分304A將用作後續製造元件的元件溝道。因此,如下所述,在不損壞鰭402高度和/或鰭402寬度的情況下,本揭露的實施例提供了移除這種Ge殘餘的方法,同時也用於改進FinFET元件的性能。 Returning to the description of the oxidized trimmed epitaxial layer portion 302B, wherein the trimmed epitaxial layer portion 302 comprises SiGe, it is understood that in the further SiGe layer, Ge is relatively more oxidized than Si. complex. Therefore, as described above, a portion of the material (for example, Ge) in the trimmed layer portion 302B may be diffused into one or both of the second epitaxial layer portion 304A and the substrate portion 202A during the oxidation process. Thereby, a residual material portion 302R is formed. In various embodiments, the residual material portion 302R includes non-oxidized Ge residues and/or Ge that is only partially oxidized. In various examples, such residual Ge in the residual material portion 302R (and individual residual Ge in the residual material portion 302R in the second epitaxial layer portion 304A) presents reliability issues for subsequent fabrication of the FinFET element. Therefore, it is desirable to remove the residual Ge in the residual material portion 302R, especially for the second epitaxial layer portion 304A, since the second epitaxial layer portion 304A will serve as the element channel for subsequent fabrication of the component. Thus, as described below, embodiments of the present disclosure provide a method of removing such Ge residues without damaging the fin 402 height and/or fin 402 width, while also serving to improve the performance of the FinFET elements.

方法100然後進入至方框114,即實施氧化物蝕刻工藝。在方框114的實施例中,元件200可暴露至蝕刻工藝,該蝕刻工藝用於從基板202、基板部分202A、第二磊晶層部分304A(例如,側壁304SW)和HM層部分306A之一或更多中移除氧化物層602。在一些實施例中,蝕刻工藝也可移除被氧化層302C的一部分。在一些實施例中,氧化物蝕刻工藝包括濕蝕刻工藝,其中用於濕蝕刻的蝕刻劑可包括氫氟酸(HF)(例如,HF重量占H2O重量的49%)和去離子(DI)H2O的稀 釋混合物,其中HF:H2O之比約為1:50、約為1:100或其它適合的比例。作為選擇,在一些實施例中,蝕刻工藝可包括干蝕刻工藝或干/濕蝕刻工藝的組合。 The method 100 then proceeds to block 114 where an oxide etch process is performed. In an embodiment of block 114, element 200 can be exposed to an etch process for one of substrate 202, substrate portion 202A, second epitaxial layer portion 304A (eg, sidewall 304SW), and HM layer portion 306A. The oxide layer 602 is removed in more or more. In some embodiments, the etching process can also remove a portion of the oxide layer 302C. In some embodiments, the oxide etch process includes a wet etch process, wherein the etchant for wet etching can include hydrofluoric acid (HF) (eg, HF weights up to 49% by weight of H 2 O) and deionization (DI) a dilute mixture of H 2 O wherein the ratio of HF:H 2 O is about 1:50, about 1:100 or other suitable ratio. Alternatively, in some embodiments, the etching process may include a dry etching process or a combination of dry/wet etching processes.

方法100然後進入至方框116,即沉積襯墊層并使其退火。參照圖6A/7A和6B/7B中的示例,在通過方框114中的氧化蝕刻工藝以及方框116中的一個實施例而移除氧化物層602之後,襯墊層702然後可沉積在元件200上並且進入至溝道404中。在一些實施例中,襯墊層702包括由CVD或其它適合技術沉積而成的氮化矽。在一些實施例中,襯墊層702可包括另一材料,諸如氮氧化矽、氧化鋁(Al2O3)、氮化鋁(AlN)、氮氧化鋁(AlON)和/或本領域熟知的其它適合的材料。在各種實施例中,選擇用於襯墊層702的材料包括通過濕蝕刻工藝能夠容易移除的材料。在一些示例中,選擇用於襯墊層702的材料包括具有阻止氧化能力(例如,在退火工藝期間阻止退火)的材料。在一些實施例中,由於氧化物層602在方框114中被完全地移除,所以沉積後的襯墊層702可至少直接與第二磊晶層部分304A、被氧化層302C和基板部分202A接觸,如圖7A/7B所示。例如,襯墊層702可具有在約3nm和約8nm之間的厚度。在一些實施例中,在形成襯墊層702之後,元件200可經受退火工藝以從其移除缺陷並且改進襯墊層702的質量。例如,在一些實施例中,可在溫度約750℃-1050℃,時間約30s-30min的情況下退火襯墊層702。在各種實施例中,可在壓強約1ATM的情況下退火襯墊層,並且在一些情況下,在氮氣(N2)環境下實施。 The method 100 then proceeds to block 116 where the liner layer is deposited and annealed. Referring to the examples in FIGS. 6A/7A and 6B/7B, after the oxide layer 602 is removed by the oxidative etch process in block 114 and one of the blocks 116, the pad layer 702 can then be deposited on the device. 200 and enters into channel 404. In some embodiments, the liner layer 702 comprises tantalum nitride deposited by CVD or other suitable technique. In some embodiments, the liner layer 702 can include another material such as hafnium oxynitride, aluminum oxide (Al 2 O 3 ), aluminum nitride (AlN), aluminum oxynitride (AlON), and/or well known in the art. Other suitable materials. In various embodiments, the material selected for the liner layer 702 includes materials that can be easily removed by a wet etch process. In some examples, the material selected for the liner layer 702 includes a material that has the ability to resist oxidation (eg, to prevent annealing during the annealing process). In some embodiments, since the oxide layer 602 is completely removed in block 114, the deposited liner layer 702 can be at least directly with the second epitaxial layer portion 304A, the oxidized layer 302C, and the substrate portion 202A. Contact is shown in Figure 7A/7B. For example, the liner layer 702 can have a thickness between about 3 nm and about 8 nm. In some embodiments, after forming the liner layer 702, the component 200 can be subjected to an annealing process to remove defects therefrom and improve the quality of the liner layer 702. For example, in some embodiments, the liner layer 702 can be annealed at a temperature of about 750 ° C to 1050 ° C for a time of about 30 s to 30 min. In various embodiments, the liner layer can be annealed at a pressure of about 1 ATM and, in some cases, under a nitrogen (N 2 ) environment.

方法100然後進入至方框118,即形成隔離區。參照圖7A/7B、8和9中的示例,在方框118的實施例中,形成多個隔離區902(圖9)。在一些實施例中,多個隔離區902可包括多個淺溝道隔離(STI)結構。例如,在一些實施例中,介電層802(圖8)首先沉積在基板202上,用介電層802填充溝道404。在一些實施例中,介電層802可包括 SiO2、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(FSG)、低介電材料、其組合和/或本領域熟知的其它適合的材料。在各種示例中,介電層802可通過CVD工藝、低氣壓CVD(SACVD)工藝、可流動CVD工藝、ALD工藝、PVD工藝或其它適合的工藝沉積而成。在一些實施例中,在沉積介電層802之後,退火元件200以改進介電層802的質量。在一些實施例中,氧化物領域、LOCOS結構和/或其它適合的隔離結構可附加地或可選擇地佈植在基板上和/或佈植在基板內。然而,其它實施例也是可能的。例如,在一些實施例中,介電層802(和後續形成的隔離區902)可包括多層結構,例如,具有一個或多個襯墊層。在沉積介電層802之後,例如,通過CMP工藝使沉積後的介電層802變薄並且研磨該沉積後的介電層802。參照圖9,其中示出的是元件200在中間工藝階段,即實施CMP工藝以移除介電層802多餘的材料,研磨元件200的頂面,並且由此而形成隔離區902。在一些實施例中,隔離區902構造為隔離鰭活性區域(例如,第二磊晶層部分304A)。 The method 100 then proceeds to block 118 to form an isolation zone. Referring to the examples in Figures 7A/7B, 8 and 9, in the embodiment of block 118, a plurality of isolation regions 902 (Figure 9) are formed. In some embodiments, the plurality of isolation regions 902 can include a plurality of shallow trench isolation (STI) structures. For example, in some embodiments, dielectric layer 802 (FIG. 8) is first deposited on substrate 202, and trench 404 is filled with dielectric layer 802. In some embodiments, dielectric layer 802 can comprise SiO 2 , tantalum nitride, hafnium oxynitride, fluorine-doped tellurite glass (FSG), low dielectric materials, combinations thereof, and/or other suitable well known in the art. s material. In various examples, dielectric layer 802 can be deposited by a CVD process, a low pressure CVD (SACVD) process, a flowable CVD process, an ALD process, a PVD process, or other suitable process. In some embodiments, after depositing dielectric layer 802, element 200 is annealed to improve the quality of dielectric layer 802. In some embodiments, the oxide field, the LOCOS structure, and/or other suitable isolation structures may be additionally or alternatively implanted on the substrate and/or implanted within the substrate. However, other embodiments are also possible. For example, in some embodiments, dielectric layer 802 (and subsequently formed isolation regions 902) can comprise a multi-layer structure, for example, having one or more liner layers. After deposition of the dielectric layer 802, the deposited dielectric layer 802 is thinned and the deposited dielectric layer 802 is polished, for example, by a CMP process. Referring to Figure 9, there is shown an element 200 in an intermediate process stage, i.e., performing a CMP process to remove excess material from the dielectric layer 802, grinding the top surface of the element 200, and thereby forming an isolation region 902. In some embodiments, isolation region 902 is configured to isolate a fin active region (eg, second epitaxial layer portion 304A).

參照圖8和9,並且在一些實施例中,用於研磨元件200頂面以及形成隔離區902的CMP工藝也可用於從多個鰭元件402移除HM層部分306A。在一些實施例中,移除HM層部分306A包括移除氧化物層部分308A和氮化物層部分310A。移除HM層部分306A(包括移除氧化物層部分308A和氮化物層部分310A)可選擇性地通過採用適合的蝕刻工藝(例如,干或濕蝕刻)而實施。無論採用CMP工藝或蝕刻工藝,經歷從鰭元件402中的每一個的頂部移除HM層部分306A後,則暴露了在鰭元件402中的每一個下方的第二磊晶層部分304A。 Referring to Figures 8 and 9, and in some embodiments, a CMP process for the top surface of the abrasive element 200 and forming the isolation region 902 can also be used to remove the HM layer portion 306A from the plurality of fin elements 402. In some embodiments, removing the HM layer portion 306A includes removing the oxide layer portion 308A and the nitride layer portion 310A. Removal of HM layer portion 306A (including removal of oxide layer portion 308A and nitride layer portion 310A) can be selectively performed by employing a suitable etching process (eg, dry or wet etching). After the HM layer portion 306A is removed from the top of each of the fin elements 402, whether a CMP process or an etch process is employed, the second epitaxial layer portion 304A under each of the fin elements 402 is exposed.

方法100然後進入至方框120,即使隔離區凹陷。參照圖9和10A/10B中的示例,在方框120的實施例中,使圍繞鰭元件402的隔離區902凹陷,以橫向地暴露鰭元件402的上部分402A。在一些實施例中,凹陷工藝可包括干蝕刻工藝、濕蝕刻工藝和/或其組合。例如,凹 陷工藝可包括干性無離子凹陷工藝,該工藝採用反應氣體或反應氣體組合(諸如HF+NH3、NF3+NH3和/或其它適合的反應氣體)。在一些實施例中,干性無離子凹陷工藝採用CERTAS®氣體化學蝕刻系統(其可通過Tokyo Electron Limited,Tokyo,Japan公司獲得)而實施。在一些實施例中,干性無離子凹陷工藝採用SICONI®系統(其可通過Applied Materials,Inc.,Santa Clara,CA公司獲得)而實施。在一些示例中,凹陷工藝可包括濕蝕刻,其採用氫氟酸(HF)(例如,HF重量占H2O重量的49%)和去離子(DI)H2O的稀釋混合物而實施,其中HF:H2O之比約為1:50、約為1:100或其它適合的比例。 The method 100 then proceeds to block 120 even if the isolation region is recessed. Referring to the examples in FIGS. 9 and 10A/10B, in the embodiment of block 120, the isolation region 902 surrounding the fin element 402 is recessed to laterally expose the upper portion 402A of the fin element 402. In some embodiments, the recess process can include a dry etch process, a wet etch process, and/or combinations thereof. For example, the recess may include a dry process non-ionic recess process, which uses a reaction gas or reaction gas composition (such as HF + NH 3, NF 3 + NH 3 and / or other suitable reaction gas). In some embodiments, the dry ionless recess process is performed using a CERTAS® gas chemical etching system (available from Tokyo Electron Limited, Tokyo, Japan). In some embodiments, the dry ionless recess process is implemented using a SICONI® system (available from Applied Materials, Inc., Santa Clara, Calif.). In some examples, the recess process can include wet etching using a hydrofluoric acid (HF) (eg, HF weight of 49% by weight of H 2 O) and a dilute mixture of deionized (DI) H 2 O, wherein The ratio of HF:H 2 O is about 1:50, about 1:100 or other suitable ratio.

在一些實施例中,控制凹陷深度(例如,通過控制蝕刻時間)以得到鰭元件402已暴露的上部分402A的所需高度“H”。如圖10B所示,例如,多個鰭402中的每一個具有高度“HFIN”和寬度“WFIN”,其在方框108中的形成鰭元件期間定義至少一部分。在一些示例中,鰭高度“HFIN”可在約30nm-60nm之間(例如,其由磊晶層304的厚度而定義),並且鰭寬度“WFIN”可在約4nm-10nm之間(例如,其由方框108中的形成鰭工藝期間而定義)。在各種實施例中,控制隔離區902的凹陷深度,從而確定已凹陷的隔離區902的頂面904在水平面402BP上延水平面設置,其中水平面402BP由鰭底面402B定義。因此,在這種實施例中,鰭402已暴露的上部分402A的高度“H”可小於鰭高度“HFIN”(例如,小於在約30nm-60nm之間)。在一些實施例中,控制隔離區902的凹陷深度,從而使已凹陷的隔離區902的頂面904延水平面設置,該水平面與由鰭底面402B定義的水平面402BP基本上共面。因此,在這種實施例中,鰭402已暴露的上部分402A的高度“H”與鰭高度“HFIN”基本上相同(例如,基本上在約30nm-60nm之間)。因此,總體來說,已凹陷的隔離區902的頂面904可與平面402BP對齊或也可在平面402BP之上(該平面402BP由鰭底面402B定義)。通過控 制此處所描述的已凹陷的隔離區902的高度,可避免所不希望的寄生電容。此外,減少和/或避免這種寄生電容,可避免高品質AC元件性能的損失(例如,由於減小的RC延遲而造成的損失)。 In some embodiments, the depth of the recess is controlled (eg, by controlling the etch time) to obtain the desired height "H" of the upper portion 402A that the fin element 402 has exposed. As shown in FIG. 10B, for example, each of the plurality of fins 402 has a height "H FIN " and a width "W FIN " that define at least a portion during formation of the fin element in block 108. In some examples, the fin height "H FIN " may be between about 30 nm and 60 nm (eg, as defined by the thickness of the epitaxial layer 304), and the fin width "W FIN " may be between about 4 nm and 10 nm ( For example, it is defined by the formation of the fin process in block 108). In various embodiments, the depth of the recess of the isolation region 902 is controlled such that the top surface 904 of the recessed isolation region 902 is disposed on a horizontal plane on the horizontal plane 402BP, wherein the horizontal surface 402BP is defined by the fin bottom surface 402B. Thus, in such an embodiment, the height "H" of the exposed upper portion 402A of the fin 402 can be less than the fin height "H FIN " (eg, less than between about 30 nm and 60 nm). In some embodiments, the depth of the recess of the isolation region 902 is controlled such that the top surface 904 of the recessed isolation region 902 is disposed in a horizontal plane that is substantially coplanar with the horizontal plane 402BP defined by the fin bottom surface 402B. Thus, in such an embodiment, the height "H" of the exposed upper portion 402A of the fin 402 is substantially the same as the fin height "H FIN " (eg, substantially between about 30 nm and 60 nm). Thus, in general, the top surface 904 of the recessed isolation region 902 can be aligned with the plane 402BP or can also be above the plane 402BP (which is defined by the fin bottom surface 402B). Undesirable parasitic capacitance can be avoided by controlling the height of the recessed isolation regions 902 described herein. In addition, reducing and/or avoiding such parasitic capacitances can avoid loss of performance of high quality AC components (eg, losses due to reduced RC delay).

方法100然後進入至方框122,即蝕刻襯墊層。參照圖10A/10B和11A/11B中的示例,在方框122的實施例中,蝕刻襯墊層702以暴露第二磊晶層部分304A中殘餘材料部分302R的殘餘Ge。在一些實施例中,用於蝕刻襯墊層702的工藝可包括濕蝕刻工藝、干蝕刻工藝和/或其組合。在一些實施例中,襯墊層702可採用實施加熱的磷酸(H3PO3)的濕蝕刻工藝而被蝕刻。然而,在一些實施例中,在不背離本揭露的範圍的情況下,其它濕和/或干蝕刻劑也可用於蝕刻襯墊層702。此外,在一些實施例中,蝕刻工藝(例如,襯墊層702的蝕刻工藝)可包括過蝕刻工藝,其可導致形成鄰接於第二磊晶層部分304A的空隙1102,該第二磊晶層部分304A暴露了其中殘餘材料部分302R的殘餘Ge。在一些實施例中,過蝕刻工藝也可暴露鰭底面402B的至少一部分。在一些實施例中,襯墊層702可被過蝕刻約2nm-6nm。在一些示例中,過蝕刻工藝還可包括蝕刻被氧化層302C的蝕刻劑,甚至由此而蝕刻更多的鰭底面402B。在一些情況下,被氧化層302C也可採用蝕刻襯墊層702所用的相同蝕刻劑。在一些實施例中,被氧化層302C可採用不同於蝕刻襯墊層702所用的蝕刻劑。在一些示例中,蝕刻劑是選擇性的,即僅可蝕刻襯墊層702而不能蝕刻被氧化層302C。因此,在蝕刻襯墊層702之後,暴露了第二磊晶層部分304A中殘餘材料部分302R的殘餘Ge,並且可被隨後移除。 The method 100 then proceeds to block 122 to etch the liner layer. Referring to the examples in FIGS. 10A/10B and 11A/11B, in an embodiment of block 122, the liner layer 702 is etched to expose the residual Ge of the residual material portion 302R in the second epitaxial layer portion 304A. In some embodiments, the process for etching the liner layer 702 can include a wet etch process, a dry etch process, and/or combinations thereof. In some embodiments, the liner layer 702 can be etched using a wet etch process that performs heated phosphoric acid (H 3 PO 3 ). However, in some embodiments, other wet and/or dry etchants may also be used to etch liner layer 702 without departing from the scope of the present disclosure. Moreover, in some embodiments, the etch process (eg, the etch process of the liner layer 702) can include an over etch process that can result in the formation of a void 1102 adjacent to the second epitaxial layer portion 304A, the second epitaxial layer Portion 304A exposes the residual Ge of residual material portion 302R therein. In some embodiments, the over etch process can also expose at least a portion of the fin bottom surface 402B. In some embodiments, the liner layer 702 can be over etched by about 2 nm to 6 nm. In some examples, the overetch process may also include etching the etchant of the oxide layer 302C, and thus etching more fin bottom surfaces 402B. In some cases, the oxide layer 302C may also employ the same etchant used to etch the liner layer 702. In some embodiments, the etched layer 302C may employ an etchant different than that used to etch the liner layer 702. In some examples, the etchant is selective, i.e., only the liner layer 702 can be etched without etching the oxide layer 302C. Thus, after etching the liner layer 702, the residual Ge of the residual material portion 302R in the second epitaxial layer portion 304A is exposed and can be subsequently removed.

應注意的是,至少在一些現有的方案中,為了暴露這種殘餘材料部分302R中的殘餘Ge(例如,在第二磊晶層部分304A中),則有必要凹陷隔離區902,從而使已凹陷的隔離區902的頂面904低於由鰭底面402B所定義的平面402BP(圖10B)。這可導致由於如上所述的 計生電容的產生而使隨後製造的元件的AC性能降低。此外,通過在形成隔離區902之前形成襯墊層702,本揭露的實施例有助於避免產生如上問題。特別是,如上所述,包括了襯墊層702的本揭露實施例確保了已凹陷的隔離區902的頂面904保持與由鰭底面402B定義的平面402BP基本上對齊或在其之上(避免降低高效的AC性能),同時也提供了經由用於襯墊層702的蝕刻和/或過蝕刻,在鰭底面402處和/或鄰接於鰭底面402B處暴露殘餘材料部分302R的殘餘Ge。 It should be noted that, in at least some existing solutions, in order to expose residual Ge in such residual material portion 302R (eg, in second epitaxial layer portion 304A), it is necessary to recess isolation region 902, thereby The top surface 904 of the recessed isolation region 902 is lower than the plane 402BP defined by the fin bottom surface 402B (Fig. 10B). This can result from being as described above The generation of the built-in capacitance reduces the AC performance of the subsequently fabricated components. Moreover, by forming the liner layer 702 prior to forming the isolation regions 902, embodiments of the present disclosure help to avoid the above problems. In particular, as described above, the presently disclosed embodiment including the backing layer 702 ensures that the top surface 904 of the recessed isolation region 902 remains substantially aligned with or above the plane 402BP defined by the fin bottom surface 402B (avoiding Reducing efficient AC performance) also provides for residual Ge that exposes the residual material portion 302R at the fin bottom surface 402 and/or adjacent to the fin bottom surface 402B via etching and/or over etching for the liner layer 702.

方法100然後進入至方框124,即清理殘餘Ge。參照圖11A/11B和12A/12B中的示例,在方框124的實施例中,可通過方框122中蝕刻襯墊層702所用的蝕刻工藝而移除暴露的殘餘Ge。在一些實施例中,用於清理Ge殘餘的該工藝可包括濕蝕刻工藝、干蝕刻工藝和/或其組合。在一些實施例中,暴露的Ge殘餘採用以下一種清理(即,蝕刻或移除):硫酸(H2SO4)和過氧化氫(H2O2)的混合物(稱為過氧化硫混合物(SPM))、氫氧化銨(NH4OH)、H2O2和水(H2O)的混合物(稱為過氧化銨混合物(APM))、NH4OH和H2O2的混合物、H2O2和/或其它本領域熟知的蝕刻劑。作為選擇,在一些實施例中以及在方框122中所實施的過蝕刻中的至少一部分中,可從殘餘材料部分302R中移除殘餘Ge,也可從鰭底面402B的至少一部分中移除殘餘Ge。因此,根據此處所述的實施例,清理殘餘Ge改進了後續製造的FinFET元件的性能。 The method 100 then proceeds to block 124 to clean up the residual Ge. Referring to the examples in FIGS. 11A/11B and 12A/12B, in the embodiment of block 124, the exposed residual Ge can be removed by the etching process used to etch the liner layer 702 in block 122. In some embodiments, the process for cleaning Ge residues can include a wet etch process, a dry etch process, and/or combinations thereof. In some embodiments, the exposed Ge residue is cleaned (ie, etched or removed) using a mixture of sulfuric acid (H 2 SO 4 ) and hydrogen peroxide (H 2 O 2 ) (referred to as a mixture of sulfur peroxides ( SPM)), ammonium hydroxide (NH 4 OH), a mixture of H 2 O 2 and water (H 2 O) (referred to as ammonium percarbonate mixture (APM)), a mixture of NH 4 OH and H 2 O 2 , H 2 O 2 and/or other etchants well known in the art. Alternatively, in some embodiments and in at least a portion of the overetches implemented in block 122, residual Ge may be removed from the residual material portion 302R, and residuals may also be removed from at least a portion of the fin bottom surface 402B. Ge. Thus, cleaning the residual Ge improves the performance of subsequently fabricated FinFET elements in accordance with the embodiments described herein.

方法100然後進入至方框126,即形成虛擬閘極堆疊。參照圖13A/13B中的示例,在方框126的實施例中,形成介電層1302。在一些實施例中,介電層1302沉積在基板202和鰭402上,包括在相鄰的鰭402之間的溝道內。在一些實施例中,介電層1302可包括SiO2、氮化矽、高介電係數材料或其它適合的材料。在各種示例中,介電層1302可通過CVD工藝、低氣壓CVD(SACVD)工藝、可流動CVD工藝、ALD 工藝、PVD工藝或其它適合的工藝沉積而成。例如,介電層1302通過後續工藝(例如,後續形成的虛擬閘極堆疊)可用於防止鰭元件402損壞。 The method 100 then proceeds to block 126 where a virtual gate stack is formed. Referring to the example in FIGS. 13A/13B, in an embodiment of block 126, a dielectric layer 1302 is formed. In some embodiments, dielectric layer 1302 is deposited on substrate 202 and fins 402, including within the channel between adjacent fins 402. In some embodiments, dielectric layer 1302 can comprise SiO 2 , tantalum nitride, a high-k material, or other suitable material. In various examples, dielectric layer 1302 can be deposited by a CVD process, a low pressure CVD (SACVD) process, a flowable CVD process, an ALD process, a PVD process, or other suitable process. For example, the dielectric layer 1302 can be used to prevent damage to the fin element 402 by subsequent processes (eg, a subsequently formed virtual gate stack).

現參照圖14中的示例,在方框126的另一實施例中,繼續進行閘極堆疊。例如,在一些實施例中,形成閘極堆疊1402並且形成設置在閘極堆疊1402側壁上的側壁墊片1404。在一個實施例中,閘極堆疊是虛擬閘極堆疊。然而,在方法100的一些實施例中,閘極堆疊1402可以是高介電係數/金屬閘極堆疊。一下將參照替換閘極工藝描述方法100,本領域技術人員將容易地理解此處所描述的方法和結構也同樣應用與閘極優先工藝。在一些示例中,閘極優先工藝包括在形成源極/汲極之前或在活化源極/汲極摻雜劑之前形成閘極堆疊。僅作為示例,閘極優先工藝可包括閘極介電質和金屬閘極沉積,其在用於定義閘極臨界尺寸的閘極堆疊蝕刻工藝之後進行。在閘極優先工藝的一些實施例中,形成閘極堆疊可在形成源極/汲極(其包括摻雜源極/汲極區域)之後進行,並且在一些示例中其在活化源極/汲極摻雜劑之後進行。 Referring now to the example in FIG. 14, in another embodiment of block 126, gate stacking continues. For example, in some embodiments, gate stack 1402 is formed and sidewall spacers 1404 disposed on sidewalls of gate stack 1402 are formed. In one embodiment, the gate stack is a virtual gate stack. However, in some embodiments of method 100, gate stack 1402 can be a high dielectric constant/metal gate stack. Referring now to the replacement gate process description method 100, those skilled in the art will readily appreciate that the methods and structures described herein are equally applicable to gate priority processes. In some examples, the gate priority process includes forming a gate stack prior to forming the source/drain or prior to activating the source/drain dopant. For example only, the gate priority process may include gate dielectric and metal gate deposition, which is performed after a gate stack etch process for defining a gate critical dimension. In some embodiments of the gate priority process, forming the gate stack can be performed after forming the source/drain (which includes the doped source/drain regions), and in some examples it is at the active source/汲The polar dopant is then carried out.

在採用閘極後續工藝的一些實施例中,閘極堆疊1402是虛擬閘極堆疊,並且將在元件200的隨後工藝階段中被最終閘極堆疊所代替。特別是,閘極堆疊1402可在後續工藝階段中被高介電係數層(HK)和金屬閘極電極(MG)所代替。在一些實施例中,閘極堆疊1402形成在基板202上,並且至少部分設置在鰭元件402上。另外,在各種實施例中,閘極堆疊1402形成在介電層1302上,其在形成閘極堆疊1402之前形成如上沉積。在一些實施例中,閘極堆疊1402包括介電層1406、電極層1408和硬質遮罩1410,該硬質遮罩1410可包括氧化物層1412和形成在氧化物層1412上的氮化物層1414。在一些實施例中,閘極堆疊1402通過各種工藝步驟(諸如層沉積、圖案化、蝕刻以及其它適合的工藝步驟)而形成。在一些示例中,層沉積工藝包括CVD(其 包括低壓CVD和等離子增強CVD)、PVD、ALD、熱氧化、e-束蒸發、或其它適合的沉積技術,或其組合。在一些實施例中,圖案化工藝包括平版印刷技術(例如,光刻或e-束平板印刷),其還可包括光阻塗覆(例如,旋塗式塗覆)、軟烘焙、遮罩校準、暴露、顯影後烘烤、光阻發展、漂洗、干化(例如,旋塗式干化和/或硬質烘焙)、其它適合的平版印刷技術和/或其組合。在一些實施例中,蝕刻技術科包括干蝕刻(例如,RIE蝕刻)、濕蝕刻和/或其它蝕刻方法。 In some embodiments employing a gate follow-up process, gate stack 1402 is a dummy gate stack and will be replaced by a final gate stack in a subsequent process stage of component 200. In particular, the gate stack 1402 can be replaced by a high dielectric constant layer (HK) and a metal gate electrode (MG) in a subsequent process stage. In some embodiments, the gate stack 1402 is formed on the substrate 202 and is at least partially disposed on the fin element 402. Additionally, in various embodiments, a gate stack 1402 is formed over the dielectric layer 1302 that forms a deposition as described above prior to forming the gate stack 1402. In some embodiments, the gate stack 1402 includes a dielectric layer 1406, an electrode layer 1408, and a hard mask 1410, which may include an oxide layer 1412 and a nitride layer 1414 formed over the oxide layer 1412. In some embodiments, gate stack 1402 is formed by various process steps such as layer deposition, patterning, etching, and other suitable process steps. In some examples, the layer deposition process includes CVD (which These include low pressure CVD and plasma enhanced CVD), PVD, ALD, thermal oxidation, e-beam evaporation, or other suitable deposition techniques, or combinations thereof. In some embodiments, the patterning process includes lithographic techniques (eg, photolithography or e-beam lithography), which may also include photoresist coating (eg, spin coating), soft baking, mask calibration , exposure, post-development baking, photoresist development, rinsing, drying (eg, spin-on drying and/or hard baking), other suitable lithographic techniques, and/or combinations thereof. In some embodiments, the etch technique family includes dry etching (eg, RIE etching), wet etching, and/or other etching methods.

在一些實施例中,閘極堆疊1402的介電層1406包括氧化矽。可選擇地或另外一種情況,閘極堆疊1402的介電層1406可包括氮化矽、高介電係數材料或其它適合的材料。在一些實施例中,閘極堆疊的電極層1408可包括多晶矽(多晶矽(polysilicon))。在一些實施例中,硬質遮罩1410的氧化物層1412包括墊片氧化物層,其包括SiO2。在一些實施例中,硬質遮罩1410的氮化物層1414包括墊片氮化物層,其包括Si3N4、氮氧化矽或碳化矽。 In some embodiments, the dielectric layer 1406 of the gate stack 1402 includes hafnium oxide. Alternatively or in addition, the dielectric layer 1406 of the gate stack 1402 may comprise tantalum nitride, a high dielectric constant material, or other suitable material. In some embodiments, the gate stack electrode layer 1408 can comprise polysilicon (polysilicon). In some embodiments, the oxide layer 1412 of the hard mask 1410 includes a pad oxide layer that includes SiO 2 . In some embodiments, the nitride layer 1414 of the hard mask 1410 includes a pad nitride layer comprising Si 3 N 4 , hafnium oxynitride or tantalum carbide.

在各種實施例中,側壁墊片1404設置在閘極堆疊1402的側壁上。側壁墊片1404可包括諸如氧化矽、氮化矽、碳化矽、氮氧化矽或其組合的介電材料。在一些實施例中,側壁墊片1404包括諸如主要墊片側壁和襯墊層等的多層。例如,側壁墊片1404可通過在閘極堆疊1402上沉積介電材料以及通過異向回蝕介電材料而形成。在一些實施例中,回蝕工藝(例如,用於形成墊片的回蝕工藝)可包括多步驟蝕刻工藝用以改進蝕刻選擇性並且提供過蝕刻控制。在一些實施例中,在形成側壁墊片1404之前,實施離子佈植工藝,從而在半導體元件200中形成少量摻雜汲極(LDD)結構。在其它實施例中,這種LDD結構可在形成側壁墊片1404之前,通過原位摻雜層的磊晶生長而形成。在一些實施例中,可採用等離子摻雜(PLAD)以形成LDD結構。同樣,在其它實施例中,在形成側壁墊片1404之後可實施離子佈植工 藝以形成LDD結構。在一些實施例中,在形成LDD結構之後,半導體元件200可經受高溫預加熱工藝(退火)以消除缺陷並且活化摻雜劑(即,將摻雜劑置於替換的位置)。應理解的是,根據各種實施例,預先佈植的並且設置在基板區域202A中的任何潛在的APT摻雜劑擴散(例如,由於高溫預加熱工藝而引起的),將通過完全被氧化的層302C阻止其擴散進入至FinFET溝道區域(即,第二磊晶層部分304A)中。 In various embodiments, sidewall spacers 1404 are disposed on sidewalls of gate stack 1402. The sidewall spacer 1404 can include a dielectric material such as hafnium oxide, tantalum nitride, tantalum carbide, niobium oxynitride, or combinations thereof. In some embodiments, the sidewall spacer 1404 includes multiple layers such as a primary spacer sidewall and a liner layer. For example, sidewall spacers 1404 can be formed by depositing a dielectric material on gate stack 1402 and by etch back dielectric material. In some embodiments, an etch back process (eg, an etch back process for forming a pad) can include a multi-step etch process to improve etch selectivity and provide over etch control. In some embodiments, prior to forming sidewall spacer 1404, an ion implantation process is performed to form a small amount of doped drain (LDD) structure in semiconductor component 200. In other embodiments, such an LDD structure can be formed by epitaxial growth of the in-situ doped layer prior to forming the sidewall spacer 1404. In some embodiments, plasma doping (PLAD) may be employed to form the LDD structure. Also, in other embodiments, an ion implanter can be implemented after the sidewall spacer 1404 is formed. Art to form an LDD structure. In some embodiments, after forming the LDD structure, the semiconductor component 200 can be subjected to a high temperature pre-heating process (annealing) to eliminate defects and activate the dopant (ie, place the dopant in an alternate location). It should be understood that according to various embodiments, any potential APT dopant diffusion pre-planted and disposed in substrate region 202A (eg, due to a high temperature pre-heating process) will pass through the fully oxidized layer 302C prevents its diffusion into the FinFET channel region (ie, the second epitaxial layer portion 304A).

在一些實施例中,仍參照圖14中的示例,在形成虛擬閘極堆疊(例如,閘極堆疊1402)之後,可回蝕介電層1302以形成介電區域1302A,並且由此而暴露鰭元件402沒有被閘極堆疊1402覆蓋的部分。在一些實施例中,回蝕介電層1302可包括濕蝕刻工藝、干蝕刻工藝、多步驟蝕刻工藝和/或其組合。因此,在形成閘極堆疊1402期間保留介電層1302,可有助於在這種工藝期間有效地保護鰭元件402。 In some embodiments, still referring to the example in FIG. 14, after forming a dummy gate stack (eg, gate stack 1402), dielectric layer 1302 can be etched back to form dielectric region 1302A, and thereby exposing the fins Element 402 has no portion that is covered by gate stack 1402. In some embodiments, the etch back dielectric layer 1302 can include a wet etch process, a dry etch process, a multi-step etch process, and/or combinations thereof. Thus, retaining the dielectric layer 1302 during formation of the gate stack 1402 can help to effectively protect the fin elements 402 during such a process.

方法100然後進入至方框128,即蝕刻鰭元件。參照圖14和15中的示例,在方框128的實施例中,可蝕刻在閘極堆疊1402任何一側(由於形成介電區域1302A而暴露的部分)上的鰭元件402的部分。鰭元件402的被蝕刻部分可包括在閘極堆疊1402任何一側上的源極/汲極區域1502、1504中的鰭元件402的部分。在一些實施例中,蝕刻鰭元件402的部分可採用干蝕刻工藝、濕蝕刻工藝和/或其組合而實施。此外,在一些實施例中,同樣也蝕刻在鰭元件402被蝕刻部分下方的氧化物區域部分,該部分可包括(例如,鄰接於襯墊層702)的氧化物層302C。在一些實施例中,蝕刻在鰭元件402被蝕刻部分下方的氧化物區域暴露了底下的基板區域202A。在各種實施例中,在鰭元件402被蝕刻部分下方而蝕刻氧化物區域(例如,氧化物層302C)可採用干蝕刻工藝、濕蝕刻工藝和/或其組合而實施,應注意的是,在此處所描述的實施例中,氧化物層302C保留了現有的在下方的閘極堆疊1402,其有助於防止APT摻雜劑從基板區域202A中擴散進入至元件溝道區域 (即,由閘極堆疊1402覆蓋的第二磊晶層部分304A)。 The method 100 then proceeds to block 128 to etch the fin elements. Referring to the examples in FIGS. 14 and 15, in the embodiment of block 128, portions of the fin element 402 on either side of the gate stack 1402 (the portion exposed due to the formation of the dielectric region 1302A) may be etched. The etched portion of the fin element 402 can include portions of the fin element 402 in the source/drain regions 1502, 1504 on either side of the gate stack 1402. In some embodiments, portions of the etched fin element 402 can be implemented using a dry etch process, a wet etch process, and/or combinations thereof. Moreover, in some embodiments, the portion of the oxide region below the etched portion of the fin element 402 is also etched, which portion can include an oxide layer 302C (eg, adjacent to the liner layer 702). In some embodiments, etching exposes the underlying substrate region 202A at an oxide region below the etched portion of the fin element 402. In various embodiments, etching the oxide region (eg, oxide layer 302C) under the etched portion of fin element 402 may be performed using a dry etch process, a wet etch process, and/or combinations thereof, it being noted that In the embodiments described herein, oxide layer 302C retains the existing underlying gate stack 1402 that helps prevent diffusion of APT dopant from substrate region 202A into the component channel region. (ie, the second epitaxial layer portion 304A covered by the gate stack 1402).

方法100然後進入至方框130,即形成源極/汲極結構。參照圖15和16中的示例,在方框130的實施例中,源極/汲極結構1602、1604形成在源極/汲極區域1502、1504中。在一些實施例中,源極/汲極結構1602、1604通過在源極/汲極區域1502、1504中磊晶生長半導體材料層而形成。在一些示例中,虛擬側壁墊片可在磊晶源極/汲極生長之前形成,並且在磊晶源極/汲極之後移除。另外,在一些實施例中,如上所述,主要側壁墊片可在磊晶源極/汲極生長之後形成。在各種實施例中,在源極/汲極區域1502、1504中生長的半導體材料層可包括Ge,Si,GaAs,AlGaAs,SiGe,GaAsP,SiP或其它適合的材料。源極/汲極結構1602、1604可在epi工藝期間原位摻雜。例如,在一些實施例中,磊晶生長SiGe源極/汲極結構1602、1604可摻雜硼。在一些實施例中,磊晶生長Si epi源極/汲極結構1602、1604可摻雜碳以形成Si:C源極/汲極結構,摻雜磷以形成Si:P源極/汲極結構,或者摻雜碳和磷兩者以形成SiCPU源極/汲極結構。在一些實施例中,源極/汲極結構1602、1604可以不在原位摻雜,並且可採用佈置工藝來代替以摻雜源極/汲極結構1602、1604。在各種實施例中,用於摻雜源極/汲極結構1602、1604的摻雜量大於用於摻雜LDD結構的摻雜量。在一些實施例中,形成源極/汲極結構1602、1604可通過單獨的工藝順序而實施,該順序對應於N型和P型的源極/汲極結構1602、1604中的每一個。在一些實施例中,在形成源極/汲極結構1602、1604之後,實施epi退火工藝,即,使半導體元件200經受高溫預加熱工藝。然而,如上所述,氧化物層(例如,氧化物層302C),其保留在閘極堆疊1402的下方,在這種高溫預加熱工藝期間將阻止任何潛在的APT摻雜劑從基板區域202A中擴散進入至元件溝道區域(即,由閘極堆疊1402覆蓋的第二磊晶層部分304A)中。 The method 100 then proceeds to block 130 to form a source/drain structure. Referring to the examples in FIGS. 15 and 16, in the embodiment of block 130, source/drain structures 1602, 1604 are formed in source/drain regions 1502, 1504. In some embodiments, source/drain structures 1602, 1604 are formed by epitaxially growing a layer of semiconductor material in source/drain regions 1502, 1504. In some examples, the dummy sidewall spacers may be formed prior to epitaxial source/drain growth and removed after epitaxial source/drain. Additionally, in some embodiments, as described above, the primary sidewall spacers may be formed after epitaxial source/drain growth. In various embodiments, the layer of semiconductor material grown in the source/drain regions 1502, 1504 can comprise Ge, Si, GaAs, AlGaAs, SiGe, GaAsP, SiP, or other suitable materials. The source/drain structures 1602, 1604 can be doped in situ during the epi process. For example, in some embodiments, epitaxially grown SiGe source/drain structures 1602, 1604 can be doped with boron. In some embodiments, the epitaxially grown Si epi source/drain structures 1602, 1604 can be doped with carbon to form a Si: C source/drain structure, doped with phosphorus to form a Si:P source/drain structure. Or doping both carbon and phosphorus to form a SiCPU source/drain structure. In some embodiments, the source/drain structures 1602, 1604 may not be doped in-situ, and an arrangement process may be employed instead of doping the source/drain structures 1602, 1604. In various embodiments, the doping amount for doping the source/drain structures 1602, 1604 is greater than the doping amount for doping the LDD structure. In some embodiments, forming source/drain structures 1602, 1604 can be implemented by a separate process sequence that corresponds to each of the N- and P-type source/drain structures 1602, 1604. In some embodiments, after forming the source/drain structures 1602, 1604, an epi-annealing process is performed, ie, the semiconductor component 200 is subjected to a high temperature pre-heating process. However, as described above, the oxide layer (eg, oxide layer 302C), which remains below the gate stack 1402, will prevent any potential APT dopants from being in the substrate region 202A during such high temperature preheating processes. Diffusion enters into the element channel region (ie, the second epitaxial layer portion 304A covered by the gate stack 1402).

方法然後進入至方框132,即形成夾層介電質(ILD)層 並且移除虛擬閘極堆疊。參照圖16和17中的示例,在方框132的實施例中,ILD層1702形成在基板202上。在一些實施例中,接觸蝕刻終止層(CESL)在形成ILD層1702之前形成在基板202上。在一些示例中,CESL包括氮化矽層、氧化矽層、氮氧化矽層和/或本領域熟知的其它材料。CESL可通過等離子增強化學蒸鍍(PECVD)工藝和/或其它適合的沉積或氧化工藝而形成。在一些實施例中,ILD層1702包括以下材料:諸如正矽酸乙酯(TEOS)氧化物、零摻雜矽玻璃或摻雜矽的氧化物,諸如硼磷矽酸鹽玻璃(BPSG)、熔石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、矽中摻雜硼的玻璃(BSG)和/或其它適合的介電材料。ILD層1702可通過PECVD工藝或其它適合的沉積技術沉積而成。在一些實施例中,在形成ILD層1702之後,半導體元件200可經受高溫預加熱工藝以退火ILD層。如上所述,氧化物層(例如,氧化物層302C)在這種高溫預加熱工藝期間防止任何潛在的APT摻雜劑從基板區域202A中擴散進入至元件溝道區域。在一些示例中,可實施研磨工藝以暴露虛擬閘極堆疊1402的頂面。例如,研磨工藝包括化學機械研磨(CMP)工藝,其移除覆蓋在虛擬閘極堆疊1402上的ILD層1702(和CESL層(其如果存在))的部分,並且研磨半導體元件200的頂面。另外,CMP工藝可移除覆蓋在虛擬閘極堆疊1402上的硬質遮罩1410以暴露電極層1408,諸如多晶矽層。在此之後,在一些實施例中,可從基板處移除之前形成的虛擬閘極堆疊1402結構(例如,介電層1406和電極層1408)。在一些實施例中,可移除電極層1408而不移除介電層1406。如下所述,從閘極堆疊1402移除電極層1408(或移除電極層1408和介電層1406)可因此而形成溝道1704,並且在溝道1704中可隨後形成最終閘極結構(例如,包括高介電係數層和金屬閘極電極)。移除虛擬閘極堆疊結構可採用選擇性蝕刻工藝(諸如選擇性濕蝕刻、訊則行干蝕刻或其組合)而實施。 The method then proceeds to block 132 to form an interlayer dielectric (ILD) layer And remove the virtual gate stack. Referring to the examples in FIGS. 16 and 17, in the embodiment of block 132, an ILD layer 1702 is formed on the substrate 202. In some embodiments, a contact etch stop layer (CESL) is formed on the substrate 202 prior to forming the ILD layer 1702. In some examples, the CESL includes a tantalum nitride layer, a hafnium oxide layer, a hafnium oxynitride layer, and/or other materials well known in the art. CESL can be formed by a plasma enhanced chemical vapor deposition (PECVD) process and/or other suitable deposition or oxidation processes. In some embodiments, the ILD layer 1702 includes materials such as ethyl orthosilicate (TEOS) oxide, zero-doped germanium or an antimony-doped oxide, such as borophosphonite glass (BPSG), melting Quartz glass (FSG), phosphonium silicate glass (PSG), boron-doped glass (BSG) and/or other suitable dielectric materials. The ILD layer 1702 can be deposited by a PECVD process or other suitable deposition technique. In some embodiments, after forming the ILD layer 1702, the semiconductor component 200 can be subjected to a high temperature pre-heating process to anneal the ILD layer. As described above, the oxide layer (e.g., oxide layer 302C) prevents any potential APT dopant from diffusing from the substrate region 202A into the element channel region during such high temperature pre-heating processes. In some examples, a grinding process can be performed to expose the top surface of the virtual gate stack 1402. For example, the grinding process includes a chemical mechanical polishing (CMP) process that removes portions of the ILD layer 1702 (and the CESL layer (if present)) overlying the dummy gate stack 1402 and polishes the top surface of the semiconductor component 200. Additionally, the CMP process can remove the hard mask 1410 overlying the dummy gate stack 1402 to expose the electrode layer 1408, such as a polysilicon layer. Thereafter, in some embodiments, the previously formed virtual gate stack 1402 structure (eg, dielectric layer 1406 and electrode layer 1408) can be removed from the substrate. In some embodiments, electrode layer 1408 can be removed without removing dielectric layer 1406. Removing the electrode layer 1408 from the gate stack 1402 (or removing the electrode layer 1408 and the dielectric layer 1406) may thereby form the channel 1704, and may subsequently form a final gate structure in the channel 1704 (eg, as described below) , including high dielectric constant layer and metal gate electrode). The removal of the dummy gate stack structure can be performed using a selective etch process such as selective wet etch, signal dry etch, or a combination thereof.

方法100然後進入至方框134,即形成高介電係數/金屬閘極堆疊。參照圖17和18中的示例,在方框134的實施例中,高介電係數/金屬閘極堆疊1802形成在元件200的溝道1704中。在各種實施例中,高介電係數/金屬閘極堆疊包括介面層(其基本上形成在鰭的無摻雜劑溝道材料(即,第二磊晶層部分304A)上)、形成在該介面層上的高介電係數閘極介電層,以及形成在該高介電係數閘極介電層上的金屬層。此處所用及所描述的高介電係數介電質包括具有高介電常數(例如熱氧化矽的介電常數(~3.9))的介電材料。採用高介電係數/金屬閘極堆疊的金屬層可包括金屬、金屬合金或金屬矽化物。另外,形成高介電係數/金屬閘極堆疊可包括沉積工藝以形成各種閘極材料、一個或多個襯墊層,以及包括一個或過個CMP工藝從而可以移除多餘的閘極材料並且而由此研磨半導體元件200的頂面。 The method 100 then proceeds to block 134 to form a high dielectric constant/metal gate stack. Referring to the examples in FIGS. 17 and 18, in the embodiment of block 134, a high dielectric constant/metal gate stack 1802 is formed in the channel 1704 of the component 200. In various embodiments, the high dielectric constant/metal gate stack includes an interface layer (which is formed substantially on the non-dopant channel material of the fin (ie, the second epitaxial layer portion 304A)), formed in the a high-k gate dielectric layer on the interface layer, and a metal layer formed on the high-k gate dielectric layer. The high-k dielectrics used and described herein include dielectric materials having a high dielectric constant (e.g., a dielectric constant (~3.9) of thermal yttrium oxide). The metal layer using the high dielectric constant/metal gate stack may comprise a metal, a metal alloy or a metal telluride. Additionally, forming a high dielectric constant/metal gate stack can include a deposition process to form various gate materials, one or more liner layers, and including one or more CMP processes to remove excess gate material and The top surface of the semiconductor element 200 is thus polished.

在一些實施例中,高介電係數/金屬閘極堆疊1802的介面層可包括諸如氧化矽(SiO2)、HfSiO或氮氧化矽(SiON)的介電材料。介面層可通過化學氧化、原子層沉積(ALD)、化學蒸鍍(CVD)和/或其它適合的方法而形成。高介電係數/金屬閘極堆疊1802的閘極介電層可包括諸如氧化鉿(HfO2)的高介電係數層。作為選擇,高介電係數/金屬閘極堆疊1802的閘極介電層可包括其他高介電係數介電質,諸如TiO2,HfZrO,Ta2O3,HfSiO4,ZrO2,ZrSiO2,LaO,AlO,ZrO,TiO,Ta2O5,Y2O3,SrTiO3(STO),BaTiO3(BTO),BaZrO,HfZrO,HfLaO,HfSiO,LaSiO,AlSiO,HfTaO,HfTiO,(Ba,Sr)TiO3(BST),Al2O3,Si3N4,氮氧化物(SiON),其組合,或其它適合的材料。高介電係數閘極介電層可通過ALD、物理蒸鍍(PVD)、CVD、氧化和/或其它適合的方法而形成。高介電係數/金屬閘極堆疊1802的金屬層可包括單一層或可選擇地包括多層結構,諸如一種金屬層(具有選擇性功函數以提高元件性能(功函數金屬層))、襯墊層、潤濕層、粘合層、金屬合金或金 屬矽化物的各種組合。例如,高介電係數/金屬閘極堆疊1802的金屬層可包括Ti,Ag,Al,TiAlN,TaC,TaCN,TaSiN,Mn,Zr,TiN,TaN,Ru,Mo,Al,WN,Cu,W,Re,Ir,Co,Ni,其它適合的金屬材料或其組合。另外,金屬層提供N型或P型功函數,其可電晶體(例如,FinFET)閘極電極,並且在至少一些實施例中,高介電係數/金屬閘極堆疊1802的金屬層可包括多晶矽層。在各種實施例中,高介電係數/金屬閘極堆疊1802的金屬層可通過ALD、PVD、CVD、e-束蒸發或其它適合的工藝而形成。另外,可採用不同的金屬層單獨地形成用於N-FET和P-FET電晶體的高介電係數/金屬閘極堆疊1802的金屬層。在各種實施例中,實施CMP工藝,從而從高介電係數/金屬閘極堆疊1802的金屬層移除多餘的金屬,並且由此而提供了高介電係數/金屬閘極堆疊1802基本上平坦的金屬層表面。 In some embodiments, the interface layer of the high dielectric constant/metal gate stack 1802 can include a dielectric material such as hafnium oxide (SiO 2 ), HfSiO, or hafnium oxynitride (SiON). The interface layer can be formed by chemical oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable methods. The gate dielectric layer of the high dielectric constant/metal gate stack 1802 can include a high dielectric coefficient layer such as hafnium oxide (HfO 2 ). Alternatively, a high dielectric constant / metal gate stack gate 1802. electrode dielectric layer may comprise other high-k dielectric, such as TiO2, HfZrO, Ta 2 O 3 , HfSiO 4, ZrO 2, ZrSiO 2, LaO , AlO, ZrO, TiO, Ta 2 O 5 , Y2O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba, Sr)TiO 3 (BST), Al 2 O 3 , Si 3 N 4 , nitrogen oxides (SiON), combinations thereof, or other suitable materials. The high dielectric coefficient gate dielectric layer can be formed by ALD, physical vapor deposition (PVD), CVD, oxidation, and/or other suitable methods. The metal layer of the high dielectric constant/metal gate stack 1802 may comprise a single layer or alternatively a multilayer structure, such as a metal layer (with a selective work function to improve component performance (work function metal layer)), a liner layer Various combinations of wetting layers, bonding layers, metal alloys or metal halides. For example, the metal layer of the high dielectric constant/metal gate stack 1802 may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W. , Re, Ir, Co, Ni, other suitable metallic materials or combinations thereof. Additionally, the metal layer provides an N-type or P-type work function, which can be an electro-optic (eg, FinFET) gate electrode, and in at least some embodiments, the metal layer of the high-k/metal gate stack 1802 can include polysilicon Floor. In various embodiments, the metal layer of high dielectric constant/metal gate stack 1802 can be formed by ALD, PVD, CVD, e-beam evaporation, or other suitable process. Additionally, different metal layers can be used to separately form the metal layers of the high dielectric constant/metal gate stack 1802 for N-FET and P-FET transistors. In various embodiments, a CMP process is performed to remove excess metal from the metal layer of high dielectric constant/metal gate stack 1802, and thereby provide a high dielectric constant/metal gate stack 1802 that is substantially flat The surface of the metal layer.

半導體元件200還可經歷本領域所熟知的形成各種結構和區域的工藝。例如,後續工藝可在基板202上形成夾層介電質(ILD)層(或多個該層)、觸點開口、觸點金屬,以及形成各種觸體/孔/線和多層互連結構(例如,金屬層和夾層介電質),其構造為連接各種結構以形成包括一個或多個FinFET元件的函數電路。該實例有利的一面在於可包括垂直互連(諸如孔或觸點)和水平互連(諸如金屬線)。各種互連結構可採用包括銅、鎢和/或矽的各種傳導材料。在一個示例中,鑲嵌和/或雙鑲嵌工藝用於形成與銅相關的多層互連結構。此外,其它工藝步驟可在方法100之前、期間和在其之後實施,並且根據方法100的各種實施例,如上所述的一些工藝步驟可被代替或省略。 Semiconductor component 200 can also undergo processes known in the art to form various structures and regions. For example, a subsequent process can form an interlayer dielectric (ILD) layer (or layers), contact openings, contact metal, and various contact/hole/line and multilayer interconnect structures on substrate 202 (eg, A metal layer and an interlayer dielectric) configured to connect various structures to form a functional circuit comprising one or more FinFET elements. An advantageous aspect of this example is that it can include vertical interconnects (such as holes or contacts) and horizontal interconnects (such as metal lines). Various interconnect structures can employ a variety of conductive materials including copper, tungsten, and/or germanium. In one example, a damascene and/or dual damascene process is used to form a multilayer interconnect structure associated with copper. Moreover, other process steps can be performed before, during, and after method 100, and depending on various embodiments of method 100, some of the process steps described above can be replaced or omitted.

關於此處所提供的描述,本揭露提供了一種方法和結構,其用於避免由於離子佈植工藝(包括形成缺陷以及引入溝道雜質(即,所不希望的溝道摻雜劑))所產生的半導體元件的退化。在一些示例中,一個或多個APT離子佈植工藝在形成FinFET鰭元件之前實 施。在一些實施例中,磊晶生長的零摻雜劑溝道層形成在APT佈植基板上。此外,在各種實施例中,磊晶生長的零摻雜劑溝道層通過介電層與APT佈植基板分離,其中該介電層用於阻止APT摻雜劑。由於氧化物阻礙層的有益效果,APT佈植可具有高摻雜劑濃度,例如,該濃度在約1x1018cm-3 and 1x1019cm-3之間。在一些實施例中,由於磊晶生長的零摻雜劑溝道層基本上沒有摻雜劑,所以減輕了該載體溝道的濺射,並且改進了元件的移動性和驅動電流。本揭露的實施例也提供了用於完全氧化SiGe層的方法,該層基本上用作氧化阻礙層(例如,通過在氧化之前修整SiGe層),也提供了用於在不損壞FinFET高度或寬度的情況下減少和/或排除Ge殘餘的方法(例如,在形成隔離區之前通過插入氮化矽襯墊層)。本揭露也確保了已凹陷的隔離區的頂面可以基本上與由鰭底面定義的平面對齊或在其之上(例如,在氧化物阻礙曾之上),因此避免了AC性能的降低。本領域技術人員將容易理解的是:在不背離本揭露的範圍的情況下,此處所描述的方法和結構可應用與多種其它半導體元件,從而使這些其它元件也達到相同的有益效果。 With regard to the description provided herein, the present disclosure provides a method and structure for avoiding generation due to ion implantation processes including formation of defects and introduction of channel impurities (ie, undesirable channel dopants). Degradation of semiconductor components. In some examples, one or more APT ion implantation processes are performed prior to forming the FinFET fin elements. In some embodiments, an epitaxially grown zero dopant channel layer is formed on the APT implant substrate. Moreover, in various embodiments, the epitaxially grown zero dopant channel layer is separated from the APT implant substrate by a dielectric layer, wherein the dielectric layer is used to block the APT dopant. Due to the beneficial effects of the oxide barrier layer, the APT implant can have a high dopant concentration, for example, between about 1 x 10 18 cm -3 and 1 x 10 19 cm -3 . In some embodiments, since the epitaxially grown zero dopant channel layer is substantially free of dopants, sputtering of the carrier channel is mitigated and the mobility of the components and the drive current are improved. Embodiments of the present disclosure also provide a method for fully oxidizing a SiGe layer that functions substantially as an oxidization barrier (eg, by trimming the SiGe layer prior to oxidation), and also for not damaging the FinFET height or width A method of reducing and/or eliminating Ge residue (for example, by inserting a tantalum nitride liner layer before forming the isolation region). The present disclosure also ensures that the top surface of the recessed isolation region can be substantially aligned with or above the plane defined by the fin bottom surface (e.g., above the oxide barrier), thus avoiding a reduction in AC performance. Those skilled in the art will readily appreciate that the methods and structures described herein can be applied to a variety of other semiconductor components without departing from the scope of the present disclosure, such that these other components also achieve the same benefits.

因此,本揭露的實施例之一描述了用於製造半導體元件(例如,FinFET元件),該元件具有基本上為零摻雜劑的溝道區域。在一些實施例中,該方法包括從基板處形成多個鰭。在各種實施例中,多個鰭中的每一個包括:基板的一部分,在基板該部分上的第一磊晶層的一部分,以及在第一磊晶層的該部分上的第二磊晶層的一部分。例如,氧化該多個鰭中的每一個的該第一磊晶層的該部分。在一些實施例中,在氧化該第一磊晶層的該部分之後,在該多個鰭中的每一個之上形成襯墊層。在各種示例中,形成鄰接於該襯墊層的凹陷的隔離區。在其后,可蝕刻該襯墊層,以暴露殘餘材料部分(例如,Ge殘餘),該殘餘材料部分鄰接於該多個鰭中的每一個的該第二磊晶層的該部分 的底部,以及移除該殘餘材料部分。 Accordingly, one of the embodiments of the present disclosure describes the fabrication of a semiconductor component (eg, a FinFET component) having a channel region that is substantially zero dopant. In some embodiments, the method includes forming a plurality of fins from the substrate. In various embodiments, each of the plurality of fins includes: a portion of the substrate, a portion of the first epitaxial layer on the portion of the substrate, and a second epitaxial layer on the portion of the first epitaxial layer a part of. For example, the portion of the first epitaxial layer of each of the plurality of fins is oxidized. In some embodiments, after oxidizing the portion of the first epitaxial layer, a liner layer is formed over each of the plurality of fins. In various examples, an isolation region that is adjacent to the recess of the liner layer is formed. Thereafter, the liner layer can be etched to expose a portion of residual material (eg, Ge residue) that is adjacent to the portion of the second epitaxial layer of each of the plurality of fins At the bottom, as well as removing the portion of the residual material.

在另一實施例中,所描述的是一種在基板上沉積第一磊晶層以及在第一磊晶層上沉積第二磊晶層的方法。在一些實施例中,形成從該基板延伸的多個鰭。在各種示例中,該多個鰭中的每一個包括該基板的一部分、在該基板的該部分上的第一磊晶層的一部分,以及在該第一磊晶層的該部分上的第二磊晶層的一部分。該第二磊晶層的該部分具有一高度。在一些示例中,在該多個鰭中的每一個上沉積襯墊層。可形成隔離區,該隔離區鄰接於該襯墊層並且與該襯墊層接觸。在一些實施例中,蝕刻該襯墊層,以暴露剩餘材料部分,該剩餘材料部分鄰接於該第二磊晶層的該部分的底部,並且清洗該殘餘材料層部分。在一些情況下,在蝕刻該襯墊層之前,凹陷該隔離區,其凹陷的量小於第二磊晶層部分的高度。 In another embodiment, described is a method of depositing a first epitaxial layer on a substrate and depositing a second epitaxial layer on the first epitaxial layer. In some embodiments, a plurality of fins extending from the substrate are formed. In various examples, each of the plurality of fins includes a portion of the substrate, a portion of the first epitaxial layer on the portion of the substrate, and a second portion on the portion of the first epitaxial layer Part of the epitaxial layer. The portion of the second epitaxial layer has a height. In some examples, a liner layer is deposited on each of the plurality of fins. An isolation region can be formed that is adjacent to the liner layer and in contact with the liner layer. In some embodiments, the liner layer is etched to expose a portion of the remaining material that is adjacent to the bottom of the portion of the second epitaxial layer and that cleans the portion of the residual material layer. In some cases, the isolation region is recessed prior to etching the liner layer, the amount of depression being less than the height of the second epitaxial layer portion.

在另一實施例中,所描述的一種半導體元件,其包括從基板延伸的多個鰭。在一些示例中,該多個鰭的每一個包括第一半導體層、在該第一半導體層上的介電層,以及在該介電層上的第二半導體層。例如,該第二半導體層包括一底面,該底面定義了第一水平面。在各種實施例中,該半導體元件還包括凹陷的隔離區,該凹陷的隔離區鄰接於該多個鰭,其中該凹陷的隔離區包括鄰接於該第二半導體層的頂部,其中該頂部定義了第二水平面,並且其中該第二水平面設置在該第一水平面上。另外,該半導體元件可包括閘極堆疊,該閘極堆疊形成在該第二半導體層上。 In another embodiment, a semiconductor component is described that includes a plurality of fins extending from a substrate. In some examples, each of the plurality of fins includes a first semiconductor layer, a dielectric layer on the first semiconductor layer, and a second semiconductor layer on the dielectric layer. For example, the second semiconductor layer includes a bottom surface that defines a first horizontal plane. In various embodiments, the semiconductor device further includes a recessed isolation region, the recessed isolation region abutting the plurality of fins, wherein the recessed isolation region includes a top portion adjacent to the second semiconductor layer, wherein the top portion defines a second horizontal plane, and wherein the second horizontal plane is disposed on the first horizontal plane. Additionally, the semiconductor component can include a gate stack formed on the second semiconductor layer.

上述概括了幾個實施例的特徵,從而使本領域技術人員可以更好地理解本揭露的各方面。本領域技術人員應理解的是,其可容易地將本揭露作為設計或修改其它工藝的基礎,從而達到此處所引用的實施例的相同目的和/或實現相同的有益效果。本領域技術人員還應理解的是,這種等同的構造不能背離本揭露的精神和範圍,並且在 不背離本揭露的精神和範圍的情況下可進行各種改變、替換和更改。 The above summary of the features of several embodiments is provided to enable those skilled in the art to better understand the aspects of the disclosure. It will be appreciated by those skilled in the art that the present disclosure can be readily utilized as a basis for designing or modifying other processes to achieve the same objectives and/or achieve the same benefits. It should also be understood by those skilled in the art that such equivalent constructions may not depart from the spirit and scope of the disclosure, and Various changes, substitutions and alterations can be made without departing from the spirit and scope of the disclosure.

100-134‧‧‧方法 100-134‧‧‧ method

Claims (10)

一種製造半導體元件的方法,其包括:形成多個從基板延伸的鰭,其中該多個鰭中的每一個包括該基板的一部分、在該基板上的第一磊晶層的一部分,以及在該第一磊晶層的該部分上的第二磊晶層的一部分;氧化該多個鰭中的每一個的該第一磊晶層的該部分;在氧化該第一磊晶層的該部分之後,在該多個鰭中的每一個之上形成襯墊層;形成鄰接於該襯墊層的凹陷的隔離區;蝕刻該襯墊層,以暴露殘餘材料部分,該殘餘材料部分鄰接於該多個鰭中的每一個的該第二磊晶層的該部分的底部;以及移除該殘餘材料部分。 A method of fabricating a semiconductor device, comprising: forming a plurality of fins extending from a substrate, wherein each of the plurality of fins comprises a portion of the substrate, a portion of a first epitaxial layer on the substrate, and a portion of the second epitaxial layer on the portion of the first epitaxial layer; oxidizing the portion of the first epitaxial layer of each of the plurality of fins; after oxidizing the portion of the first epitaxial layer Forming a liner layer over each of the plurality of fins; forming an isolation region adjacent to the recess of the liner layer; etching the liner layer to expose a portion of the residual material adjacent to the plurality of fins a bottom of the portion of the second epitaxial layer of each of the fins; and removing the portion of the residual material. 根據權利要求1所述的方法,其中該殘餘材料部分包括鍺(Ge)殘餘物。 The method of claim 1 wherein the portion of residual material comprises a germanium (Ge) residue. 根據權利要求1所述的方法,還包括:在氧化該第一磊晶層的該部分之前,修整該多個鰭中的每一個的該第一磊晶層的該部分。 The method of claim 1 further comprising trimming the portion of the first epitaxial layer of each of the plurality of fins prior to oxidizing the portion of the first epitaxial layer. 根據權利要求1所述的方法,還包括:在形成多個鰭之前,在該基板中施行抗穿通(APT)離子佈植;並且在施行APT離子佈植之後且在形成該多個鰭之前,將該第一磊晶層沉積在該基板上並且將該第二磊晶層沉積在該第一磊晶層上。 The method of claim 1, further comprising: performing anti-punch-through (APT) ion implantation in the substrate prior to forming the plurality of fins; and after performing APT ion implantation and before forming the plurality of fins, Depositing the first epitaxial layer on the substrate and depositing the second epitaxial layer on the first epitaxial layer. 根據權利要求1所述的方法,其中該多個鰭中的每一個的該第二磊晶層的該部分包括無摻雜磊晶層。 The method of claim 1 wherein the portion of the second epitaxial layer of each of the plurality of fins comprises an undoped epitaxial layer. 根據權利要求1所述的方法,其中該第一磊晶層具有第一氧化速率,並且其中該第二磊晶層具有低於該第一氧化速率的第二氧化速率。 The method of claim 1 wherein the first epitaxial layer has a first oxidation rate, and wherein the second epitaxial layer has a second oxidation rate that is lower than the first oxidation rate. 一種半導體元件的製造方法,其包括:在基板上沉積第一磊晶層,並且在該第一磊晶層上沉積第二磊晶層;形成從該基板延伸的多個鰭,其中該多個鰭中的每一個包括該基板的一部分、在該基板的該部分上的第一磊晶層的一部分,以及在該第一磊晶層的該部分上的第二磊晶層的一部分,其中該第二磊晶層的該部分具有一高度;在該多個鰭中的每一個上沉積襯墊層;形成隔離區,該隔離區鄰接於該襯墊層並且與該襯墊層接觸;蝕刻該襯墊層,以暴露殘餘材料部分,該殘餘材料部分鄰接於該第二磊晶層的該部分的底部;以及清洗該殘餘材料層部分。 A method of fabricating a semiconductor device, comprising: depositing a first epitaxial layer on a substrate, and depositing a second epitaxial layer on the first epitaxial layer; forming a plurality of fins extending from the substrate, wherein the plurality of Each of the fins includes a portion of the substrate, a portion of the first epitaxial layer on the portion of the substrate, and a portion of the second epitaxial layer on the portion of the first epitaxial layer, wherein the The portion of the second epitaxial layer has a height; a liner layer is deposited on each of the plurality of fins; an isolation region is formed, the isolation region is adjacent to the liner layer and in contact with the liner layer; etching the a liner layer to expose a portion of the residual material adjacent to a bottom portion of the portion of the second epitaxial layer; and cleaning the portion of the residual material layer. 根據權利要求7所述的製造方法,其中該第一磊晶層包括鍺化矽(SiGe),其中該第二磊晶層包括硅(Si),並且其中該殘餘材料部分包括鍺(Ge)殘餘物。 The manufacturing method according to claim 7, wherein the first epitaxial layer comprises germanium telluride (SiGe), wherein the second epitaxial layer comprises silicon (Si), and wherein the residual material portion comprises germanium (Ge) residues Things. 一種半導體元件,其包括:從基板延伸的多個鰭,其中該多個鰭的每一個包括第一半導體層、在該第一半導體層上的介電層,以及在該介電層上的第二半導體層,其中該第二半導體層包括一底面,該底面定義了第一水平面;凹陷的隔離區,該凹陷的隔離區鄰接於該多個鰭,其中該凹陷的隔離區包括鄰接於該第二半導體層的頂部,其中該頂部定義了第二水平面,並且其中該第二水平面設置在該第一水平面上; 襯墊層,鄰接於該凹陷的隔離區;以及閘極堆疊,該閘極堆疊形成在該第二半導體層上。 A semiconductor component comprising: a plurality of fins extending from a substrate, wherein each of the plurality of fins comprises a first semiconductor layer, a dielectric layer on the first semiconductor layer, and a first layer on the dielectric layer a second semiconductor layer, wherein the second semiconductor layer comprises a bottom surface defining a first horizontal plane; a recessed isolation region, the recessed isolation region adjoins the plurality of fins, wherein the recessed isolation region comprises adjacent to the first a top of the second semiconductor layer, wherein the top defines a second horizontal plane, and wherein the second horizontal plane is disposed on the first horizontal plane; a pad layer adjacent to the isolation region of the recess; and a gate stack formed on the second semiconductor layer. 根據權利要求9所述的半導體元件,還包括:該凹陷的隔離區,其中該凹陷的隔離區包括第一介電材料,並且其中該第一介電材料包括一空隙,該空隙介於該第二半導體層的底部和該凹陷的隔離區之間,以及第二介電材料,該第二介電材料填充該空隙。 The semiconductor device of claim 9 further comprising: said recessed isolation region, wherein said recessed isolation region comprises a first dielectric material, and wherein said first dielectric material comprises a void, said void being between said Between the bottom of the second semiconductor layer and the isolation region of the recess, and a second dielectric material, the second dielectric material fills the void.
TW104137837A 2015-06-30 2015-11-17 Finfet channel on oxide structures and related methods TWI596675B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/788,300 US9773705B2 (en) 2015-06-30 2015-06-30 FinFET channel on oxide structures and related methods

Publications (2)

Publication Number Publication Date
TW201701358A TW201701358A (en) 2017-01-01
TWI596675B true TWI596675B (en) 2017-08-21

Family

ID=57684416

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104137837A TWI596675B (en) 2015-06-30 2015-11-17 Finfet channel on oxide structures and related methods

Country Status (4)

Country Link
US (5) US9773705B2 (en)
KR (1) KR101735205B1 (en)
CN (1) CN106328589B (en)
TW (1) TWI596675B (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10164096B2 (en) 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9805987B2 (en) * 2015-09-04 2017-10-31 International Business Machines Corporation Self-aligned punch through stopper liner for bulk FinFET
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
KR102413371B1 (en) * 2015-11-25 2022-06-28 삼성전자주식회사 Semiconductor device
US10297448B2 (en) * 2015-11-30 2019-05-21 International Business Machines Corporation SiGe fins formed on a substrate
US9735275B2 (en) * 2015-12-18 2017-08-15 International Business Machines Corporation Channel replacement and bimodal doping scheme for bulk finFET threshold voltage modulation with reduced performance penalty
US10998443B2 (en) 2016-04-15 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Epi block structure in semiconductor product providing high breakdown voltage
US10083962B2 (en) * 2016-09-02 2018-09-25 International Business Machines Corporation Fabrication of fin field effect transistors for complementary metal oxide semiconductor devices including separate n-type and p-type source/drains using a single spacer deposition
US10879240B2 (en) * 2016-11-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
KR102337408B1 (en) 2017-09-13 2021-12-10 삼성전자주식회사 Semiconductor devices having a vertical channel and method of manufacturing the same
US10714394B2 (en) 2017-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fin isolation structures of semiconductor devices
US10790380B2 (en) * 2017-10-20 2020-09-29 Mediatek Inc. Semiconductor chip and manufacturing method thereof
KR102421763B1 (en) 2017-11-08 2022-07-18 삼성전자주식회사 Semiconductor device and Method of fabricating the same
FR3074608B1 (en) * 2017-12-05 2019-12-06 Soitec PROCESS FOR THE PREPARATION OF A RESIDUE OF A DONOR SUBSTRATE, SUBSTRATE OBTAINED AT THE END OF THIS PROCESS, AND USE OF SUCH A SUBSTRATE
WO2019139572A1 (en) * 2018-01-10 2019-07-18 Intel Corporation Bottom fin trim isolation aligned with top gate for stacked device architectures
US10644157B2 (en) * 2018-07-31 2020-05-05 Globalfoundries Inc. Fin-type field effect transistors with uniform channel lengths and below-channel isolation on bulk semiconductor substrates and methods
DE102019131057A1 (en) * 2018-11-29 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD
US11121238B2 (en) 2018-11-29 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10840245B1 (en) * 2019-07-14 2020-11-17 Globalfoundries Inc. Semiconductor device with reduced parasitic capacitance
KR20210056154A (en) 2019-11-08 2021-05-18 삼성전자주식회사 Active pattern structure and semiconductor device including the same
US11189697B2 (en) 2020-04-01 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra-thin fin structure and method of fabricating the same
KR20220009156A (en) 2020-07-15 2022-01-24 삼성전자주식회사 Semiconductor device having upper channels and lower channels and method of manufacturing the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070120156A1 (en) * 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Multi Layer Regions
US8362572B2 (en) * 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
TW201342614A (en) * 2011-12-20 2013-10-16 Intel Corp Column IV transistors for PMOS integration
TW201505181A (en) * 2013-07-29 2015-02-01 Taiwan Semiconductor Mfg Co Ltd FinFET device and method for manufacturing the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4473651B2 (en) 2004-06-18 2010-06-02 株式会社東芝 Manufacturing method of semiconductor device
JP5285947B2 (en) 2008-04-11 2013-09-11 株式会社東芝 Semiconductor device and manufacturing method thereof
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8859389B2 (en) 2011-01-28 2014-10-14 Kabushiki Kaisha Toshiba Methods of making fins and fin field effect transistors (FinFETs)
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US9735255B2 (en) 2013-01-18 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a finFET device including a stem region of a fin element
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9035277B2 (en) 2013-08-01 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9159833B2 (en) * 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070120156A1 (en) * 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Multi Layer Regions
US8362572B2 (en) * 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
TW201342614A (en) * 2011-12-20 2013-10-16 Intel Corp Column IV transistors for PMOS integration
TW201505181A (en) * 2013-07-29 2015-02-01 Taiwan Semiconductor Mfg Co Ltd FinFET device and method for manufacturing the same

Also Published As

Publication number Publication date
KR101735205B1 (en) 2017-05-12
US20220319929A1 (en) 2022-10-06
US10522407B2 (en) 2019-12-31
US20170005002A1 (en) 2017-01-05
US11367659B2 (en) 2022-06-21
US20200144125A1 (en) 2020-05-07
US20180025946A1 (en) 2018-01-25
US11972981B2 (en) 2024-04-30
CN106328589B (en) 2020-01-07
KR20170003347A (en) 2017-01-09
CN106328589A (en) 2017-01-11
US11652001B2 (en) 2023-05-16
US9773705B2 (en) 2017-09-26
TW201701358A (en) 2017-01-01
US20210296177A1 (en) 2021-09-23

Similar Documents

Publication Publication Date Title
TWI596675B (en) Finfet channel on oxide structures and related methods
US10943925B2 (en) Method of forming FinFET channel and structures thereof
US11942548B2 (en) Multi-gate device and method of fabrication thereof
US11355611B2 (en) Multi-gate device and method of fabrication thereof
US11211295B2 (en) FinFET doping methods and structures thereof
US20170194213A1 (en) Multi-Gate Device and Method of Fabrication Thereof
KR101657852B1 (en) A semiconductor device having dummy gate structure and methods thereof
US20220336632A1 (en) Gate Patterning Process For Multi-Gate Devices
KR101419122B1 (en) Method of semiconductor integrated circuit fabrication
US11799017B2 (en) Semiconductor device structure with uniform threshold voltage distribution and method of forming the same
US11848241B2 (en) Semiconductor structure and related methods