TWI584433B - Semiconductor structure and manufacturing method thereof - Google Patents

Semiconductor structure and manufacturing method thereof Download PDF

Info

Publication number
TWI584433B
TWI584433B TW102115458A TW102115458A TWI584433B TW I584433 B TWI584433 B TW I584433B TW 102115458 A TW102115458 A TW 102115458A TW 102115458 A TW102115458 A TW 102115458A TW I584433 B TWI584433 B TW I584433B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
contacts
metal gate
metal
Prior art date
Application number
TW102115458A
Other languages
Chinese (zh)
Other versions
TW201442176A (en
Inventor
洪慶文
黃志森
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW102115458A priority Critical patent/TWI584433B/en
Publication of TW201442176A publication Critical patent/TW201442176A/en
Application granted granted Critical
Publication of TWI584433B publication Critical patent/TWI584433B/en

Links

Description

半導體結構及其製作方法 Semiconductor structure and manufacturing method thereof

本發明係有關於半導體製程領域,尤其是一種利用金屬閘極上的遮罩層搭配複數次蝕刻製程,以同時形成半導體元件各接觸結構的方法。 The invention relates to the field of semiconductor manufacturing, in particular to a method for simultaneously forming a contact structure of a semiconductor element by using a mask layer on a metal gate with a plurality of etching processes.

隨著積體電路(IC)積集度不斷提升以及特徵尺寸(feature size)持續降低,半導體元件的內連線線寬與幾何尺寸也越來越小。一般而言,積體電路中的各個獨立的半導體元件係藉由接觸插塞以及內連線結構而使其互相電連接。因此,插塞結構及其製程在次世代的半導體製程中也愈顯重要。 As the integration of integrated circuits (ICs) continues to increase and the feature size continues to decrease, the interconnect line width and geometry of semiconductor components are becoming smaller and smaller. In general, the individual semiconductor elements in the integrated circuit are electrically connected to each other by the contact plug and the interconnect structure. Therefore, the plug structure and its process are becoming more and more important in the next generation of semiconductor manufacturing.

受限於目前半導體後段製程(back end of the line,BEOL)的製程能力,現行技術仍無法滿足高深寬比(high aspect ratio,HAR)的接觸洞蝕刻製程的良率,以及提高相關積集度的製程整合技術的要求插塞結構無法僅透過一步的金屬沈積、研磨製程而得。為了克服這些製程障礙,目前業界逐漸以雙重圖案化技術(顯影-蝕刻-顯影-蝕刻,2P2E)的方式來製作所需的元件圖案。係以兩段式金屬沈積製程取代習知一步式的金屬沈積製程。大致而言,習知的接觸插塞結構係被拆解成兩部分,其一為下層接觸結構,另一則為上層金屬結構(或被稱為第零金屬層,M0)。當下層接觸結構製備完成後,才會繼續於其上形成上層金屬結構,且上層金屬結構不限於柱狀結構,其也可以是條狀結構。然而,由於上層金屬結構與下層接觸結構在 不同步驟中完成,因此將有一阻障層(barrier layer)位於上層金屬結構與下層接觸結構的交界處,可能會影響接觸插塞結構的導電性,此外該製程步驟也較為複雜。 Due to the current process capability of the semiconductor back end of the line (BEOL), the current technology still cannot meet the high aspect ratio (HAR) contact hole etching process yield and improve the correlation accumulation. The process integration technology requires that the plug structure cannot be obtained by a single metal deposition or polishing process. In order to overcome these process obstacles, the industry is gradually making the required component patterns by means of double patterning (developing-etching-developing-etching, 2P2E). The two-stage metal deposition process replaces the conventional one-step metal deposition process. In general, conventional contact plug structures are broken down into two parts, one being a lower contact structure and the other being an upper metal structure (or referred to as a zeroth metal layer, M0). After the preparation of the underlying contact structure is completed, the upper metal structure is continuously formed thereon, and the upper metal structure is not limited to the columnar structure, and it may also be a strip structure. However, since the upper metal structure and the lower layer contact structure are The steps are completed in different steps, so that a barrier layer is located at the boundary between the upper metal structure and the lower contact structure, which may affect the conductivity of the contact plug structure, and the process step is also complicated.

因此,尚需要一種改良式的內連線結構及其製作方法以克服上述缺點。 Accordingly, there is a need for an improved interconnect structure and method of making the same to overcome the above disadvantages.

為解決上述問題,本發明提供一種一半導體結構,包含有一基底,一第一介電層,位於該基底上,一金屬閘極,位於該介電層中,一源/汲極區域,位於該金屬閘極的兩側,以及一遮罩層,位於該金屬閘極上,且該遮罩層的頂端與該第一介電層的頂端齊平。 In order to solve the above problems, the present invention provides a semiconductor structure including a substrate, a first dielectric layer on the substrate, a metal gate, in the dielectric layer, and a source/drain region. Both sides of the metal gate, and a mask layer, are located on the metal gate, and the top end of the mask layer is flush with the top end of the first dielectric layer.

本發明另提供一種半導體結構的製作方法,至少包含有以下步驟:首先,提供一基底,該基底上形成有一第一介電層,至少一金屬閘極位於該第一介電層中,以及至少一源/汲極區域位於該金屬閘極的兩側,接著形成一第二介電層於該第一介電層上,然後進行一第一蝕刻製程,於該第一介電層以及該第二介電層中形成複數個第一凹槽,並曝露各該源/汲極區域,再進行一金屬矽化物製程,以於該第一凹槽內形成一金屬矽化物層,以及進行一第二蝕刻製程,於該第一介電層中以及該第二介電層中形成複數個第二凹槽,其中該第二凹槽曝露該金屬閘極。 The present invention further provides a method for fabricating a semiconductor structure, comprising the steps of: firstly providing a substrate having a first dielectric layer formed thereon, at least one metal gate being located in the first dielectric layer, and at least a source/drain region is disposed on both sides of the metal gate, and then a second dielectric layer is formed on the first dielectric layer, and then a first etching process is performed on the first dielectric layer and the first Forming a plurality of first recesses in the two dielectric layers, exposing each of the source/drain regions, and performing a metal telluride process to form a metal telluride layer in the first recess and performing a first And a second etching process is formed in the first dielectric layer and the second dielectric layer, wherein the second recess exposes the metal gate.

本發明特徵在於,包含有一遮罩層位於金屬閘極的頂端,並且利用不同的蝕刻選擇比的蝕刻氣體,選擇性地蝕刻該遮罩層以及介電層。如此一來,將可以同時完成與閘極上的結構以及與源/汲極區域上的接觸結構,取代習知的下層接觸結構與上層金屬層 (M0)共兩部分,減少製程步驟。 The invention is characterized in that a mask layer is included at the top end of the metal gate, and the mask layer and the dielectric layer are selectively etched using different etching selectivity gases. In this way, the structure on the gate and the contact structure on the source/drain region can be completed at the same time, replacing the conventional underlying contact structure and the upper metal layer. (M0) A total of two parts, reducing the process steps.

1‧‧‧半導體元件 1‧‧‧Semiconductor components

10‧‧‧基底 10‧‧‧Base

12‧‧‧金屬閘極 12‧‧‧Metal gate

12a‧‧‧金屬閘極 12a‧‧‧Metal gate

12b‧‧‧金屬閘極 12b‧‧‧Metal gate

12c‧‧‧金屬閘極 12c‧‧‧Metal gate

14‧‧‧源/汲極區域 14‧‧‧Source/bungee area

15‧‧‧磊晶層 15‧‧‧ epitaxial layer

16‧‧‧鰭狀結構 16‧‧‧Fin structure

17‧‧‧淺溝隔離 17‧‧‧Shallow trench isolation

18‧‧‧側壁子 18‧‧‧ Sidewall

20‧‧‧蝕刻停止層 20‧‧‧etch stop layer

22‧‧‧第一介電層 22‧‧‧First dielectric layer

24‧‧‧遮罩層 24‧‧‧ mask layer

26‧‧‧第二介電層 26‧‧‧Second dielectric layer

28‧‧‧光阻層 28‧‧‧Photoresist layer

28a‧‧‧有機介電層 28a‧‧‧Organic Dielectric Layer

28b‧‧‧含矽遮罩抗反射層 28b‧‧‧Anti-reflective layer with enamel mask

28c‧‧‧光阻層 28c‧‧‧ photoresist layer

30‧‧‧開口 30‧‧‧ openings

32‧‧‧第一凹槽 32‧‧‧First groove

34‧‧‧金屬矽化物層 34‧‧‧metal telluride layer

38‧‧‧光阻層 38‧‧‧Photoresist layer

38a‧‧‧有機介電層 38a‧‧‧Organic Dielectric Layer

38b‧‧‧含矽遮罩抗反射層 38b‧‧‧矽 矽 抗 anti-reflective layer

38c‧‧‧光阻層 38c‧‧‧ photoresist layer

40‧‧‧開口 40‧‧‧ openings

42‧‧‧第二凹槽 42‧‧‧second groove

44‧‧‧阻障層 44‧‧‧Barrier layer

46‧‧‧金屬層 46‧‧‧metal layer

52‧‧‧第一接觸 52‧‧‧ first contact

54‧‧‧第二接觸 54‧‧‧second contact

54A‧‧‧第二接觸 54A‧‧‧second contact

60‧‧‧第三介電層 60‧‧‧ third dielectric layer

61‧‧‧金屬層 61‧‧‧metal layer

62‧‧‧導電通孔 62‧‧‧Electrical through holes

64‧‧‧導線 64‧‧‧Wire

66‧‧‧第三接觸 66‧‧‧ Third contact

E1‧‧‧蝕刻步驟 E1‧‧‧ etching step

E2‧‧‧蝕刻步驟 E2‧‧‧ etching step

E3‧‧‧蝕刻步驟 E3‧‧‧ etching step

第1~9圖繪示製作本發明第一較佳實施例之鰭狀電晶體元件的結構示意圖。 1 to 9 are schematic views showing the structure of a fin-shaped transistor device according to a first preferred embodiment of the present invention.

為使熟習本發明所屬技術領域之一般技藝者能更進一步了解本發明,下文特列舉本發明之較佳實施例,並配合所附圖式,詳細說明本發明的構成內容及所欲達成之功效。 The present invention will be further understood by those of ordinary skill in the art to which the present invention pertains. .

為了方便說明,本發明之各圖式僅為示意以更容易了解本發明,其詳細的比例可依照設計的需求進行調整。在文中所描述對於圖形中相對元件之上下關係,在本領域之人皆應能理解其係指物件之相對位置而言,因此皆可以翻轉而呈現相同之構件,此皆應同屬本說明書所揭露之範圍,在此容先敘明。 For the convenience of description, the drawings of the present invention are only for the purpose of understanding the present invention, and the detailed proportions thereof can be adjusted according to the design requirements. As described in the text for the relative relationship between the relative elements in the figure, it should be understood by those skilled in the art that it refers to the relative position of the object, and therefore can be flipped to present the same member, which should belong to the same specification. The scope of the disclosure is hereby stated.

請參考第1~9圖,其繪示製作本發明第一較佳實施例之鰭狀電晶體元件的結構示意圖,如第1圖所示,首先,提供一基底10,基底10上包含有至少一金屬閘極12以及至少一源/汲極區域14位於各金屬閘極12的兩側,此外,基底10上可選擇性包含有至少一鰭狀結構16,在本實施例中,金屬閘極12包含金屬材料,而源/汲極區域14可由離子佈值(ion implantation)的方式形成於金屬閘極12兩側的基底10中,或是形成於金屬閘極12兩側的鰭狀結構16中。在金屬閘極12周圍的基底10中,更包含有至少一淺溝隔離17,以電性隔離位於基底10上的鰭狀結構16以及其他的半導體元件。 本實施例中,金屬閘極12可能位在基底10上與鰭狀結構16交叉(如第1圖中的金屬閘極12a),或是可能位於淺溝隔離17上(如第1圖中的金屬閘極12c),以作為虛置閘極(dummy gate)使用,或是可能位於鰭狀結構16的邊緣(如第1圖中的金屬閘極12b),以保護鰭狀結構16的結構完整性。 Referring to FIGS. 1-9, a schematic structural view of a fin-shaped transistor component according to a first preferred embodiment of the present invention is shown. As shown in FIG. 1, first, a substrate 10 is provided, and the substrate 10 includes at least A metal gate 12 and at least one source/drain region 14 are located on opposite sides of each of the metal gates 12. Further, the substrate 10 may optionally include at least one fin structure 16, in this embodiment, a metal gate. 12 includes a metal material, and source/drain regions 14 may be formed in the substrate 10 on either side of the metal gate 12 by ion implantation, or fin structures formed on both sides of the metal gate 12. in. The substrate 10 around the metal gate 12 further includes at least one shallow trench isolation 17 to electrically isolate the fin structure 16 and other semiconductor components on the substrate 10. In this embodiment, the metal gate 12 may be on the substrate 10 to intersect the fin structure 16 (such as the metal gate 12a in FIG. 1), or may be located on the shallow trench isolation 17 (as in FIG. 1). The metal gate 12c) is used as a dummy gate or may be located at the edge of the fin structure 16 (such as the metal gate 12b in FIG. 1) to protect the structural integrity of the fin structure 16. Sex.

此外,本實施例的製作方法,在源/汲極區域14上更可選擇性形成有一磊晶層(epoxy layer)15。此外,更可能選擇性包含有形成一側壁子18以及一蝕刻停止層20在金屬閘極12的兩側壁上,接著覆蓋上一第一介電層22後,進行一平坦化步驟,例如為一化學機械研磨製程(CMP),使得金屬閘極12的頂端與第一介電層22的頂端齊平。值得注意的是,本實施例中,在金屬閘極12完成之後,將以一蝕刻製程,移除金屬閘極12的部分頂端,並且形成一遮罩層24取代頂端部分的金屬閘極12,且進行另一次平坦化步驟去除多餘的遮罩層24。也就是說,本實施例在金屬閘極12的頂端,更包含有一遮罩層24,且遮罩層24的頂端與第一介電層22的頂端切齊,此外,由於遮罩層24是取代原先金屬閘極12的部分頂端,因此遮罩層24只位於金屬閘極12上,且位於側壁子18之間。另外,因為進行上述另一次平坦化的過程中,也會移除部分的側壁子18與蝕刻停止層20,因此側壁子18與蝕刻停止層20的頂端有一截面(truncated surface)。本實施例中,側壁子18、蝕刻停止層20以及遮罩層24材質主要為氮化矽,而第一介電層22的主要材質為氧化矽,但不限於此。上述各元件的材料與製作方式,皆為本發明的技術人員所熟知的技術,在此不多贅述。 In addition, in the fabrication method of the embodiment, an epoxy layer 15 is selectively formed on the source/drain region 14. In addition, it is more preferable to selectively form a sidewall 18 and an etch stop layer 20 on both sidewalls of the metal gate 12, and then cover a first dielectric layer 22, and then perform a planarization step, for example, The chemical mechanical polishing process (CMP) causes the top end of the metal gate 12 to be flush with the top end of the first dielectric layer 22. It should be noted that, in this embodiment, after the metal gate 12 is completed, a portion of the top end of the metal gate 12 is removed by an etching process, and a mask layer 24 is formed instead of the metal gate 12 of the top portion. And another planarization step is performed to remove the excess mask layer 24. That is, the present embodiment further includes a mask layer 24 at the top end of the metal gate 12, and the top end of the mask layer 24 is aligned with the top end of the first dielectric layer 22, and further, since the mask layer 24 is Instead of the partial top end of the original metal gate 12, the mask layer 24 is only located on the metal gate 12 and between the side walls 18. In addition, since the partial sidewalls 18 and the etch stop layer 20 are also removed during the above-described another planarization, the sidewalls 18 and the top end of the etch stop layer 20 have a truncated surface. In this embodiment, the material of the sidewall spacer 18, the etch stop layer 20, and the mask layer 24 is mainly tantalum nitride, and the main material of the first dielectric layer 22 is tantalum oxide, but is not limited thereto. The materials and manufacturing methods of the above various elements are all well-known technologies of the present invention, and will not be further described herein.

接著如第2圖所示,形成一第二介電層26於第一介電層 22上,本實施例中,第二介電層26的主要材質氧化矽,但不限於此。然後形成一光阻層28於第二介電層26上,其中光阻層28可為單層結構或是多層結構,根據本發明的一實施例,光阻層28依序包含有一有機介電層(organic dielectric layer,ODL)28a,一含矽遮罩抗反射層(silicon-containing hardmask bottom anti-reflecting coating,SHB)28b以及一光阻層(PR)28c,簡而言之,本實施例中的光阻層28為一由ODL/SHB/PR組成的三層結構,但不限於此。接著為了製作後續與源/汲極區域14電性連接的插塞(該插塞可取代習知技術中,與源/汲極區域14電性連接的下層接觸結構以及上層金屬結構,在此稱作第零金屬接觸,M0CT),進行一曝光顯影製程,圖案化光阻層28c,以形成複數個開口30,且各開口30的位置至少對應下方的源/汲極區域14,但值得注意的是,部分開口30也可能對應至金屬閘極12周圍的淺溝隔離17上,以降低電晶體結構(主要包含有金屬閘極12、源/汲極區域14以及鰭狀結構16)的所在區域與周圍區域之間的圖案密度差異(pattern density difference)。 Next, as shown in FIG. 2, a second dielectric layer 26 is formed on the first dielectric layer. 22, in the present embodiment, the main material of the second dielectric layer 26 is ruthenium oxide, but is not limited thereto. Then, a photoresist layer 28 is formed on the second dielectric layer 26, wherein the photoresist layer 28 can be a single layer structure or a multilayer structure. According to an embodiment of the invention, the photoresist layer 28 sequentially comprises an organic dielectric layer. An organic dielectric layer (ODL) 28a, a silicon-containing hard mask bottom anti-reflecting coating (SHB) 28b, and a photoresist layer (PR) 28c. In short, the embodiment The photoresist layer 28 is a three-layer structure composed of ODL/SHB/PR, but is not limited thereto. Next, in order to fabricate a plug which is subsequently electrically connected to the source/drain region 14 (the plug can replace the underlying contact structure and the upper metal structure electrically connected to the source/drain region 14 in the prior art, referred to herein as As a zeroth metal contact, M0CT), an exposure and development process is performed to pattern the photoresist layer 28c to form a plurality of openings 30, and the positions of the openings 30 correspond at least to the source/drain regions 14 below, but are notable Yes, a portion of the opening 30 may also correspond to the shallow trench isolation 17 around the metal gate 12 to reduce the area of the transistor structure (mainly including the metal gate 12, the source/drain region 14 and the fin structure 16). A pattern density difference from the surrounding area.

接下來,如第3~4圖所示,進行至少一次的蝕刻步驟E1,以將開口30的圖案轉移到下方各層結構中,其中蝕刻步驟E1包含:由上而下,依序蝕刻含矽遮罩抗反射層28b,有機介電層28a,第二介電層26以及第一介電層22,直到曝露出蝕刻停止層20為止,接下來如第4圖所示,再進行另一蝕刻步驟E2,將部分的蝕刻停止層20移除,以曝露出下方的磊晶層15,並形成複數個第一凹槽32,各第一凹槽32曝露各該磊晶層15,值得注意的是,由於本發明中磊晶層15為選擇性形成,因此各第一凹槽32也可能直接曝露各源/汲極區域14,此外,根據不同的實施例,部分之各第一凹槽32也可能位於淺溝隔離17上。 Next, as shown in FIGS. 3 to 4, at least one etching step E1 is performed to transfer the pattern of the opening 30 into the lower layer structure, wherein the etching step E1 includes: etching from top to bottom, sequentially etching the mask The anti-reflection layer 28b, the organic dielectric layer 28a, the second dielectric layer 26 and the first dielectric layer 22 are exposed until the etch stop layer 20 is exposed, and then another etching step is performed as shown in FIG. E2, a portion of the etch stop layer 20 is removed to expose the underlying epitaxial layer 15 and a plurality of first recesses 32 are formed, each of the first recesses 32 exposing each of the epitaxial layers 15, notably Since the epitaxial layer 15 is selectively formed in the present invention, each of the first recesses 32 may directly expose the source/drain regions 14, and further, according to different embodiments, portions of the first recesses 32 are also May be located on the shallow trench isolation 17.

本實施例中所用的蝕刻步驟以氣體蝕刻為主,可包含有全氟化碳氣體(Perfluorocarbon gases),例如四氟化碳(Tetrafluoromethane,CF4)、三氟甲烷(Fluoroform,CHF3)、全氟丁二烯(Hexa-fluoro-1,3+butadiene,C4F6)等,另外包含氧氣與氬氣(Argon,Ar),但不限於此。值得注意的是,若蝕刻氣體中所包含的全氟化碳氣體與氧氣比值愈高,則該蝕刻氣體對於氧化矽/氮化矽的蝕刻選擇比愈高。換句話說,若蝕刻氣體中所包含的全氟化碳氣體比例較高,則在蝕刻過程中,蝕刻氧化矽的速率將會大於蝕刻氮化矽的速率愈多。由於本實施例中,第一介電層12與第二介電層22材質主要為氧化矽,而蝕刻停止層20材質主要包含有氮化矽,本實施例中,蝕刻步驟E1選用對於氧化矽/氮化矽的蝕刻選擇相對較高(較佳大於5)的氣體,所以蝕刻第二介電層22以及第一介電層12的速率較快,但蝕刻蝕刻停止層20的速率較慢,且蝕刻步驟E1進行時,蝕刻第二介電層22以及第一介電層12的速率,至少大於蝕刻蝕刻停止層20的速率五倍以上,因此蝕刻步驟E1不容易蝕穿蝕刻停止層20,將會停在蝕刻停止層20的表面。接著在蝕刻步驟E2中,再選用其他氣體進行蝕刻,以移除位於第一凹槽32底部的蝕刻停止層20。 The etching step used in this embodiment is mainly gas etching, and may include perfluorocarbon gases such as Tetrafluoromethane (CF4), trifluoromethane (Fluoroform, CHF3), and perfluorobutane. Diene (Hexa-fluoro-1, 3+butadiene, C4F6), etc., additionally contains oxygen and argon (Argon, Ar), but is not limited thereto. It is worth noting that the higher the ratio of the perfluorocarbon gas to the oxygen contained in the etching gas, the higher the etching selectivity ratio of the etching gas to yttrium oxide/tantalum nitride. In other words, if the proportion of the perfluorocarbon gas contained in the etching gas is high, the rate of etching the yttrium oxide during the etching process will be greater than the rate of etching the tantalum nitride. In this embodiment, the first dielectric layer 12 and the second dielectric layer 22 are mainly yttrium oxide, and the etch stop layer 20 material mainly contains tantalum nitride. In this embodiment, the etching step E1 is selected for yttrium oxide. The etch of tantalum nitride selects a relatively high (preferably greater than 5) gas, so the rate at which the second dielectric layer 22 and the first dielectric layer 12 are etched is faster, but the rate at which the etch stop layer 20 is etched is slower. When the etching step E1 is performed, the rate of etching the second dielectric layer 22 and the first dielectric layer 12 is at least five times greater than the rate of etching the etch stop layer 20, so the etching step E1 does not easily etch through the etch stop layer 20, It will stop at the surface of the etch stop layer 20. Next, in the etching step E2, another gas is selected for etching to remove the etch stop layer 20 at the bottom of the first recess 32.

如第5圖所示,對磊晶層15進行一自對準金屬矽化物製程(self-aligned silicide,salicide),以於第一凹槽32底部形成一金屬矽化物層34。該自對準金屬矽化物製程主要包含有填入一金屬層(圖未示)於各第一凹槽32中,再進行一加熱步驟,於金屬層與含矽表面的邊界處形成一金屬矽化物層34,之後再移除位於第一凹槽內的該金屬層。值得注意的是,本發明中的金屬矽化物層34僅形成於含 矽的表面,因此可能形成於鰭狀結構16、磊晶層15或是基底10上,而不會形成於淺溝隔離17上。 As shown in FIG. 5, a self-aligned silicide (salicide) is performed on the epitaxial layer 15 to form a metal telluride layer 34 at the bottom of the first recess 32. The self-aligned metal telluride process mainly comprises filling a metal layer (not shown) in each of the first recesses 32, and performing a heating step to form a metal germanium at the boundary between the metal layer and the germanium-containing surface. The layer 34 is then removed from the metal layer located in the first recess. It should be noted that the metal telluride layer 34 in the present invention is formed only in The surface of the crucible may thus be formed on the fin structure 16, the epitaxial layer 15 or the substrate 10 without being formed on the shallow trench isolation 17.

如第6圖所示,再次覆蓋一光阻層38,其中光阻層38材質可與光阻層28相同,包含有一有機介電層38a,含矽遮罩抗反射層38b以及一光阻層38c。為了製作後續與源金屬閘極12電性連接的插塞(該插塞可取代習知技術中,與金屬閘極12電性連接的下層接觸結構與上層金屬結構,在此稱作第零金屬閘極接觸,M0PY),進行一曝光顯影步驟,圖案化光阻層38c,形成複數個開口40,且各開口40之位置至少對應於部分的金屬閘極12,但不限於此,也有部分的開口40位置可能對應到淺溝隔離17上。 As shown in FIG. 6, a photoresist layer 38 is again covered, wherein the photoresist layer 38 is made of the same material as the photoresist layer 28, and includes an organic dielectric layer 38a, a germanium mask-containing anti-reflective layer 38b, and a photoresist layer. 38c. In order to fabricate a plug which is subsequently electrically connected to the source metal gate 12 (the plug can replace the underlying contact structure and the upper metal structure electrically connected to the metal gate 12 in the prior art, referred to herein as the zeroth metal Gate contact, M0PY), performing an exposure development step, patterning the photoresist layer 38c, forming a plurality of openings 40, and the positions of the openings 40 correspond at least to a portion of the metal gate 12, but are not limited thereto, and some are also The position of the opening 40 may correspond to the shallow trench isolation 17.

接下來如第7圖所示,進行一蝕刻步驟E3,將開口40的圖案轉移到下方各層結構中,蝕刻步驟E3包含有:由上而下,依序蝕刻含矽遮罩抗反射層38b,有機介電層38a,第二介電層26以及遮罩層24。值得注意的是,蝕刻步驟E3中,調整蝕刻氣體中全氟化碳氣體與氧氣的比值,使該氣體對於氧化矽/氮化矽的蝕刻速率相近,也就是說,蝕刻步驟E3選用的氣體,對於氧化矽/氮化矽的蝕刻選擇比相對較低(較佳小於5),因此該氣體可同時移除氧化矽與氮化矽層,蝕刻步驟E3進行之後,各該金屬閘極12的頂部可直接被曝露,並形成複數個第二凹槽42。之後可進行一灰化步驟(ash process)或其他蝕刻製程,以移除剩餘的光阻以及位於第一凹槽32或是第二凹槽42內多餘的有機介電層38a。 Next, as shown in FIG. 7, an etching step E3 is performed to transfer the pattern of the opening 40 to the lower layer structure. The etching step E3 includes: sequentially etching the anti-reflective layer 38b containing the germanium mask from top to bottom. The organic dielectric layer 38a, the second dielectric layer 26, and the mask layer 24. It should be noted that in the etching step E3, the ratio of the perfluorocarbon gas to the oxygen in the etching gas is adjusted so that the etching rate of the gas for the tantalum oxide/tantalum nitride is similar, that is, the gas selected in the etching step E3, The etching selectivity ratio for yttria/tantalum nitride is relatively low (preferably less than 5), so the gas can simultaneously remove the yttrium oxide and tantalum nitride layers, and after the etching step E3, the top of each of the metal gates 12 It can be directly exposed and a plurality of second grooves 42 are formed. An ash process or other etching process can then be performed to remove the remaining photoresist and the excess organic dielectric layer 38a in the first recess 32 or the second recess 42.

如第8圖所示,依序填入一阻障層44與金屬層46於各該第一凹槽32與各該第二凹槽42中,阻障層44可包括氮化鈦 (Titanium nitride,TiN)與氮化鉭(Tantalum nitride,TaN)或是鈦/氮化鈦等多層阻障層等,以增進各凹槽內壁與後續形成的金屬層之間的附著力,而金屬層46較佳包括鎢(tungsten,W),其具有較佳的填洞能力(gap fill performance)。接下來再進行一平坦化步驟,以移除位於第二介電層26頂部多餘的阻障層與金屬層,以於第一介電層12以及第二介電層26中,同時完成複數個第一接觸52與複數個第二接觸54,其中各第一接觸52至少與部分的源/汲極區域14電性連接(也就是說,本實施例的各第一接觸52為上述的M0CT),各第二接觸54至少與部分的金屬閘極12電性連接(也就是說,本實施例的各第二接觸54為上述的M0PY)。此外,由於各第一接觸52與各第二接觸54係同時填入金屬層46後完成,因此各第一接觸52與各第二接觸54皆是一體成型結構(monolithically formed structure)。值得注意的是,本實施例中,由於可能有部分的第二凹槽42位置與部分的第一凹槽32重疊,因此有部分的第一接觸52會直接接觸第二接觸54(如第8圖中的第二接觸54A),該些第一接觸52與第二接觸54的連接部分,可作為半導體元件中的共用接觸(share contact)使用,但不限於此。 As shown in FIG. 8, a barrier layer 44 and a metal layer 46 are sequentially filled in each of the first recess 32 and each of the second recesses 42, and the barrier layer 44 may include titanium nitride. (Titanium nitride, TiN) and tantalum nitride (TaN) or a multilayer barrier layer such as titanium/titanium nitride to enhance the adhesion between the inner wall of each groove and the subsequently formed metal layer. Metal layer 46 preferably includes tungsten (tungsten, W) which has a preferred gap fill performance. Next, a planarization step is performed to remove the excess barrier layer and the metal layer on the top of the second dielectric layer 26 to complete the plurality of layers in the first dielectric layer 12 and the second dielectric layer 26 simultaneously. The first contact 52 and the plurality of second contacts 54, wherein each of the first contacts 52 is electrically connected to at least a portion of the source/drain regions 14 (that is, each of the first contacts 52 of the embodiment is the MOCT described above). Each of the second contacts 54 is electrically connected to at least a portion of the metal gate 12 (that is, each of the second contacts 54 of the embodiment is the above-mentioned MOPY). In addition, since each of the first contacts 52 and the second contacts 54 are completed after simultaneously filling the metal layer 46, each of the first contacts 52 and each of the second contacts 54 are monolithically formed. It should be noted that, in this embodiment, since a portion of the second recess 42 may overlap the portion of the first recess 32, a portion of the first contact 52 directly contacts the second contact 54 (eg, 8th The second contact 54A) in the figure, the connection portion of the first contact 52 and the second contact 54 can be used as a share contact in the semiconductor element, but is not limited thereto.

如第9圖所示,進行後續的金屬內連線製程(metal interconnect process),形成一第三介電層60於第二介電層26的頂部,此處的第三介電層60例如為習知技術中的金屬內介電層(inter metal dielectric,IMD),並且以蝕刻等方式,於第三介電層60中形成複數個導電通孔圖案(圖未示)與複數條導線圖案(圖未示),最後同時填入一金屬層61於各該導電通孔圖案與各該導線圖案之中,並進行一平坦化步驟以移除第三介電層60表面多餘的金屬層,以完成複數個導電通孔結構62以及複數條導線結構64。在本實施例中,由 於各導電通孔結構62以及各導線結構64係同時填入金屬層後完成,因此兩者可一併視為一第三接觸66,且各第三接觸66為一體成型的結構。各導電通孔結構62係沿著垂直方向直接接觸位於下方的第一接觸52或第二接觸54,而各導線結構64則位於同一水平面上,沿著水平方向連接基底10上的其他元件。從上視圖來看,導電通孔結構62可能呈現矩形、圓形或其他形狀的塊狀結構,而導線結構64則可能呈現長條線型結構,但不限於此。 As shown in FIG. 9, a subsequent metal interconnect process is performed to form a third dielectric layer 60 on top of the second dielectric layer 26, where the third dielectric layer 60 is, for example, A plurality of conductive via patterns (not shown) and a plurality of conductive patterns are formed in the third dielectric layer 60 by etching or the like in an inter-metal dielectric layer (IMD). In the end, a metal layer 61 is simultaneously filled in each of the conductive via patterns and each of the conductive patterns, and a planarization step is performed to remove excess metal layer on the surface of the third dielectric layer 60. A plurality of conductive via structures 62 and a plurality of conductor structures 64 are completed. In this embodiment, by After the conductive via structures 62 and the conductor structures 64 are simultaneously filled with the metal layer, the two can be regarded as a third contact 66, and each of the third contacts 66 is an integrally formed structure. Each of the conductive via structures 62 directly contacts the first contact 52 or the second contact 54 located below in the vertical direction, and the respective conductor structures 64 are located on the same horizontal plane to connect other elements on the substrate 10 in the horizontal direction. From the top view, the conductive via structure 62 may exhibit a rectangular, circular or other shaped block structure, while the wire structure 64 may exhibit a long line structure, but is not limited thereto.

值得注意的是,在上述製作過程中,係先製作第一凹槽32,然後才製作第二凹槽42,然而本發明不限於此,在本發明的另一實施方式中,可先選用對於氧化矽/氮化矽的蝕刻選擇相對較低的氣體進行蝕刻,製作第二凹槽42,然後才選用對於氧化矽/氮化矽的蝕刻選擇相對較高的氣體進行蝕刻,製作第一凹槽32,最後同時填入阻障層44與金屬層46,並進行一平坦化步驟,以同時完成複數個第一接觸52與複數個第二接觸54,也屬於本發明所涵蓋的範圍內。 It should be noted that, in the above manufacturing process, the first groove 32 is first formed, and then the second groove 42 is formed. However, the present invention is not limited thereto, and in another embodiment of the present invention, The yttria/tantalum nitride etch is selected by etching a relatively low gas to form a second recess 42, and then a relatively high gas is etched for yttria/tantalum nitride etching to form a first recess. 32. Finally, filling the barrier layer 44 with the metal layer 46 and performing a planarization step to simultaneously complete the plurality of first contacts 52 and the plurality of second contacts 54 are also within the scope of the present invention.

本發明所提供的半導體元件1,可藉由上述的製作流程所實現,其最終結構如第9圖所示,請參考第1~9圖,半導體元件1至少包含有一基底10,一第一介電層12,位於基底10上,一金屬閘極12,位於第一介電層12中,一源/汲極區域14,位於金屬閘極12的兩側,以及一遮罩層24,位於金屬閘極12上,且遮罩層24的頂端與第一介電層12的頂端齊平;一第二介電層26,位於第一介電層12上;另包含有複數個第一接觸52,位於第一介電層12與該第二介電層26中,並與部分源/汲極區域14電性連接,其中各第一接觸52為一體成型結構,以及複數個第二接觸54,位於第一介 電層12與第二介電層26中,與部分金屬閘極12電性連接,其中各第二接觸54為一一體成型結構。除此之外,半導體元件1更可選擇性包含有下列元件:一磊晶層15,位於源/汲極區域14上;一淺溝隔離17,位於金屬閘極12周圍的基底10中,一側壁子18以及一蝕刻停止層20,位於金屬閘極12的兩側邊,且兩者的頂端均具有一截面;一鰭狀結構16,位於基底10上;一金屬矽化物層34,位於各源/汲極區域14以及第一接觸52之間;一第三介電層60,位於第二介電層26的頂端,以及複數個第三接觸66,位於部分第一接觸52或部分第二接觸54上,且各第三接觸66為一體成型結構,其中各第三接觸66包含有一導電通孔結構62與一導線結構64,且各導電通孔結構62與各導線結構64包含相同材料,且彼此直接接觸。上述各元件的材料與製作方式,皆與本發明第一較佳實施例的製作流程中所述相同,在此不再贅述。 The semiconductor device 1 provided by the present invention can be realized by the above-described manufacturing process. The final structure is as shown in FIG. 9. Referring to FIGS. 1-9, the semiconductor device 1 includes at least one substrate 10 and a first dielectric layer. The electrical layer 12 is disposed on the substrate 10, a metal gate 12 is disposed in the first dielectric layer 12, a source/drain region 14 is disposed on both sides of the metal gate 12, and a mask layer 24 is located on the metal. On the gate 12, the top end of the mask layer 24 is flush with the top end of the first dielectric layer 12; a second dielectric layer 26 is on the first dielectric layer 12; and a plurality of first contacts 52 are further included. , in the first dielectric layer 12 and the second dielectric layer 26 , and electrically connected to a portion of the source/drain region 14 , wherein each of the first contacts 52 is an integrally formed structure, and a plurality of second contacts 54 , Located in the first The electrical layer 12 and the second dielectric layer 26 are electrically connected to a portion of the metal gate 12, wherein each of the second contacts 54 is an integrally formed structure. In addition, the semiconductor device 1 further optionally includes the following components: an epitaxial layer 15 on the source/drain region 14; a shallow trench isolation 17 in the substrate 10 around the metal gate 12, The sidewall spacers 18 and an etch stop layer 20 are located on both sides of the metal gate 12, and both have a cross section at the top end; a fin structure 16 is disposed on the substrate 10; and a metal telluride layer 34 is located at each Between the source/drain region 14 and the first contact 52; a third dielectric layer 60 at the top end of the second dielectric layer 26, and a plurality of third contacts 66 located at a portion of the first contact 52 or a portion of the second Each of the third contacts 66 includes a conductive via structure 62 and a conductor structure 64, and each of the conductive via structures 62 and the respective conductor structures 64 comprise the same material. And in direct contact with each other. The materials and manufacturing methods of the above-mentioned components are the same as those in the manufacturing process of the first preferred embodiment of the present invention, and details are not described herein again.

綜上所述,本發明特徵在於,包含有一遮罩層位於金屬閘極的頂端,並且利用不同的蝕刻速率的蝕刻氣體,選擇性地蝕刻該遮罩層以及介電層。如此一來,將可以同時完成與閘極上的結構以及與源/汲極區域上的接觸結構,取代習知的下層接觸結構與上層金屬層(M0)共兩部分,減少製程步驟。 In summary, the present invention is characterized in that a mask layer is included at the top end of the metal gate, and the mask layer and the dielectric layer are selectively etched using etching gases of different etching rates. In this way, the structure on the gate and the contact structure on the source/drain region can be completed at the same time, replacing the conventional lower contact structure and the upper metal layer (M0) in two parts, thereby reducing the process steps.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

1‧‧‧半導體元件 1‧‧‧Semiconductor components

10‧‧‧基底 10‧‧‧Base

16‧‧‧鰭狀結構 16‧‧‧Fin structure

17‧‧‧淺溝隔離 17‧‧‧Shallow trench isolation

18‧‧‧側壁子 18‧‧‧ Sidewall

20‧‧‧蝕刻停止層 20‧‧‧etch stop layer

22‧‧‧第一介電層 22‧‧‧First dielectric layer

26‧‧‧第二介電層 26‧‧‧Second dielectric layer

44‧‧‧阻障層 44‧‧‧Barrier layer

46‧‧‧金屬層 46‧‧‧metal layer

52‧‧‧第一接觸 52‧‧‧ first contact

54‧‧‧第二接觸 54‧‧‧second contact

60‧‧‧第三介電層 60‧‧‧ third dielectric layer

61‧‧‧金屬層 61‧‧‧metal layer

62‧‧‧導電通孔 62‧‧‧Electrical through holes

64‧‧‧導線 64‧‧‧Wire

66‧‧‧第三接觸 66‧‧‧ Third contact

Claims (17)

一半導體結構,包含有:一基底;一第一介電層,位於該基底上;一第二介電層,位於該第一介電層上;一金屬閘極,位於該第一介電層中;複數個第二接觸,位於該第一介電層與該第二介電層中,與部分該金屬閘極電性連接,其中各該第二接觸為一一體成型結構;一源/汲極區域,位於該金屬閘極的兩側;以及一遮罩層,位於該金屬閘極上,且該遮罩層的頂端與該第一介電層的頂端齊平。 a semiconductor structure comprising: a substrate; a first dielectric layer on the substrate; a second dielectric layer on the first dielectric layer; and a metal gate on the first dielectric layer a plurality of second contacts, located in the first dielectric layer and the second dielectric layer, electrically connected to a portion of the metal gates, wherein each of the second contacts is an integrally formed structure; a drain region on both sides of the metal gate; and a mask layer on the metal gate, and a top end of the mask layer is flush with a top end of the first dielectric layer. 如申請專利範圍第1項的半導體結構,更包括一蝕刻停止層,位於該金屬閘極的兩側,且該蝕刻停止層的頂端具有一截面。 The semiconductor structure of claim 1 further includes an etch stop layer on both sides of the metal gate, and the top end of the etch stop layer has a cross section. 如申請專利範圍第1項的半導體結構,其中更包括複數個第一接觸,位於該第一介電層與該第二介電層中,與部分該源/汲極區域電性連接,其中各該第一接觸為一一體成型結構。 The semiconductor structure of claim 1, further comprising a plurality of first contacts, located in the first dielectric layer and the second dielectric layer, electrically connected to a portion of the source/drain regions, wherein each The first contact is an integrally formed structure. 如申請專利範圍第1項的半導體結構,其中更包括至少一鰭狀結構,位於該基底上。 The semiconductor structure of claim 1, wherein the semiconductor structure further comprises at least one fin structure on the substrate. 如申請專利範圍第1項的半導體結構,其中更包括一金屬矽化物層,位於各該源/汲極區域以及該第一接觸之間。 The semiconductor structure of claim 1, further comprising a metal telluride layer between each of the source/drain regions and the first contact. 如申請專利範圍第1項的半導體結構,其中更包括複數個第三接 觸,位於部分該第一接觸或部分該第二接觸上,且各該第三接觸為一一體成型結構。 Such as the semiconductor structure of claim 1 of the patent scope, which further includes a plurality of third connections The touch is located on a portion of the first contact or a portion of the second contact, and each of the third contacts is an integrally formed structure. 如申請專利範圍第6項的半導體結構,其中各該第三接觸包含有一導電通孔結構與一導線結構,且各導電通孔結構與各導線結構包含相同材料,且彼此直接接觸。 The semiconductor structure of claim 6, wherein each of the third contacts comprises a conductive via structure and a wire structure, and each of the conductive via structures and the respective wire structures comprise the same material and are in direct contact with each other. 一種半導體結構的製作方法,至少包含有以下步驟:提供一基底,該基底上形成有一第一介電層,至少一金屬閘極位於該第一介電層中,以及至少一源/汲極區域位於該金屬閘極的兩側;形成一第二介電層於該第一介電層上;進行一第一蝕刻步驟,於該第一介電層以及該第二介電層中形成複數個第一凹槽,並曝露各該源/汲極區域;進行一金屬矽化物製程,以於各該第一凹槽內形成一金屬矽化物層;進行一第二蝕刻步驟,於該第一介電層中以及該第二介電層中形成複數個第二凹槽,其中各該第二凹槽曝露該金屬閘極;以及同時填入一金屬層於各該第一凹槽與各該第二凹槽內,以分別形成複數個第一接觸以及複數個第二接觸,其中各該第二接觸為一一體成型結構。 A method of fabricating a semiconductor structure includes the steps of: providing a substrate having a first dielectric layer formed thereon, at least one metal gate in the first dielectric layer, and at least one source/drain region Located on two sides of the metal gate; forming a second dielectric layer on the first dielectric layer; performing a first etching step to form a plurality of the first dielectric layer and the second dielectric layer a first recess, and exposing each of the source/drain regions; performing a metal telluride process to form a metal telluride layer in each of the first recesses; performing a second etching step on the first dielectric layer Forming a plurality of second recesses in the electrical layer and the second dielectric layer, wherein each of the second recesses exposes the metal gate; and simultaneously filling a metal layer in each of the first recesses and each of the first recesses The two grooves are formed to form a plurality of first contacts and a plurality of second contacts, wherein each of the second contacts is an integrally formed structure. 如申請專利範圍第8項的製作方法,其中更包括在該第一介電層形成後,形成一遮罩層於各該金屬閘極頂端。 The manufacturing method of claim 8 , further comprising forming a mask layer on each of the metal gate tips after the first dielectric layer is formed. 如申請專利範圍第9項的製作方法,其中該遮罩層之頂端與該第 一介電層之頂端切齊。 The manufacturing method of claim 9, wherein the top of the mask layer and the first The top of a dielectric layer is aligned. 如申請專利範圍第8項的製作方法,其中更包括形成一鰭狀結構於該基底上。 The manufacturing method of claim 8, wherein the method further comprises forming a fin structure on the substrate. 如申請專利範圍第8項的製作方法,其中部分該第二凹槽與部分該第一凹槽位置有部分重疊。 The manufacturing method of claim 8, wherein a portion of the second groove partially overlaps a portion of the first groove position. 如申請專利範圍第8項的製作方法,其中更包括形成複數個第三接觸,與部分該第一接觸或部分第二接觸電性連接,且各該第三接觸為一一體成型結構。 The manufacturing method of claim 8, further comprising forming a plurality of third contacts electrically connected to a portion of the first contact or a portion of the second contact, and each of the third contacts is an integrally formed structure. 如申請專利範圍第13項的製作方法,其中各該第三接觸包含有一導電通孔結構與一導線結構,且各導電通孔結構與各導線結構包含相同材料,且彼此直接接觸。 The manufacturing method of claim 13, wherein each of the third contacts comprises a conductive via structure and a wire structure, and each of the conductive via structures and the respective wire structures comprise the same material and are in direct contact with each other. 如申請專利範圍第8項的製作方法,其中更包括形成一蝕刻停止層,位於該金屬閘極的兩側,且該蝕刻停止層的頂端具有一截面。 The manufacturing method of claim 8, further comprising forming an etch stop layer on both sides of the metal gate, and the top end of the etch stop layer has a cross section. 如申請專利範圍第9項的製作方法,其中進行該第一蝕刻步驟時,所選用的氣體蝕刻該第一介電層的速率與蝕刻該遮罩層的速率之比值大於5。 The manufacturing method of claim 9, wherein the ratio of the rate at which the selected gas etches the first dielectric layer to the rate at which the mask layer is etched is greater than 5 when the first etching step is performed. 如申請專利範圍第9項的製作方法,其中進行該第二蝕刻步驟時,所選用的氣體蝕刻該第一介電層的速率與蝕刻該遮罩層的速率之比值小於5。 The manufacturing method of claim 9, wherein the ratio of the rate at which the selected gas etches the first dielectric layer to the rate at which the mask layer is etched is less than 5 when the second etching step is performed.
TW102115458A 2013-04-30 2013-04-30 Semiconductor structure and manufacturing method thereof TWI584433B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102115458A TWI584433B (en) 2013-04-30 2013-04-30 Semiconductor structure and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102115458A TWI584433B (en) 2013-04-30 2013-04-30 Semiconductor structure and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201442176A TW201442176A (en) 2014-11-01
TWI584433B true TWI584433B (en) 2017-05-21

Family

ID=52423005

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102115458A TWI584433B (en) 2013-04-30 2013-04-30 Semiconductor structure and manufacturing method thereof

Country Status (1)

Country Link
TW (1) TWI584433B (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090236669A1 (en) * 2008-03-20 2009-09-24 Yi-Wen Chen Metal gate transistor and polysilicon resistor and method for fabricating the same
US20100270627A1 (en) * 2009-04-22 2010-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
TW201117271A (en) * 2009-11-09 2011-05-16 Taiwan Semiconductor Mfg Integrated circuits and methods for forming the integrated circuits
TW201118981A (en) * 2009-11-18 2011-06-01 United Microelectronics Corp Method of fabricating efuse, resistor and transistor
US20110151635A1 (en) * 2009-12-21 2011-06-23 Chung-Shi Liu High temperature gate replacement process
TW201239960A (en) * 2011-03-25 2012-10-01 United Microelectronics Corp Method for forming metal gate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090236669A1 (en) * 2008-03-20 2009-09-24 Yi-Wen Chen Metal gate transistor and polysilicon resistor and method for fabricating the same
US20100270627A1 (en) * 2009-04-22 2010-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
TW201117271A (en) * 2009-11-09 2011-05-16 Taiwan Semiconductor Mfg Integrated circuits and methods for forming the integrated circuits
TW201118981A (en) * 2009-11-18 2011-06-01 United Microelectronics Corp Method of fabricating efuse, resistor and transistor
US20110151635A1 (en) * 2009-12-21 2011-06-23 Chung-Shi Liu High temperature gate replacement process
TW201239960A (en) * 2011-03-25 2012-10-01 United Microelectronics Corp Method for forming metal gate

Also Published As

Publication number Publication date
TW201442176A (en) 2014-11-01

Similar Documents

Publication Publication Date Title
US9331171B2 (en) Manufacturing method for forming semiconductor structure
US11651993B2 (en) Etch stop layer for semiconductor devices
TWI704688B (en) Semiconductor device and method of fabricating the same
TWI719615B (en) Method for manufacturing semiconductor device
US8993433B2 (en) Manufacturing method for forming a self aligned contact
KR101911035B1 (en) Method of forming self -aligned contacts and local interconnects
KR102102735B1 (en) Multiple patterning method
KR20190064523A (en) Metal rail conductors for non-planar semiconductor devices
TW201924012A (en) Semiconductor device and method of fabricating the same
KR101951088B1 (en) Self-aligned metal gate etch back process and device
CN104701150A (en) Transistor forming method
US9564371B2 (en) Method for forming semiconductor device
TW202029339A (en) Structure and mehtod for interconnect with self-alignment
CN106960844B (en) Semiconductor element and manufacturing method thereof
US20170294535A1 (en) Semiconductor device and fabrication method thereof
US9224803B2 (en) Formation of a high aspect ratio contact hole
TWI688042B (en) Method of fabricating semiconductor device
CN112750773B (en) Method for producing gate and source/drain via connections for contact transistors
US11362033B2 (en) Semiconductor structure and method for fabricating the same
US9799550B2 (en) Manufacturing method for forming a semiconductor structure
TWI584433B (en) Semiconductor structure and manufacturing method thereof
CN109494149B (en) Method for manufacturing semiconductor structure
US7368373B2 (en) Method for manufacturing semiconductor devices and plug
CN112786535A (en) Method for forming semiconductor structure
CN107275329B (en) Semiconductor device and method of forming the same