TWI575734B - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
TWI575734B
TWI575734B TW105110236A TW105110236A TWI575734B TW I575734 B TWI575734 B TW I575734B TW 105110236 A TW105110236 A TW 105110236A TW 105110236 A TW105110236 A TW 105110236A TW I575734 B TWI575734 B TW I575734B
Authority
TW
Taiwan
Prior art keywords
region
conductive type
type
conductive
semiconductor device
Prior art date
Application number
TW105110236A
Other languages
Chinese (zh)
Other versions
TW201735353A (en
Inventor
林文新
林鑫成
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW105110236A priority Critical patent/TWI575734B/en
Application granted granted Critical
Publication of TWI575734B publication Critical patent/TWI575734B/en
Publication of TW201735353A publication Critical patent/TW201735353A/en

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

半導體裝置及其製造方法 Semiconductor device and method of manufacturing same

本揭露係有關於半導體技術,且特別係有關於半導體裝置及其製造方法。 The present disclosure relates to semiconductor technology, and in particular to semiconductor devices and methods of fabricating the same.

高壓半導體裝置技術適用於高電壓與高功率的積體電路領域。傳統高壓半導體裝置,例如水平擴散金氧半導體(laterally diffused metal oxide semiconductor,LDMOS)裝置,主要用於18V以上的元件應用領域。高壓裝置技術的優點在於符合成本效益,且易相容於其它製程,已廣泛應用於顯示器驅動IC元件、電源供應器、電力管理、通訊、車用電子或工業控制等領域中。 The high voltage semiconductor device technology is suitable for the field of high voltage and high power integrated circuits. Conventional high voltage semiconductor devices, such as laterally diffused metal oxide semiconductor (LDMOS) devices, are mainly used in component applications above 18V. The advantages of high-voltage device technology are cost-effective and easy to be compatible with other processes, and have been widely used in display driver IC components, power supplies, power management, communications, automotive electronics or industrial control.

通常高壓半導體裝置係使用N型金氧半導體(NMOS),而非P型金氧半導體(PMOS),且此N型金氧半導體通常係設於P型基板上。然而,目前例如為高壓半導體裝置的半導體裝置並非各方面皆令人滿意。例如,若要在P型基板上同時設置N型金氧半導體與P型金氧半導體,傳統上需使用一或多道磊晶製程以將P型金氧半導體形成於P型基板上。然而,此製程步驟困難且製程成本高。 Generally, a high voltage semiconductor device uses an N-type metal oxide semiconductor (NMOS) instead of a P-type metal oxide semiconductor (PMOS), and the N-type gold oxide semiconductor is usually provided on a P-type substrate. However, current semiconductor devices such as high voltage semiconductor devices are not satisfactory in all respects. For example, in order to simultaneously provide an N-type MOS and a P-type MOS on a P-type substrate, it is conventional to use one or more epitaxial processes to form a P-type MOS on a P-type substrate. However, this process step is difficult and the process cost is high.

因此,業界仍須一種製程簡單、製程成本低且可將P型金氧半導體形成於P型基板上的製造方法,以使該發明所 屬技術領域中具有通常知識者可在P型基板上同時設置N型金氧半導體與P型金氧半導體,且不增加過多製程成本。 Therefore, the industry still needs a manufacturing method that is simple in process, low in process cost, and can form a P-type MOS on a P-type substrate, so that the invention Those of ordinary skill in the art can simultaneously provide N-type MOS and P-type MOS on a P-type substrate without increasing excessive process cost.

本揭露提供一種半導體裝置,包括:第一導電型基板;第二導電型主體區,設於第一導電型基板中,其中第一導電型與第二導電型不同;第一導電型第一井區,設於第二導電型主體區中;閘極結構,設於第一導電型基板之上表面上;源極區,其中源極區包括第一導電型重摻雜源極區,且係設於第二導電型主體區中;及汲極區,其中汲極區具有重摻雜第一導電型,且係設於第一導電型第一井區中。 The present disclosure provides a semiconductor device including: a first conductive type substrate; a second conductive type body region disposed in the first conductive type substrate, wherein the first conductive type is different from the second conductive type; the first conductive type first well The region is disposed in the second conductive type body region; the gate structure is disposed on the upper surface of the first conductive type substrate; the source region, wherein the source region includes the first conductive type heavily doped source region, and the system And disposed in the second conductive type body region; and the drain region, wherein the drain region has a heavily doped first conductivity type and is disposed in the first conductivity type first well region.

本揭露更提供一種半導體裝置之製造方法,包括:提供第一導電型基板;形成第二導電型主體區於第一導電型基板中,其中第一導電型與第二導電型不同;形成第一導電型第一井區於第二導電型主體區中;形成閘極結構於第一導電型基板之上表面上;形成源極區,其中源極區包括第一導電型重摻雜源極區,且係設於第二導電型主體區中;及形成汲極區,其中汲極區具有重摻雜第一導電型,且係設於第一導電型第一井區中。 The present disclosure further provides a method of fabricating a semiconductor device, comprising: providing a first conductive type substrate; forming a second conductive type body region in the first conductive type substrate, wherein the first conductive type is different from the second conductive type; forming the first a conductive first well region is formed in the second conductive type body region; a gate structure is formed on the upper surface of the first conductive type substrate; a source region is formed, wherein the source region includes the first conductive type heavily doped source region And being disposed in the second conductive type body region; and forming a drain region, wherein the drain region has a heavily doped first conductivity type and is disposed in the first conductivity type first well region.

為讓本揭露之特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下。 In order to make the features and advantages of the present disclosure more comprehensible, the preferred embodiments are described below, and are described in detail below with reference to the accompanying drawings.

100‧‧‧第一導電型基板 100‧‧‧First Conductive Substrate

100S1‧‧‧上表面 100S1‧‧‧ upper surface

100S2‧‧‧下表面 100S2‧‧‧ lower surface

102‧‧‧第二導電型主體區 102‧‧‧Second conductive body area

104A‧‧‧第一導電型第一井區 104A‧‧‧First Conductive First Well Area

104B‧‧‧第一導電型第二井區 104B‧‧‧First Conductive Second Well Area

104C‧‧‧第一導電型第三井區 104C‧‧‧First Conductive Third Well Area

106A‧‧‧第一導電型第一摻雜區 106A‧‧‧First Conductive Type First Doped Area

106B‧‧‧第一導電型第二摻雜區 106B‧‧‧First Conductive Type Second Doped Area

108‧‧‧場氧化層 108‧‧‧Field oxide layer

108A‧‧‧開口 108A‧‧‧ openings

110‧‧‧閘極結構 110‧‧‧ gate structure

110A‧‧‧閘極介電層 110A‧‧‧gate dielectric layer

110B‧‧‧閘極電極 110B‧‧‧gate electrode

112‧‧‧源極區 112‧‧‧ source area

112A‧‧‧第一導電型重摻雜源極區 112A‧‧‧First Conductive Heavy Doped Source Region

112B‧‧‧第二導電型重摻雜源極區 112B‧‧‧Second Conductive Heavy Doped Source Region

114‧‧‧汲極區 114‧‧‧Bungee Area

116‧‧‧第一導電型通道區 116‧‧‧First Conductive Channel Area

118‧‧‧第二導電型重摻雜區 118‧‧‧Second Conductive Heavy Doped Zone

120‧‧‧第一導電型重摻雜區 120‧‧‧First Conductive Heavy Doped Zone

122‧‧‧層間介電層 122‧‧‧Interlayer dielectric layer

124D‧‧‧汲極接觸插塞 124D‧‧‧bend contact plug

124S1‧‧‧第一源極接觸插塞 124S1‧‧‧First source contact plug

124S2‧‧‧第二源極接觸插塞 124S2‧‧‧Second source contact plug

124A‧‧‧接觸插塞 124A‧‧‧Contact plug

124B‧‧‧主體接觸插塞 124B‧‧‧ body contact plug

126D‧‧‧導線 126D‧‧‧ wire

126S‧‧‧導線 126S‧‧‧ wire

126B‧‧‧導線 126B‧‧‧Wire

128‧‧‧保護層 128‧‧‧Protective layer

130D‧‧‧導電墊 130D‧‧‧Electrical mat

130S‧‧‧導電墊 130S‧‧‧Electrical mat

200‧‧‧半導體裝置 200‧‧‧Semiconductor device

第1圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖。 1 is a cross-sectional view showing a semiconductor device in one step of a method of fabricating a semiconductor device according to some embodiments of the present disclosure.

第2圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖。 2 is a cross-sectional view showing a semiconductor device in one step of a method of fabricating a semiconductor device according to some embodiments of the present disclosure.

第3圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖。 3 is a cross-sectional view showing a semiconductor device in one step of a method of fabricating a semiconductor device according to some embodiments of the present disclosure.

第4圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖。 4 is a cross-sectional view showing a semiconductor device in one step of a method of fabricating a semiconductor device according to some embodiments of the present disclosure.

以下針對本揭露之半導體裝置及其製造方法作詳細說明。應了解的是,以下之敘述提供許多不同的實施例或例子,用以實施本揭露之不同樣態。以下所述特定的元件及排列方式僅為簡單清楚描述本揭露。當然,這些僅用以舉例而非本揭露之限定。此外,在不同實施例中可能使用重複的標號或標示。這些重複僅為了簡單清楚地敘述本揭露,不代表所討論之不同實施例及/或結構之間具有任何關連性。再者,當述及一第一材料層位於一第二材料層上或之上時,包括第一材料層與第二材料層直接接觸之情形。或者,亦可能間隔有一或更多其它材料層之情形,在此情形中,第一材料層與第二材料層之間可能不直接接觸。 Hereinafter, the semiconductor device and the method of manufacturing the same will be described in detail. It will be appreciated that the following description provides many different embodiments or examples for implementing the various aspects of the disclosure. The specific elements and arrangements described below are merely illustrative of the disclosure. Of course, these are only used as examples and not as a limitation of the disclosure. Moreover, repeated numbers or labels may be used in different embodiments. These repetitions are merely for the purpose of simplicity and clarity of the disclosure, and are not intended to be a limitation of the various embodiments and/or structures discussed. Furthermore, when a first material layer is on or above a second material layer, the first material layer is in direct contact with the second material layer. Alternatively, it is also possible to have one or more layers of other materials interposed, in which case there may be no direct contact between the first layer of material and the second layer of material.

此外,實施例中可能使用相對性的用語,例如「較低」或「底部」及「較高」或「頂部」,以描述圖式的一個元件對於另一元件的相對關係。能理解的是,如果將圖式的裝置翻轉使其上下顛倒,則所敘述在「較低」側的元件將會成為在「較高」側的元件。 In addition, relative terms such as "lower" or "bottom" and "higher" or "top" may be used in the embodiments to describe the relative relationship of one element of the drawing to another. It will be understood that if the device of the drawing is flipped upside down, the component described on the "lower" side will become the component on the "higher" side.

在此,「約」、「大約」、「大抵」之用語通常表示在 一給定值或範圍的20%之內,較佳是10%之內,且更佳是5%之內,或3%之內,或2%之內,或1%之內,或0.5%之內,或0.3%之內。在此給定的數量為大約的數量,亦即在沒有特定說明「約」、「大約」、「大抵」的情況下,仍可隱含「約」、「大約」、「大抵」之含義。 Here, the terms "about", "about" and "major" are usually expressed in Within 20% of a given value or range, preferably within 10%, and more preferably within 5%, or within 3%, or within 2%, or within 1%, or 0.5% Within, or within 0.3%. The quantity given here is an approximate quantity, that is, in the absence of specific descriptions of "about", "about" and "major", the meanings of "about", "about" and "major" may still be implied.

能理解的是,雖然在此可使用用語「第一」、「第二」、「第三」等來敘述各種元件、組成成分、區域、層、及/或部分,這些元件、組成成分、區域、層、及/或部分不應被這些用語限定,且這些用語僅是用來區別不同的元件、組成成分、區域、層、及/或部分。因此,以下討論的一第一元件、組成成分、區域、層、及/或部分可在不偏離本揭露之教示的情況下被稱為一第二元件、組成成分、區域、層、及/或部分。 It will be understood that the terms "first", "second", "third", etc. may be used herein to describe various elements, components, regions, layers, and/or portions, such elements, components, and regions. The layers, and/or portions are not to be limited by the terms, and the terms are used to distinguish different elements, components, regions, layers, and/or parts. Therefore, a first element, component, region, layer, and/or portion discussed below may be referred to as a second element, component, region, layer, and/or without departing from the teachings of the disclosure. section.

除非另外定義,在此使用的全部用語(包括技術及科學用語)具有與此篇揭露所屬之一般技藝者所通常理解的相同涵義。能理解的是,這些用語,例如在通常使用的字典中定義的用語,應被解讀成具有與相關技術及本揭露的背景或上下文一致的意思,而不應以一理想化或過度正式的方式解讀,除非在本揭露有特別定義。 Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning meaning It will be understood that these terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning consistent with the relevant art and the context or context of the present disclosure, and should not be in an idealized or overly formal manner. Interpretation, unless specifically defined in this disclosure.

本揭露實施例可配合圖式一併理解,本揭露之圖式亦被視為揭露說明之一部分。需了解的是,本揭露之圖式並未以實際裝置及元件之比例繪示。在圖式中可能誇大實施例的形狀與厚度以便清楚表現出本揭露之特徵。此外,圖式中之結構及裝置係以示意之方式繪示,以便清楚表現出本揭露之特徵。 The embodiments of the present disclosure can be understood in conjunction with the drawings, and the drawings of the present disclosure are also considered as part of the disclosure. It should be understood that the drawings of the present disclosure are not shown in the form of actual devices and components. The shapes and thicknesses of the embodiments may be exaggerated in the drawings in order to clearly illustrate the features of the present disclosure. In addition, the structures and devices in the drawings are schematically illustrated in order to clearly illustrate the features of the disclosure.

在本揭露中,相對性的用語例如「下」、「上」、「水平」、「垂直」、「之下」、「之上」、「頂部」、「底部」等等應被理解為該段以及相關圖式中所繪示的方位。此相對性的用語僅是為了方便說明之用,其並不代表其所敘述之裝置需以特定方位來製造或運作。而關於接合、連接之用語例如「連接」、「互連」等,除非特別定義,否則可指兩個結構係直接接觸,或者亦可指兩個結構並非直接接觸,其中有其它結構設於此兩個結構之間。且此關於接合、連接之用語亦可包括兩個結構都可移動,或者兩個結構都固定之情況。 In this disclosure, relative terms such as "lower", "upper", "horizontal", "vertical", "lower", "above", "top", "bottom", etc. shall be understood as The orientation shown in the paragraph and related schemas. This relative term is used for convenience of description only, and does not mean that the device described therein is to be manufactured or operated in a particular orientation. Terms such as "joining" and "interconnecting", etc., unless otherwise defined, may mean that two structures are in direct contact, or that two structures are not in direct contact, and other structures are provided here. Between the two structures. The term "joining and joining" may also include the case where both structures are movable or both structures are fixed.

應注意的是,在後文中「基板」一詞可包括半導體晶圓上已形成的元件與覆蓋在晶圓上的各種膜層,其上方可以已形成任何所需的半導體元件,不過此處為了簡化圖式,僅以平整的基板表示之。此外,「基板表面」係包括半導體晶圓上最上方且暴露之膜層,例如一矽表面、一絕緣層及/或金屬線。 It should be noted that the term "substrate" may be used hereinafter to include formed elements on a semiconductor wafer and various film layers overlying the wafer, and any desired semiconductor elements may have been formed thereon, but here Simplified drawing, represented only by a flat substrate. In addition, the "substrate surface" includes the uppermost and exposed film layer on the semiconductor wafer, such as a germanium surface, an insulating layer, and/or metal lines.

本揭露實施例係利用設於P型基板中之新穎的摻雜區配置,可於P型基板中形成P型金氧半導體,且配合習知於P型基板中形成N型金氧半導體之技術,可於P型基板中同時設置N型金氧半導體與P型金氧半導體。 The disclosed embodiment utilizes a novel doped region configuration provided in a P-type substrate to form a P-type MOS in a P-type substrate, and cooperates with a conventional technique for forming an N-type MOS in a P-type substrate. N-type MOS and P-type MOS can be simultaneously provided in the P-type substrate.

此外,由於本揭露實施例儘是藉由改變半導體裝置之摻雜區的配置以於P型基板中形成P型金氧半導體,故本揭露實施例之製程步驟簡單、且可在不增加光罩數目以及過多製程成本,甚至不增加成本的情況下,於P型基板中同時設置N型金氧半導體與P型金氧半導體。 In addition, since the embodiment of the present disclosure is to form a P-type MOS in the P-type substrate by changing the configuration of the doped region of the semiconductor device, the process steps of the disclosed embodiment are simple, and the reticle can be omitted. In the case of the number and the excessive process cost, even if the cost is not increased, the N-type MOS and the P-type MOS are simultaneously provided in the P-type substrate.

第1圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖。如第1圖所示,首先提供第一導電型基板100。此第一導電型基板100可為半導體基板,例如矽基板。此外,上述半導體基板亦可為元素半導體,包括鍺(germanium);化合物半導體,包括氮化鎵(gallium nitride,GaN)、碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide);合金半導體,包括矽鍺合金(SiGe)、磷砷鎵合金(GaAsP)、砷鋁銦合金(AlInAs)、砷鋁鎵合金(AlGaAs)、砷銦鎵合金(GaInAs)、磷銦鎵合金(GaInP)及/或磷砷銦鎵合金(GaInAsP)或上述材料之組合。此外,第一導電型基板100也可以是絕緣層上覆半導體(semiconductor on insulator)。在一些實施例中,此第一導電型基板100可為輕摻雜之P型基板。 1 is a cross-sectional view showing a semiconductor device in one step of a method of fabricating a semiconductor device according to some embodiments of the present disclosure. As shown in FIG. 1, first, the first conductive type substrate 100 is provided. The first conductive type substrate 100 may be a semiconductor substrate such as a germanium substrate. In addition, the semiconductor substrate may also be an elemental semiconductor, including germanium; a compound semiconductor including gallium nitride (GaN), silicon carbide, gallium arsenide, gallium phosphide ( Gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; alloy semiconductors including bismuth alloy (SiGe), phosphorus gallium arsenide (GaAsP), arsenic Aluminum indium alloy (AlInAs), arsenic aluminum gallium alloy (AlGaAs), arsenic gallium alloy (GaInAs), indium gallium alloy (GaInP) and/or phosphorus indium gallium alloy (GaInAsP) or a combination thereof. Further, the first conductive type substrate 100 may be a semiconductor on insulator. In some embodiments, the first conductive type substrate 100 can be a lightly doped P-type substrate.

在所述實施例中,“輕摻雜”意指約1011-1013/cm3的摻雜濃度,例如為約1012/cm3的摻雜濃度。然而,本領域具有通常知識者可瞭解的是,“輕摻雜”的定義亦可依照特定裝置型態、技術世代、最小元件尺寸等所決定。因此,“輕摻雜”的定義當視可技術內容重新評估,而不受限於在此所舉之實施例。 In the embodiment, "lightly doped" means a doping concentration of about 10 11 -10 13 /cm 3 , for example, a doping concentration of about 10 12 /cm 3 . However, it will be appreciated by those of ordinary skill in the art that the definition of "lightly doped" can also be determined by the particular device type, technology generation, minimum component size, and the like. Thus, the definition of "lightly doped" is re-evaluated based on technical content and is not limited by the embodiments presented herein.

繼續參見第1圖,形成第二導電型主體區102於第一導電型基板100中。此第二導電型與第一導電型不同。例如,在本揭露一些實施例中,此第二導電型為N型,而第一導電型為P型。 Continuing to refer to FIG. 1, a second conductive type body region 102 is formed in the first conductive type substrate 100. This second conductivity type is different from the first conductivity type. For example, in some embodiments of the present disclosure, the second conductivity type is an N type and the first conductivity type is a P type.

此第二導電型主體區102可藉由離子佈植步驟形 成。例如,當此第二導電型為N型時,可於預定形成第二導電型主體區102之區域佈植磷離子或砷離子以形成第二導電型主體區102。此外,此第二導電型主體區102可直接接觸第一導電型基板100之上表面100S1。 The second conductive type body region 102 can be formed by ion implantation step to make. For example, when the second conductivity type is an N-type, phosphorus ions or arsenic ions may be implanted in a region where the second conductivity type body region 102 is to be formed to form the second conductivity type body region 102. In addition, the second conductive type body region 102 can directly contact the upper surface 100S1 of the first conductive type substrate 100.

應注意的是,在所述實施例中,若無特別指名“輕摻雜”或”重摻雜”,則”摻雜”意指約1014-1016/cm3的摻雜濃度,例如為約1015/cm3的摻雜濃度。易言之,在一些實施例中,上述第二導電型主體區102之摻雜濃度可為約1014-1016/cm3的摻雜濃度,例如為約1015/cm3。然而,本領域具有通常知識者可瞭解的是,“摻雜”的定義亦可依照特定裝置型態、技術世代、最小元件尺寸等所決定。因此,“摻雜”的定義當視可技術內容重新評估,而不受限於在此所舉之實施例。 It should be noted that in the described embodiment, if not specifically referred to as "lightly doped" or "heavily doped", "doping" means a doping concentration of about 10 14 -10 16 /cm 3 , for example It is a doping concentration of about 10 15 /cm 3 . In other words, in some embodiments, the doping concentration of the second conductive type body region 102 may be a doping concentration of about 10 14 -10 16 /cm 3 , for example, about 10 15 /cm 3 . However, it will be appreciated by those of ordinary skill in the art that the definition of "doping" can also be determined by the particular device type, technical generation, minimum component size, and the like. Thus, the definition of "doping" is re-evaluated based on technical content and is not limited by the embodiments presented herein.

第2圖係顯示根據本揭露一些實施例所述之半導體裝置之製造方法其中一步驟之半導體裝置之剖面圖。如第2圖所示,於第二導電型主體區102中形成第一導電型第一井區104A及第一導電型第二井區104B,並於第一導電型基板100中未形成有第二導電型主體區102之區域中形成第一導電型第三井區104C。在本揭露一些實施例中,上述第一導電型第一井區104A與第一導電型第三井區104C係分別設於第一導電型第二井區104B之兩相反側。 2 is a cross-sectional view showing a semiconductor device in one step of a method of fabricating a semiconductor device according to some embodiments of the present disclosure. As shown in FIG. 2, the first conductive type first well region 104A and the first conductive type second well region 104B are formed in the second conductive type body region 102, and the first conductive type substrate 100 is not formed in the first conductive type substrate 100. A first conductivity type third well region 104C is formed in a region of the second conductive type body region 102. In some embodiments of the present disclosure, the first conductive type first well region 104A and the first conductive type third well region 104C are respectively disposed on opposite sides of the first conductive type second well region 104B.

在本揭露一些實施例中,此第一導電型第一井區104A、第一導電型第二井區104B及第一導電型第三井區104C可直接接觸第一導電型基板100之上表面100S1。此外,此第一導電型第三井區104C可直接接觸第二導電型主體區102,如第2 圖所示。 In some embodiments of the present disclosure, the first conductive type first well region 104A, the first conductive type second well region 104B, and the first conductive type third well region 104C may directly contact the upper surface of the first conductive type substrate 100. 100S1. In addition, the first conductive type third well region 104C can directly contact the second conductive type body region 102, such as the second The figure shows.

在本揭露一些實施例中,此第一導電型第一井區104A、第一導電型第二井區104B及第一導電型第三井區104C可藉由離子佈植步驟形成。例如,當此第一導電型為P型時,可於預定形成第一導電型第一井區104A、第一導電型第二井區104B及第一導電型第三井區104C之區域佈植硼離子、銦離子或二氟化硼離子(BF2 +)以形成第一導電型第一井區104A、第一導電型第二井區104B及第一導電型第三井區104C。 In some embodiments of the present disclosure, the first conductive type first well region 104A, the first conductive type second well region 104B, and the first conductive type third well region 104C may be formed by an ion implantation step. For example, when the first conductivity type is a P-type, it may be implanted in a region where the first conductivity type first well region 104A, the first conductivity type second well region 104B, and the first conductivity type third well region 104C are formed. Boron ions, indium ions or boron difluoride ions (BF 2 + ) to form a first conductivity type first well region 104A, a first conductivity type second well region 104B, and a first conductivity type third well region 104C.

此外,在本揭露一些實施例中,此第一導電型第一井區104A、第一導電型第二井區104B及第一導電型第三井區104C之摻雜濃度可為約1014-1016/cm3的摻雜濃度,例如為約1015/cm3。且此第一導電型第一井區104A、第一導電型第二井區104B及第一導電型第三井區104C之摻雜濃度大於上述第二導電型主體區102之摻雜濃度。 In addition, in some embodiments of the present disclosure, the doping concentration of the first conductive type first well region 104A, the first conductive type second well region 104B, and the first conductive type third well region 104C may be about 10 14 - The doping concentration of 10 16 /cm 3 is, for example, about 10 15 /cm 3 . The doping concentration of the first conductive type first well region 104A, the first conductive type second well region 104B and the first conductive type third well region 104C is greater than the doping concentration of the second conductive type body region 102.

繼續參見第2圖,於第二導電型主體區102中形成第一導電型第一摻雜區106A及第一導電型第二摻雜區106B。在本揭露一些實施例中,如第2圖所示,此第一導電型第一摻雜區106A係設於第一導電型第一井區104A與第一導電型第二井區104B之間,且直接接觸第一導電型第一井區104A與第一導電型第二井區104B。上述第一導電型第一井區104A與第一導電型第二井區104B係藉由第一導電型第一摻雜區106A電性連接,且此第一導電型第一摻雜區106A不接觸第一導電型基板100之上表面100S1以及後續之場氧化層。 Continuing to refer to FIG. 2, a first conductivity type first doping region 106A and a first conductivity type second doping region 106B are formed in the second conductivity type body region 102. In some embodiments of the present disclosure, as shown in FIG. 2, the first conductive type first doping region 106A is disposed between the first conductive type first well region 104A and the first conductive type second well region 104B. And directly contacting the first conductivity type first well region 104A and the first conductivity type second well region 104B. The first conductive type first well region 104A and the first conductive type second well region 104B are electrically connected by the first conductive type first doping region 106A, and the first conductive type first doping region 106A is not The upper surface 100S1 of the first conductive type substrate 100 and the subsequent field oxide layer are contacted.

此外,在本揭露一些實施例中,上述第一導電型 第二摻雜區106B係設於第一導電型第二井區104B與第一導電型第三井區104C之間,且此第一導電型第二摻雜區106B僅接觸第二導電型主體區102,而不接觸第2圖所示之其它摻雜區以及後續之任何摻雜區。易言之,此第一導電型第二摻雜區106B不電性連接至任何其它摻雜區,並與第二導電型主體區102形成一減少表面電場(reduced surface field,RESURF)結構。本揭露實施例藉由此減少表面電場結構,可更進一步降低裝置中的表面電場,並藉此進一步提高裝置的崩潰電壓。 Moreover, in some embodiments of the disclosure, the first conductivity type described above The second doped region 106B is disposed between the first conductive type second well region 104B and the first conductive type third well region 104C, and the first conductive type second doped region 106B contacts only the second conductive type body The region 102 is not in contact with the other doped regions shown in FIG. 2 and any subsequent doped regions. In other words, the first conductive type second doped region 106B is not electrically connected to any other doped regions, and forms a reduced surface field (RESURF) structure with the second conductive type body region 102. The disclosed embodiment can further reduce the surface electric field in the device by thereby reducing the surface electric field structure, and thereby further increase the breakdown voltage of the device.

此外,在本揭露一些實施例中,此第一導電型第二摻雜區106B亦不接觸第一導電型基板100之上表面100S1以及後續之場氧化層。 In addition, in some embodiments of the present disclosure, the first conductive type second doping region 106B also does not contact the upper surface 100S1 of the first conductive type substrate 100 and the subsequent field oxide layer.

此外,在本揭露一些實施例中,此第一導電型第一摻雜區106A及第一導電型第二摻雜區106B之摻雜濃度可為約1014-1016/cm3的摻雜濃度,例如為約1015/cm3。此外,上述第一導電型第一井區104A、第一導電型第二井區104B及第一導電型第三井區104C之摻雜濃度大於此第一導電型第一摻雜區106A及第一導電型第二摻雜區106B之摻雜濃度,而此第一導電型第一摻雜區106A及第一導電型第二摻雜區106B之摻雜濃度大於上述第二導電型主體區102之摻雜濃度。 In addition, in some embodiments of the present disclosure, the first conductive type first doping region 106A and the first conductive type second doping region 106B may have a doping concentration of about 10 14 -10 16 /cm 3 . concentration, for example from about 10 15 / cm 3. In addition, the doping concentration of the first conductive type first well region 104A, the first conductive type second well region 104B, and the first conductive type third well region 104C is greater than the first conductive type first doped region 106A and the first The doping concentration of the first conductivity type first doping region 106A and the first conductivity type second doping region 106B is greater than the second conductivity type body region 102 Doping concentration.

接著,參見第3圖,於第一導電型基板100之上表面100S1上形成場氧化層108。此場氧化層108之材料可包括氧化矽。在本揭露一些實施例中,場氧化層108可藉由熱氧化法形成於第一導電型基板100之上表面100S1上。然而,在本揭露其它一些實施例中,此場氧化層108亦可藉由化學氣相沉積法 (CVD)或旋轉塗佈法以及圖案化步驟形成。 Next, referring to FIG. 3, a field oxide layer 108 is formed on the upper surface 100S1 of the first conductive type substrate 100. The material of the field oxide layer 108 may include ruthenium oxide. In some embodiments of the present disclosure, the field oxide layer 108 may be formed on the upper surface 100S1 of the first conductive type substrate 100 by thermal oxidation. However, in other embodiments of the present disclosure, the field oxide layer 108 may also be formed by chemical vapor deposition. (CVD) or spin coating and patterning steps are formed.

接著,於第一導電型基板100之上表面100S1上形成閘極結構110。此閘極結構110包括閘極介電層110A以及形成於此閘極介電層110A上之閘極電極110B。詳細而言,此閘極結構110係形成於第一導電型第二井區104B以及與此第一導電型第二井區104B接觸之場氧化層108上。由於場氧化層108與第一導電型基板100之上表面100S1之間有高度差,且場氧化層108與閘極介電層110A之間亦具有高度差,故閘極結構110(或閘極電極110B)具有一階梯形狀(stepped shape)。此外,上述第一導電型第二井區104B係位於閘極結構110之下。 Next, a gate structure 110 is formed on the upper surface 100S1 of the first conductive type substrate 100. The gate structure 110 includes a gate dielectric layer 110A and a gate electrode 110B formed on the gate dielectric layer 110A. In detail, the gate structure 110 is formed on the first conductive type second well region 104B and the field oxide layer 108 in contact with the first conductive type second well region 104B. Since the field oxide layer 108 has a height difference from the upper surface 100S1 of the first conductive type substrate 100, and the height difference between the field oxide layer 108 and the gate dielectric layer 110A, the gate structure 110 (or the gate) The electrode 110B) has a stepped shape. In addition, the first conductive type second well region 104B is located under the gate structure 110.

上述閘極介電層110A之材料可為氧化矽、氮化矽、氮氧化矽、高介電常數(high-k)介電材料、或其它任何適合之介電材料、或上述之組合。此高介電常數(high-k)介電材料之材料可為金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物、金屬的氮氧化物、金屬鋁酸鹽、鋯矽酸鹽、鋯鋁酸鹽。例如,此高介電常數(high-k)介電材料可為LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfO2、HfO3、HfZrO、HfLaO、HfSiO、HfSiON、LaSiO、AlSiO、HfTaO、HfTiO、HfTaTiO、HfAlON、(Ba,Sr)TiO3(BST)、Al2O3、其它適當材料之其它高介電常數介電材料、或上述組合。此閘極介電層110A可藉由熱氧化法、化學氣相沉積法(CVD)或旋轉塗佈法形成。此化學氣相沉積法例如可為低壓化學氣相沉積法(low pressure chemical vapor deposition,LPCVD)、低溫化學氣相沉積法(low temperature chemical vapor deposition,LTCVD)、快速升溫化學氣相沉積法(rapid thermal chemical vapor deposition,RTCVD)、電漿輔助化學氣相沉積法(p1asma enhanced chemical vapor deposition,PECVD)、原子層化學氣相沉積法之原子層沉積法(atomic layer deposition,ALD)或其它常用的方法。 The material of the gate dielectric layer 110A may be tantalum oxide, tantalum nitride, hafnium oxynitride, a high-k dielectric material, or any other suitable dielectric material, or a combination thereof. The material of the high-k dielectric material may be a metal oxide, a metal nitride, a metal halide, a transition metal oxide, a transition metal nitride, a transition metal telluride, a metal oxynitride, Metal aluminate, zirconium silicate, zirconium aluminate. For example, the high-k dielectric material may be LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfO. 2 , HfO 3 , HfZrO, HfLaO, HfSiO, HfSiON, LaSiO, AlSiO, HfTaO, HfTiO, HfTaTiO, HfAlON, (Ba, Sr)TiO 3 (BST), Al 2 O 3 , other high dielectric constants of other suitable materials Dielectric material, or a combination of the above. The gate dielectric layer 110A can be formed by thermal oxidation, chemical vapor deposition (CVD) or spin coating. The chemical vapor deposition method can be, for example, low pressure chemical vapor deposition (LPCVD), low temperature chemical vapor deposition (LTCVD), or rapid temperature chemical vapor deposition (rapid). Thermal chemical vapor deposition (RTCVD), plasma assisted chemical vapor deposition (PECVD), atomic layer chemical vapor deposition (atomic layer deposition (ALD) or other commonly used methods .

前述閘極電極110B之材料可為非晶矽、複晶矽、一或多種金屬、金屬氮化物、導電金屬氧化物、或上述之組合。上述金屬可包括但不限於鉬(molybdenum)、鎢(tungsten)、鈦(titanium)、鉭(tantalum)、鉑(platinum)或鉿(hafnium)。上述金屬氮化物可包括但不限於氮化鉬(molybdenum nitride)、氮化鎢(tungsten nitride)、氮化鈦(titanium nitride)以及氮化鉭(tantalum nitride)。上述導電金屬氧化物可包括但不限於釕金屬氧化物(ruthenium oxide)以及銦錫金屬氧化物(indium tin oxide)。此閘極電極110B之材料可藉由前述之化學氣相沉積法(CVD)、濺鍍法、電阻加熱蒸鍍法、電子束蒸鍍法、或其它任何適合的沈積方式形成,例如,在一實施例中,可用低壓化學氣相沈積法(LPCVD)在525~650℃之間沈積而製得非晶矽導電材料層或複晶矽導電材料層,其厚度範圍可為約1000Å至約10000Å。 The material of the gate electrode 110B may be amorphous germanium, a germanium germanium, one or more metals, a metal nitride, a conductive metal oxide, or a combination thereof. The above metals may include, but are not limited to, molybdenum, tungsten, titanium, tantalum, platinum or hafnium. The above metal nitrides may include, but are not limited to, molybdenum nitride, tungsten nitride, titanium nitride, and tantalum nitride. The above conductive metal oxide may include, but is not limited to, ruthenium oxide and indium tin oxide. The material of the gate electrode 110B can be formed by the aforementioned chemical vapor deposition (CVD), sputtering, resistance heating evaporation, electron beam evaporation, or any other suitable deposition method, for example, in one In an embodiment, an amorphous germanium conductive material layer or a polycrystalline germanium conductive material layer may be deposited by low pressure chemical vapor deposition (LPCVD) at a temperature between 525 and 650 ° C, and may have a thickness ranging from about 1000 Å to about 10,000 Å.

接著,繼續參見第3圖,於第二導電型主體區102中形成源極區112,並於第一導電型第一井區104A中形成汲極區114。此源極區112與汲極區114係分別設於閘極結構110之兩相反側。且在本揭露一些實施例中,此源極區112係設於閘極結構110與第一導電型第二摻雜區106B或第一導電型第三井區 104C之間。 Next, referring to FIG. 3, the source region 112 is formed in the second conductive type body region 102, and the drain region 114 is formed in the first conductive type first well region 104A. The source region 112 and the drain region 114 are respectively disposed on opposite sides of the gate structure 110. In some embodiments of the disclosure, the source region 112 is disposed in the gate structure 110 and the first conductive type second doping region 106B or the first conductive type third well region. Between 104C.

此汲極區114具有重摻雜第一導電型,而此源極區112包括第一導電型重摻雜源極區112A以及直接接觸第一導電型重摻雜源極區112A之第二導電型重摻雜源極區112B。此第一導電型重摻雜源極區112A較靠近閘極結構110,而此第二導電型重摻雜源極區112B較遠離閘極結構110。 The drain region 114 has a heavily doped first conductivity type, and the source region 112 includes a first conductivity type heavily doped source region 112A and a second conductivity directly contacting the first conductivity type heavily doped source region 112A. Type heavily doped source region 112B. The first conductive type heavily doped source region 112A is closer to the gate structure 110, and the second conductive type heavily doped source region 112B is farther from the gate structure 110.

在本揭露一些實施例中,此汲極區114與第一導電型重摻雜源極區112A可藉由離子佈植步驟形成。例如,當此第一導電型為P型時,可於預定形成汲極區114與第一導電型重摻雜源極區112A之區域佈植硼離子、銦離子或二氟化硼離子(BF2 +)以形成汲極區114與第一導電型重摻雜源極區112A。 In some embodiments of the present disclosure, the drain region 114 and the first conductivity type heavily doped source region 112A may be formed by an ion implantation step. For example, when the first conductivity type is a P-type, boron ions, indium ions or boron difluoride ions (BF) may be implanted in a region where the gate region 114 and the first conductivity type heavily doped source region 112A are formed. 2 + ) to form the drain region 114 and the first conductivity type heavily doped source region 112A.

此外,在本揭露一些實施例中,此第二導電型重摻雜源極區112B可藉由離子佈植步驟形成。例如,當此第二導電型為N型時,可於預定形成第二導電型重摻雜源極區112B之區域佈植磷離子或砷離子以形成第二導電型重摻雜源極區112B。 Moreover, in some embodiments of the present disclosure, the second conductivity type heavily doped source region 112B may be formed by an ion implantation step. For example, when the second conductivity type is N-type, phosphorus ions or arsenic ions may be implanted in a region where the second conductivity type heavily doped source region 112B is formed to form the second conductivity type heavily doped source region 112B. .

在所述實施例中,“重摻雜”意指超過約1019/cm3的摻雜濃度,例如為約1019/cm3至約1021/cm3的摻雜濃度。然而,本領域具有通常知識者可瞭解的是,“重摻雜”的定義亦可依照特定裝置型態、技術世代、最小元件尺寸等所決定。因此,“重摻雜”的定義當視可技術內容重新評估,而不受限於在此所舉之實施例。 In the illustrated embodiment, "heavily doped" means a doping concentration in excess of about 10 19 /cm 3 , such as a doping concentration of from about 10 19 /cm 3 to about 10 21 /cm 3 . However, it will be appreciated by those of ordinary skill in the art that the definition of "heavily doped" can also be determined by the particular device type, technical generation, minimum component size, and the like. Thus, the definition of "heavily doped" is re-evaluated based on technical content and is not limited by the embodiments presented herein.

此外,閘極結構110下具有一第一導電型通道區116。此第一導電型通道區116係位於上述第一導電型重摻雜源 極區112A與第一導電型第二井區104B之間的第一導電型基板100中(或第二導電型主體區102中)。在本揭露一些實施例中,當此第一導電型為P型,第二導電型為N型時,此第一導電型通道區116為P型通道,而此時上述閘極結構110、源極區112與汲極區114共同形成P型金氧半導體,且上述第一導電型基板100為P型基板。 In addition, the gate structure 110 has a first conductive type channel region 116 under it. The first conductive type channel region 116 is located in the first conductive type heavily doped source The first conductive type substrate 100 (or in the second conductive type body region 102) between the polar region 112A and the first conductive type second well region 104B. In some embodiments of the present disclosure, when the first conductivity type is a P type and the second conductivity type is an N type, the first conductive type channel region 116 is a P-type channel, and at this time, the gate structure 110 and the source are The polar region 112 and the drain region 114 together form a P-type MOS, and the first conductive substrate 100 is a P-type substrate.

由此可知,本揭露實施例利用設於P型基板中之新穎的摻雜區配置,可於P型基板中形成P型金氧半導體,且配合習知於P型基板中形成N型金氧半導體之技術,可於P型基板中同時設置N型金氧半導體與P型金氧半導體。 Therefore, the disclosed embodiment can form a P-type MOS in the P-type substrate by using a novel doped region configuration provided in the P-type substrate, and form a N-type gold oxide in the P-type substrate. In the semiconductor technology, an N-type MOS and a P-type MOS can be simultaneously provided in a P-type substrate.

此外,由於本揭露實施例儘是藉由改變半導體裝置之摻雜區的配置以於P型基板中形成P型金氧半導體,故本揭露實施例之製程步驟簡單、且可在不增加光罩數目以及過多製程成本,甚至不增加成本的情況下,於P型基板中同時設置N型金氧半導體與P型金氧半導體。 In addition, since the embodiment of the present disclosure is to form a P-type MOS in the P-type substrate by changing the configuration of the doped region of the semiconductor device, the process steps of the disclosed embodiment are simple, and the reticle can be omitted. In the case of the number and the excessive process cost, even if the cost is not increased, the N-type MOS and the P-type MOS are simultaneously provided in the P-type substrate.

在本揭露一些實施例中,利用此新穎的摻雜區配置,本揭露實施例之半導體裝置之崩潰電壓可大於或等於710V,且導通電阻可小於或等於570mohm-cm2。此外,在本揭露一些實施例中,本揭露實施例之半導體裝置的第一導電型基板100的厚度可大於100μm,因此,上述第一導電型第二摻雜區106B與第二導電型主體區102所形成之減少表面電場結構之空乏區不會接觸到此第一導電型基板100之下表面100S2而影響裝置之性能。 In some embodiments of the present disclosure, with the novel doping region configuration, the breakdown voltage of the semiconductor device of the disclosed embodiment may be greater than or equal to 710V, and the on-resistance may be less than or equal to 570mohm-cm 2 . In addition, in some embodiments of the present disclosure, the thickness of the first conductive type substrate 100 of the semiconductor device of the embodiment of the present disclosure may be greater than 100 μm, and thus, the first conductive type second doping region 106B and the second conductive type main body region. The depletion region formed by the reduced surface electric field structure formed by 102 does not contact the lower surface 100S2 of the first conductive type substrate 100 to affect the performance of the device.

接著,繼續參見第3圖,可於第二導電型主體區102 中更進一步形成第二導電型重摻雜區118。此第二導電型重摻雜區118係形成於場氧化層108之開口108A中,且此第二導電型重摻雜區118係位於第一導電型第二摻雜區106B與第二導電型重摻雜源極區112B之間。此外,在本揭露一些實施例中,上述第一導電型第二摻雜區106B與第一導電型重摻雜源極區112A係分別設於第二導電型重摻雜區118之兩相反側。 Then, referring to FIG. 3, the second conductive type body region 102 can be The second conductivity type heavily doped region 118 is further formed. The second conductive type heavily doped region 118 is formed in the opening 108A of the field oxide layer 108, and the second conductive type heavily doped region 118 is located in the first conductive type second doped region 106B and the second conductive type. Heavy doped source regions 112B. In addition, in some embodiments of the present disclosure, the first conductive type second doped region 106B and the first conductive type heavily doped source region 112A are respectively disposed on opposite sides of the second conductive type heavily doped region 118. .

在本揭露一些實施例中,此第二導電型重摻雜區118可藉由離子佈植步驟形成。例如,當此第二導電型為N型時,可於預定形成第二導電型重摻雜區118之區域佈植磷離子或砷離子以形成第二導電型重摻雜區118。 In some embodiments of the present disclosure, the second conductivity type heavily doped region 118 may be formed by an ion implantation step. For example, when the second conductivity type is N-type, phosphorus ions or arsenic ions may be implanted in a region where the second conductivity type heavily doped region 118 is to be formed to form the second conductivity type heavily doped region 118.

接著,繼續參見第3圖,可於第一導電型第三井區104C中形成第一導電型重摻雜區120。在本揭露一些實施例中,此第一導電型重摻雜區120可直接接觸第二導電型主體區102。 Next, referring to FIG. 3, the first conductivity type heavily doped region 120 may be formed in the first conductivity type third well region 104C. In some embodiments of the present disclosure, the first conductive type heavily doped region 120 may directly contact the second conductive type body region 102.

在本揭露一些實施例中,此第一導電型重摻雜區120可藉由離子佈植步驟形成。例如,當此第一導電型為P型時,可於預定形成第一導電型重摻雜區120之區域佈植硼離子、銦離子或二氟化硼離子(BF2 +)以形成第一導電型重摻雜區120。 In some embodiments of the present disclosure, the first conductivity type heavily doped region 120 may be formed by an ion implantation step. For example, when the first conductivity type is a P-type, boron ions, indium ions or boron difluoride ions (BF 2 + ) may be implanted in a region where the first conductivity type heavily doped region 120 is to be formed to form a first Conductive type heavily doped region 120.

此外,在本揭露一些實施例中,上述第二導電型重摻雜區118與第一導電型重摻雜區120之摻雜濃度類似或相同於源極區112與汲極區114之摻雜濃度。 In addition, in some embodiments of the present disclosure, the doping concentration of the second conductive type heavily doped region 118 and the first conductive type heavily doped region 120 are similar or identical to the doping of the source region 112 and the drain region 114. concentration.

接著,參見第4圖,該圖係顯示根據本揭露一些實施例所述之半導體裝置200之製造方法其中一步驟之半導體裝 置200之剖面圖。如第4圖所示,於第一導電型基板100之上表面100S1上形成層間介電層(ILD)122。層間介電層122可為氧化矽、氮化矽、氮氧化矽、硼磷矽玻璃(BPSG)、磷矽玻璃(PSG)、旋塗式玻璃(SOG)、高密度之電漿(high density plasma,HDP)沉積形成之介電材料或其它任何適合之介電材料、或上述之組合。層間介電層(ILD)122可藉由前述之化學氣相沉積法(CVD)或旋轉塗佈法以及圖案化步驟形成。 Next, referring to FIG. 4, the figure shows a semiconductor device in one step of the manufacturing method of the semiconductor device 200 according to some embodiments of the present disclosure. Set the cross-section of 200. As shown in FIG. 4, an interlayer dielectric layer (ILD) 122 is formed on the upper surface 100S1 of the first conductive substrate 100. The interlayer dielectric layer 122 may be hafnium oxide, tantalum nitride, hafnium oxynitride, borophosphoquinone glass (BPSG), phosphorous bismuth glass (PSG), spin-on glass (SOG), high density plasma (high density plasma). , HDP) a dielectric material formed by deposition or any other suitable dielectric material, or a combination thereof. The interlayer dielectric layer (ILD) 122 can be formed by the aforementioned chemical vapor deposition (CVD) or spin coating method and patterning step.

接著,繼續參見第4圖,於層間介電層122中形成汲極接觸插塞124D、第一源極接觸插塞124S1、第二源極接觸插塞124S2、接觸插塞124A及主體接觸插塞124B。此汲極接觸插塞124D電性連接至汲極區114,此第一源極接觸插塞124S1電性連接至第一導電型重摻雜源極區112A,此第二源極接觸插塞124S2電性連接至第二導電型重摻雜源極區112B,此接觸插塞124A電性連接至第二導電型重摻雜區118,此主體接觸插塞124B電性連接至第一導電型重摻雜區120。 Next, referring to FIG. 4, a drain contact plug 124D, a first source contact plug 124S1, a second source contact plug 124S2, a contact plug 124A, and a body contact plug are formed in the interlayer dielectric layer 122. 124B. The drain contact plug 124D is electrically connected to the drain region 114. The first source contact plug 124S1 is electrically connected to the first conductive type heavily doped source region 112A. The second source contact plug 124S2 Electrically connected to the second conductive type heavily doped source region 112B, the contact plug 124A is electrically connected to the second conductive type heavily doped region 118, and the body contact plug 124B is electrically connected to the first conductive type heavy Doped region 120.

此外,層間介電層122上更形成有電性連接至汲極接觸插塞124D之導線126D、電性連接至第一源極接觸插塞124S1、第二源極接觸插塞124S2與接觸插塞124A之導線126S、以及電性連接至主體接觸插塞124B之導線126B。 In addition, the interlayer dielectric layer 122 is further formed with a wire 126D electrically connected to the drain contact plug 124D, electrically connected to the first source contact plug 124S1, the second source contact plug 124S2 and the contact plug. A wire 126S of 124A, and a wire 126B electrically connected to the body contact plug 124B.

在本揭露一些實施例中,上述汲極接觸插塞124D、第一源極接觸插塞124S1、第二源極接觸插塞124S2、接觸插塞124A、主體接觸插塞124B及導線126D、126S與126B之材料可包括銅、鋁、鎢、金、鉻、鎳、鉑、鈦、銥、銠、上述之合金、上述之組合或其它導電性佳的金屬材料。於其它實施 例中,上述汲極接觸插塞124D、第一源極接觸插塞124S1、第二源極接觸插塞124S2、接觸插塞124A、主體接觸插塞124B及導線126D、126S與126B之材料可為一非金屬材料,只要使用之材料具有導電性即可。此汲極接觸插塞124D、第一源極接觸插塞124S1、第二源極接觸插塞124S2、接觸插塞124A、主體接觸插塞124B及導線126D、126S與126B之材料可藉由前述之化學氣相沉積法(CVD)、濺鍍法、電阻加熱蒸鍍法、電子束蒸鍍法、或其它任何適合的沉積方式形成。 In some embodiments of the present disclosure, the drain contact plug 124D, the first source contact plug 124S1, the second source contact plug 124S2, the contact plug 124A, the body contact plug 124B, and the wires 126D, 126S are The material of 126B may include copper, aluminum, tungsten, gold, chromium, nickel, platinum, titanium, niobium, tantalum, the above alloys, combinations thereof, or other highly conductive metal materials. For other implementations For example, the material of the above-mentioned drain contact plug 124D, the first source contact plug 124S1, the second source contact plug 124S2, the contact plug 124A, the body contact plug 124B, and the wires 126D, 126S and 126B may be A non-metallic material, as long as the material used is electrically conductive. The material of the drain contact plug 124D, the first source contact plug 124S1, the second source contact plug 124S2, the contact plug 124A, the body contact plug 124B, and the wires 126D, 126S and 126B can be made of the foregoing Formed by chemical vapor deposition (CVD), sputtering, resistance heating evaporation, electron beam evaporation, or any other suitable deposition method.

此外,在本揭露一些實施例中,主體接觸插塞124B與導線126B透過第一導電型重摻雜區120與第一導電型第三井區104C電性連接第一導電型基板100,並將此第一導電型基板100接地。 In addition, in some embodiments of the present disclosure, the body contact plug 124B and the wire 126B are electrically connected to the first conductive type substrate 100 through the first conductive type heavily doped region 120 and the first conductive type third well region 104C, and This first conductive type substrate 100 is grounded.

此外,層間介電層122上可更形成有保護層128,此保護層128可為氮化矽、氧化矽、氮氧化矽、硼磷矽玻璃(BPSG)、磷矽玻璃(PSG)、旋塗式玻璃(SOG)、高密度之電漿(high density plasma,HDP)沉積形成之介電材料或其它任何適合之介電材料、或上述之組合,且可藉由前述之方法形成。 In addition, a protective layer 128 may be further formed on the interlayer dielectric layer 122. The protective layer 128 may be tantalum nitride, hafnium oxide, hafnium oxynitride, borophosphorus glass (BPSG), phosphorous bismuth (PSG), spin coating. A glass (SOG), a high density plasma (HDP) deposited dielectric material or any other suitable dielectric material, or a combination thereof, and formed by the foregoing methods.

此保護層128覆蓋導線126D、126S與126B,且具有開口露出導線126D與導線126S。此外,保護層128之開口中可形成有電性連接導線126D之導電墊130D與電性連接導線126S之導電墊130S。此導電墊130D係設於導線126D上,而此導電墊130S係設於導線126S上。 This protective layer 128 covers the wires 126D, 126S and 126B and has an opening to expose the wires 126D and the wires 126S. In addition, the conductive pad 130D of the electrical connection wire 126D and the conductive pad 130S of the electrical connection wire 126S may be formed in the opening of the protection layer 128. The conductive pad 130D is disposed on the wire 126D, and the conductive pad 130S is disposed on the wire 126S.

上述導電墊130D與130S之材料可包括銅、鋁、鉬、鎢、金、鉻、鎳、鉑、鈦、銥、銠、上述之合金、上述之組合 或其它導電性佳的金屬材料。於其它實施例中,上述導電墊130D與130S之材料可為一非金屬材料,只要使用之材料具有導電性即可。此導電墊130D與130S之材料可藉由前述之化學氣相沉積法(CVD)、濺鍍法、電阻加熱蒸鍍法、電子束蒸鍍法、或其它任何適合的沉積方式形成。在一些實施例中,上述導電墊130D與130S之材料可相同,且可藉由同一道沈積步驟形成。然而,在其它實施例中,上述導電墊130D與130S亦可藉由不同之沈積步驟形成,且其材料可彼此不同。 The materials of the conductive pads 130D and 130S may include copper, aluminum, molybdenum, tungsten, gold, chromium, nickel, platinum, titanium, tantalum, niobium, alloys thereof, combinations thereof. Or other conductive metal materials. In other embodiments, the material of the conductive pads 130D and 130S may be a non-metal material as long as the material used has conductivity. The material of the conductive pads 130D and 130S can be formed by the aforementioned chemical vapor deposition (CVD), sputtering, resistance heating evaporation, electron beam evaporation, or any other suitable deposition method. In some embodiments, the materials of the conductive pads 130D and 130S may be the same and may be formed by the same deposition step. However, in other embodiments, the conductive pads 130D and 130S may be formed by different deposition steps, and the materials thereof may be different from each other.

繼續參見第4圖,半導體裝置200包括第一導電型基板100以及設於第一導電型基板100中的第二導電型主體區102。此半導體裝置200更包括設於第二導電型主體區102中的第一導電型第一井區104A與第一導電型第二井區104B,以及設於第一導電型基板100中未形成有第二導電型主體區102之區域中的第一導電型第三井區104C。上述第一導電型第一井區104A與第一導電型第三井區104C係分別設於第一導電型第二井區104B之兩相反側。 Continuing to refer to FIG. 4, the semiconductor device 200 includes a first conductive type substrate 100 and a second conductive type body region 102 provided in the first conductive type substrate 100. The semiconductor device 200 further includes a first conductive type first well region 104A and a first conductive type second well region 104B disposed in the second conductive type body region 102, and is not formed in the first conductive type substrate 100. The first conductive type third well region 104C in the region of the second conductive type body region 102. The first conductive type first well region 104A and the first conductive type third well region 104C are respectively disposed on opposite sides of the first conductive type second well region 104B.

此半導體裝置200更包括設於第二導電型主體區102中的第一導電型第一摻雜區106A與第一導電型第二摻雜區106B。此第一導電型第一摻雜區106A係設於第一導電型第一井區104A與第一導電型第二井區104B之間,且直接接觸第一導電型第一井區104A與第一導電型第二井區104B,且上述第一導電型第一井區104A與第一導電型第二井區104B係藉由第一導電型第一摻雜區106A電性連接。 The semiconductor device 200 further includes a first conductive type first doping region 106A and a first conductive type second doping region 106B disposed in the second conductive type body region 102. The first conductive type first doping region 106A is disposed between the first conductive type first well region 104A and the first conductive type second well region 104B, and directly contacts the first conductive type first well region 104A and the first conductive type The first conductive type first well region 104A and the first conductive type second well region 104B are electrically connected by the first conductive type first doping region 106A.

而上述第一導電型第二摻雜區106B係設於第一導 電型第二井區104B與第一導電型第三井區104C之間,且此第一導電型第二摻雜區106B僅接觸第二導電型主體區102,而不接觸其它摻雜區,例如第一導電型第二井區104B與第一導電型第三井區104C。 The first conductive type second doping region 106B is disposed in the first guide The second type well region 104B is electrically connected to the first conductive type third well region 104C, and the first conductive type second doped region 106B contacts only the second conductive type body region 102 without contacting other doped regions. For example, the first conductive type second well region 104B and the first conductive type third well region 104C.

此半導體裝置200更包括設於第一導電型基板100之上表面100S1上之閘極結構110,且此閘極結構110係設於第一導電型第二井區104B上。接著,此半導體裝置200更包括源極區112與汲極區114。此源極區112與汲極區114係分別設於閘極結構110之兩相反側。詳細而言,此源極區112係設於第二導電型主體區102中,且係位於閘極結構110與第一導電型第二摻雜區106B或第一導電型第三井區104C之間。而此汲極區114係設於第一導電型第一井區104A。 The semiconductor device 200 further includes a gate structure 110 disposed on the upper surface 100S1 of the first conductive type substrate 100, and the gate structure 110 is disposed on the first conductive type second well region 104B. Next, the semiconductor device 200 further includes a source region 112 and a drain region 114. The source region 112 and the drain region 114 are respectively disposed on opposite sides of the gate structure 110. In detail, the source region 112 is disposed in the second conductive type body region 102 and is located in the gate structure 110 and the first conductive type second doping region 106B or the first conductive type third well region 104C. between. The drain region 114 is disposed in the first conductivity type first well region 104A.

此外,此源極區112包括第一導電型重摻雜源極區112A以及直接接觸第一導電型重摻雜源極區112A之第二導電型重摻雜源極區112B。此第一導電型重摻雜源極區112A較靠近閘極結構110,而此第二導電型重摻雜源極區112B較遠離閘極結構110。 In addition, the source region 112 includes a first conductivity type heavily doped source region 112A and a second conductivity type heavily doped source region 112B that directly contacts the first conductivity type heavily doped source region 112A. The first conductive type heavily doped source region 112A is closer to the gate structure 110, and the second conductive type heavily doped source region 112B is farther from the gate structure 110.

此半導體裝置200可更包括位於閘極結構110下且位於上述第一導電型重摻雜源極區112A與第一導電型第二井區104B之間的第一導電型通道區116。在本揭露一些實施例中,當此第一導電型為P型時,此第一導電型通道區116為P型通道,而上述閘極結構110、源極區112與汲極區114共同形成P型金氧半導體,且上述第一導電型基板100為P型基板。 The semiconductor device 200 can further include a first conductive type channel region 116 under the gate structure 110 between the first conductive type heavily doped source region 112A and the first conductive type second well region 104B. In some embodiments of the present disclosure, when the first conductivity type is a P-type, the first conductive type channel region 116 is a P-type channel, and the gate structure 110, the source region 112 and the drain region 114 are formed together. A P-type MOS semiconductor, and the first conductive type substrate 100 is a P-type substrate.

此半導體裝置200可更包括設於第二導電型主體 區102中的第二導電型重摻雜區118,且此第二導電型重摻雜區118係位於第一導電型第二摻雜區106B與第二導電型重摻雜源極區112B之間。此半導體裝置200可更包括設於第一導電型第三井區104C中的第一導電型重摻雜區120。 The semiconductor device 200 can further include a second conductive type body The second conductive type heavily doped region 118 in the region 102, and the second conductive type heavily doped region 118 is located in the first conductive type second doped region 106B and the second conductive type heavily doped source region 112B. between. The semiconductor device 200 may further include a first conductivity type heavily doped region 120 disposed in the first conductivity type third well region 104C.

此外,在本揭露一些實施例中,半導體裝置200可為高壓半導體裝置,例如水平擴散金氧半導體裝置(laterally diffused metal oxide semiconductor,LDMOS)。在本揭露一些實施例中,半導體裝置200係使用P型基板100,且除上述P型金氧半導體外,半導體裝置200可更包括另一N型金氧半導體(未繪示)。 Moreover, in some embodiments of the disclosure, the semiconductor device 200 can be a high voltage semiconductor device, such as a laterally diffused metal oxide semiconductor (LDMOS). In some embodiments of the present disclosure, the semiconductor device 200 uses the P-type substrate 100, and in addition to the P-type MOS semiconductor, the semiconductor device 200 may further include another N-type MOS (not shown).

綜上所述,本揭露實施例係利用設於P型基板中之新穎的摻雜區配置,可於P型基板中形成P型金氧半導體,且配合習知於P型基板中形成N型金氧半導體之技術,可於P型基板中同時設置N型金氧半導體與P型金氧半導體。 In summary, the disclosed embodiments can form a P-type MOS in a P-type substrate by using a novel doped region configuration provided in a P-type substrate, and form an N-type in a P-type substrate. The technology of the MOS semiconductor can simultaneously provide an N-type MOS semiconductor and a P-type MOS semiconductor in a P-type substrate.

此外,由於本揭露實施例儘是藉由改變半導體裝置之摻雜區的配置以於P型基板中形成P型金氧半導體,故本揭露實施例之製程步驟簡單、且可在不增加光罩數目以及過多製程成本,甚至不增加成本的情況下,於P型基板中同時設置N型金氧半導體與P型金氧半導體。 In addition, since the embodiment of the present disclosure is to form a P-type MOS in the P-type substrate by changing the configuration of the doped region of the semiconductor device, the process steps of the disclosed embodiment are simple, and the reticle can be omitted. In the case of the number and the excessive process cost, even if the cost is not increased, the N-type MOS and the P-type MOS are simultaneously provided in the P-type substrate.

此外,應注意的是,熟習本技術領域之人士均深知,本揭露所述之汲極與源極可互換,因其定義係與本身所連接的電壓位準有關。 In addition, it should be noted that those skilled in the art are well aware that the drains and sources described herein are interchangeable because their definition is related to the voltage level to which they are connected.

值得注意的是,以上所述之元件尺寸、元件參數、以及元件形狀皆非為本揭露之限制條件。此技術領域中具有通 常知識者可以根據不同需要調整這些設定值。另外,本揭露之半導體裝置及其製造方法並不僅限於第1-4圖所圖示之狀態。本揭露可以僅包括第1-4圖之任何一或複數個實施例之任何一或複數項特徵。換言之,並非所有圖示之特徵均須同時實施於本揭露之半導體裝置及其製造方法中。 It should be noted that the component sizes, component parameters, and component shapes described above are not limitations of the disclosure. In this technical field Those who are knowledgeable can adjust these settings according to different needs. Further, the semiconductor device and the method of manufacturing the same according to the present disclosure are not limited to the state illustrated in FIGS. 1-4. The disclosure may include only any one or more of the features of any one or more of the embodiments of Figures 1-4. In other words, not all illustrated features must be simultaneously implemented in the semiconductor device and method of fabricating the same.

此外,雖然前文舉出各個摻雜區於某些實施例之摻雜濃度。然而,本領域具有通常知識者可瞭解的是,各個摻雜區之摻雜濃度可依照特定裝置型態、技術世代、最小元件尺寸等所決定。因此,各個摻雜區之摻雜濃度可依照技術內容重新評估,而不受限於在此所舉之實施例。 In addition, although the doping concentrations of the various doped regions in some embodiments are set forth above. However, it will be understood by those of ordinary skill in the art that the doping concentration of each doped region can be determined according to a particular device type, technology generation, minimum component size, and the like. Thus, the doping concentration of each doped region can be re-evaluated in accordance with the technical content without being limited to the embodiments presented herein.

此外,應注意的是,雖然在以上之實施例中,皆以第一導電型為P型,第二導電型為N型說明,然而,此技術領域中具有通常知識者當可理解第一導電型亦可為N型,而此時第二導電型則為P型。 In addition, it should be noted that although in the above embodiments, the first conductivity type is P type and the second conductivity type is N type, however, those skilled in the art can understand the first conductivity. The type can also be N-type, while the second conductivity type is P-type.

雖然本揭露的實施例及其優點已揭露如上,但應該瞭解的是,任何所屬技術領域中具有通常知識者,在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。此外,本揭露之保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本揭露揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大抵相同功能或獲得大抵相同結果皆可根據本揭露使用。因此,本揭露之保護範圍包括上述製程、機器、製造、物質組成、裝置、方法及步驟。 另外,每一申請專利範圍構成個別的實施例,且本揭露之保護範圍也包括各個申請專利範圍及實施例的組合。 Although the embodiments of the present disclosure and its advantages are disclosed above, it should be understood that those skilled in the art can make changes, substitutions, and refinements without departing from the spirit and scope of the disclosure. In addition, the scope of the disclosure is not limited to the processes, machines, manufactures, compositions, devices, methods, and steps in the specific embodiments described in the specification, and those of ordinary skill in the art may disclose the disclosure It is understood that the processes, machines, manufactures, compositions, devices, methods, and procedures that are presently or in the future may be used in accordance with the present disclosure as long as they can perform substantially the same function or achieve substantially the same results in the embodiments described herein. Accordingly, the scope of protection of the present disclosure includes the above-described processes, machines, manufacturing, material compositions, devices, methods, and procedures. In addition, each patent application scope constitutes an individual embodiment, and the scope of protection of the disclosure also includes a combination of the scope of the patent application and the embodiments.

100‧‧‧第一導電型基板 100‧‧‧First Conductive Substrate

100S1‧‧‧上表面 100S1‧‧‧ upper surface

100S2‧‧‧下表面 100S2‧‧‧ lower surface

102‧‧‧第二導電型主體區 102‧‧‧Second conductive body area

104A‧‧‧第一導電型第一井區 104A‧‧‧First Conductive First Well Area

104B‧‧‧第一導電型第二井區 104B‧‧‧First Conductive Second Well Area

104C‧‧‧第一導電型第三井區 104C‧‧‧First Conductive Third Well Area

106A‧‧‧第一導電型第一摻雜區 106A‧‧‧First Conductive Type First Doped Area

106B‧‧‧第一導電型第二摻雜區 106B‧‧‧First Conductive Type Second Doped Area

108‧‧‧場氧化層 108‧‧‧Field oxide layer

108A‧‧‧開口 108A‧‧‧ openings

110‧‧‧閘極結構 110‧‧‧ gate structure

110A‧‧‧閘極介電層 110A‧‧‧gate dielectric layer

110B‧‧‧閘極電極 110B‧‧‧gate electrode

112‧‧‧源極區 112‧‧‧ source area

112A‧‧‧第一導電型重摻雜源極區 112A‧‧‧First Conductive Heavy Doped Source Region

112B‧‧‧第二導電型重摻雜源極區 112B‧‧‧Second Conductive Heavy Doped Source Region

114‧‧‧汲極區 114‧‧‧Bungee Area

116‧‧‧第一導電型通道區 116‧‧‧First Conductive Channel Area

118‧‧‧第二導電型重摻雜區 118‧‧‧Second Conductive Heavy Doped Zone

120‧‧‧第一導電型重摻雜區 120‧‧‧First Conductive Heavy Doped Zone

122‧‧‧層間介電層 122‧‧‧Interlayer dielectric layer

124D‧‧‧汲極接觸插塞 124D‧‧‧bend contact plug

124S1‧‧‧第一源極接觸插塞 124S1‧‧‧First source contact plug

124S2‧‧‧第二源極接觸插塞 124S2‧‧‧Second source contact plug

124A‧‧‧接觸插塞 124A‧‧‧Contact plug

124B‧‧‧主體接觸插塞 124B‧‧‧ body contact plug

126D‧‧‧導線 126D‧‧‧ wire

126S‧‧‧導線 126S‧‧‧ wire

126B‧‧‧導線 126B‧‧‧Wire

128‧‧‧保護層 128‧‧‧Protective layer

130D‧‧‧導電墊 130D‧‧‧Electrical mat

130S‧‧‧導電墊 130S‧‧‧Electrical mat

200‧‧‧半導體裝置 200‧‧‧Semiconductor device

Claims (20)

一種半導體裝置,包括:一第一導電型基板;一第二導電型主體區,設於該第一導電型基板中,其中該第一導電型與該第二導電型不同;一第一導電型第一井區,設於該第二導電型主體區中;一閘極結構,設於該第一導電型基板之上表面上;一源極區,其中該源極區包括一第一導電型重摻雜源極區,且係設於該第二導電型主體區中;及一汲極區,其中該汲極區具有重摻雜第一導電型,且係設於該第一導電型第一井區中。 A semiconductor device comprising: a first conductive type substrate; a second conductive type body region disposed in the first conductive type substrate, wherein the first conductive type is different from the second conductive type; a first conductive type a first well region is disposed in the second conductive type body region; a gate structure is disposed on the upper surface of the first conductive type substrate; and a source region, wherein the source region includes a first conductive type a heavily doped source region is disposed in the second conductive type body region; and a drain region, wherein the drain region has a heavily doped first conductivity type and is disposed in the first conductivity type In a well area. 如申請專利範圍第1項所述之半導體裝置,其中該第一導電型為P型,該第二導電型為N型,且該半導體裝置更包括一P型通道設於該源極區與該汲極區之間,且設於該閘極結構之下。 The semiconductor device of claim 1, wherein the first conductivity type is a P type, the second conductivity type is an N type, and the semiconductor device further comprises a P type channel disposed in the source region and the Between the bungee regions and under the gate structure. 如申請專利範圍第1項所述之半導體裝置,更包括:一第一導電型第二井區,設於該第二導電型主體區中,且該第一導電型第二井區係位於該閘極結構之下;及一第一導電型第一摻雜區,設於該第二導電型主體區中,其中該第一導電型第一井區與該第一導電型第二井區係藉由該第一導電型第一摻雜區電性連接。 The semiconductor device of claim 1, further comprising: a first conductivity type second well region disposed in the second conductivity type body region, wherein the first conductivity type second well region is located in the The first conductive type first doped region is disposed in the second conductive type main body region, wherein the first conductive type first well region and the first conductive type second well region are The first doped region of the first conductivity type is electrically connected. 如申請專利範圍第3項所述之半導體裝置,其中該第一導電型第一摻雜區不接觸該第一導電型基板之上表面。 The semiconductor device of claim 3, wherein the first conductive type first doped region does not contact the upper surface of the first conductive type substrate. 如申請專利範圍第1項所述之半導體裝置,更包括:一第一導電型第二摻雜區,設於該第二導電型主體區中, 其中該第一導電型第二摻雜區僅接觸該第二導電型主體區,而不接觸其它摻雜區。 The semiconductor device of claim 1, further comprising: a first conductive type second doped region disposed in the second conductive type body region, The first conductive type second doped region contacts only the second conductive type body region without contacting other doped regions. 如申請專利範圍第5項所述之半導體裝置,其中該第一導電型第二摻雜區不接觸該第一導電型基板之上表面。 The semiconductor device of claim 5, wherein the first conductive type second doped region does not contact the upper surface of the first conductive type substrate. 如申請專利範圍第1項所述之半導體裝置,其中該源極區更包括:一第二導電型重摻雜源極區,直接接觸該第一導電型重摻雜源極區。 The semiconductor device of claim 1, wherein the source region further comprises: a second conductivity type heavily doped source region directly contacting the first conductivity type heavily doped source region. 如申請專利範圍第5項所述之半導體裝置,更包括:一第二導電型重摻雜區,設於該第二導電型主體區,其中該第一導電型第二摻雜區與該第一導電型重摻雜源極區係分別設於該第二導電型重摻雜區之兩相反側。 The semiconductor device of claim 5, further comprising: a second conductive type heavily doped region disposed in the second conductive type body region, wherein the first conductive type second doped region and the first A conductive type heavily doped source region is respectively disposed on opposite sides of the second conductive type heavily doped region. 如申請專利範圍第1項所述之半導體裝置,更包括:一第一導電型第三井區,設於該第一導電型基板中未形成有該第二導電型主體區之區域。 The semiconductor device of claim 1, further comprising: a first conductivity type third well region disposed in the first conductivity type substrate in which the second conductivity type body region is not formed. 如申請專利範圍第9項所述之半導體裝置,更包括:一第一導電型重摻雜區,設於該第一導電型第三井區中。 The semiconductor device of claim 9, further comprising: a first conductivity type heavily doped region disposed in the first conductivity type third well region. 一種半導體裝置之製造方法,包括:提供一第一導電型基板;形成一第二導電型主體區於該第一導電型基板中,其中該第一導電型與該第二導電型不同;形成一第一導電型第一井區於該第二導電型主體區中;形成一閘極結構於該第一導電型基板之上表面上;形成一源極區,其中該源極區包括一第一導電型重摻雜源極區,且係設於該第二導電型主體區中;及 形成一汲極區,其中該汲極區具有重摻雜第一導電型,且係設於該第一導電型第一井區中。 A method of manufacturing a semiconductor device, comprising: providing a first conductive type substrate; forming a second conductive type body region in the first conductive type substrate, wherein the first conductive type is different from the second conductive type; forming a a first conductive type first well region is disposed in the second conductive type body region; a gate structure is formed on the upper surface of the first conductive type substrate; a source region is formed, wherein the source region includes a first a conductive heavily doped source region and disposed in the second conductive type body region; A drain region is formed, wherein the drain region has a heavily doped first conductivity type and is disposed in the first conductivity type first well region. 如申請專利範圍第11項所述之半導體裝置之製造方法,其中該第一導電型為P型,該第二導電型為N型,且該半導體裝置更包括一P型通道設於該源極區與該汲極區之間,且設於該閘極結構之下。 The method of manufacturing a semiconductor device according to claim 11, wherein the first conductivity type is a P type, the second conductivity type is an N type, and the semiconductor device further comprises a P type channel disposed at the source The region is between the drain region and is disposed under the gate structure. 如申請專利範圍第11項所述之半導體裝置之製造方法,更包括:形成一第一導電型第二井區於該第二導電型主體區中,且該第一導電型第二井區係位於該閘極結構之下;及形成一第一導電型第一摻雜區於該第二導電型主體區中,其中該第一導電型第一井區與該第一導電型第二井區係藉由該第一導電型第一摻雜區電性連接。 The method for manufacturing a semiconductor device according to claim 11, further comprising: forming a second conductivity type second well region in the second conductivity type body region, and the first conductivity type second well region Located under the gate structure; and forming a first conductive type first doped region in the second conductive type body region, wherein the first conductive type first well region and the first conductive type second well region The first doped region is electrically connected by the first conductivity type. 如申請專利範圍第13項所述之半導體裝置之製造方法,其中該第一導電型第一摻雜區不接觸該第一導電型基板之上表面。 The method of manufacturing a semiconductor device according to claim 13, wherein the first conductive type first doped region does not contact the upper surface of the first conductive type substrate. 如申請專利範圍第11項所述之半導體裝置之製造方法,更包括:形成一第一導電型第二摻雜區於該第二導電型主體區中,其中該第一導電型第二摻雜區僅接觸該第二導電型主體區,而不接觸其它摻雜區。 The method for manufacturing a semiconductor device according to claim 11, further comprising: forming a first conductivity type second doping region in the second conductivity type body region, wherein the first conductivity type second doping The region contacts only the second conductive type body region without contacting other doped regions. 如申請專利範圍第15項所述之半導體裝置之製造方法,其中該第一導電型第二摻雜區不接觸該第一導電型基板之上表面。 The method of fabricating a semiconductor device according to claim 15, wherein the first conductive type second doped region does not contact the upper surface of the first conductive type substrate. 如申請專利範圍第11項所述之半導體裝置之製造方法,其 中該源極區更包括:一第二導電型重摻雜源極區,直接接觸該第一導電型重摻雜源極區。 A method of manufacturing a semiconductor device according to claim 11, wherein The source region further includes: a second conductivity type heavily doped source region directly contacting the first conductivity type heavily doped source region. 如申請專利範圍第15項所述之半導體裝置之製造方法,更包括:形成一第二導電型重摻雜區於該第二導電型主體區,其中該第一導電型第二摻雜區與該第一導電型重摻雜源極區係分別設於該第二導電型重摻雜區之兩相反側。 The method for fabricating a semiconductor device according to claim 15 further comprising: forming a second conductivity type heavily doped region in the second conductivity type body region, wherein the first conductivity type second doping region The first conductive type heavily doped source regions are respectively disposed on opposite sides of the second conductive type heavily doped region. 如申請專利範圍第11項所述之半導體裝置之製造方法,更包括:形成一第一導電型第三井區於該第一導電型基板中未形成有該第二導電型主體區之區域。 The method of manufacturing a semiconductor device according to claim 11, further comprising: forming a first conductive type third well region in the first conductive type substrate in which the second conductive type body region is not formed. 如申請專利範圍第19項所述之半導體裝置之製造方法,更包括:形成一第一導電型重摻雜區於該第一導電型第三井區中。 The method for fabricating a semiconductor device according to claim 19, further comprising: forming a first conductivity type heavily doped region in the first conductivity type third well region.
TW105110236A 2016-03-31 2016-03-31 Semiconductor device and method of manufacturing the same TWI575734B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW105110236A TWI575734B (en) 2016-03-31 2016-03-31 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW105110236A TWI575734B (en) 2016-03-31 2016-03-31 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
TWI575734B true TWI575734B (en) 2017-03-21
TW201735353A TW201735353A (en) 2017-10-01

Family

ID=58766394

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105110236A TWI575734B (en) 2016-03-31 2016-03-31 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
TW (1) TWI575734B (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201547023A (en) * 2014-06-05 2015-12-16 Vanguard Int Semiconduct Corp Semiconductor device and method of manufacturing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201547023A (en) * 2014-06-05 2015-12-16 Vanguard Int Semiconduct Corp Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
TW201735353A (en) 2017-10-01

Similar Documents

Publication Publication Date Title
KR20160021007A (en) Transistor, integrated circuit and method of fabricating the same
CN105374875A (en) Multi-gate device structure including a fin-embedded isolation region and methods thereof
CN107564953B (en) Varactor and method of manufacturing the same
TWI683437B (en) High voltage semiconductor device
US10128368B2 (en) Double gate trench power transistor and manufacturing method thereof
CN112542514A (en) Transverse transistor
US20220336614A1 (en) Source/Drain Silicide for Multigate Device Performance and Method of Fabricating Thereof
TWI575734B (en) Semiconductor device and method of manufacturing the same
TWI640092B (en) Semiconductor structures
TWI618241B (en) High voltage semiconductor device and method of manufacturing the same
CN113629146B (en) High-voltage semiconductor device and method for manufacturing the same
US11437231B2 (en) Method for manufacturing semiconductor device
CN107301975B (en) Semiconductor device and method for manufacturing the same
CN111081548B (en) Fully silicided gated device and method of forming the same
US10388758B2 (en) Semiconductor structure having a high voltage well region
TW201719893A (en) Semiconductor device and method of manufacturing the same
US9666711B1 (en) Semiconductor device and method for manufacturing the same
TWI684209B (en) Semiconductor structure and method for fabricating the same
US11721732B2 (en) Semiconductor device with control electrodes provided in trenches of different widths
CN110690116A (en) Semiconductor structure and manufacturing method thereof
TWI822585B (en) Semiconductor device and manufacturing method thereof
TWI544639B (en) High voltage semiconductor device and method of manufacturing the same
US11682726B2 (en) High voltage semiconductor device and manufacturing method thereof
TWI575707B (en) Semiconductor device and method of manufacturing the same
TWI587507B (en) Semiconductor device and method of manufacturing the same