TWI575334B - Inspection method, lithographic apparatus, mask and substrate - Google Patents

Inspection method, lithographic apparatus, mask and substrate Download PDF

Info

Publication number
TWI575334B
TWI575334B TW103142907A TW103142907A TWI575334B TW I575334 B TWI575334 B TW I575334B TW 103142907 A TW103142907 A TW 103142907A TW 103142907 A TW103142907 A TW 103142907A TW I575334 B TWI575334 B TW I575334B
Authority
TW
Taiwan
Prior art keywords
focus
target
structures
high resolution
substrate
Prior art date
Application number
TW103142907A
Other languages
Chinese (zh)
Other versions
TW201527901A (en
Inventor
多姆倫 尤瑞 喬漢那 勞瑞提斯 瑪利亞 凡
蓮博特絲 姬德絲 馬莉雅 凱瑟絲
包伊夫 亞歷 傑福瑞 丹
保羅 克利絲丁安 希尼
彼得 大衛 英布隆
卡司徒夫 巴塔哈爾亞
瑪可 喬漢娜 安瑪莉 彼得氏
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201527901A publication Critical patent/TW201527901A/en
Application granted granted Critical
Publication of TWI575334B publication Critical patent/TWI575334B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Description

檢查方法、微影裝置、光罩及基板 Inspection method, lithography device, reticle and substrate

本發明係關於可用於(例如)藉由微影技術之器件製造中之檢查方法。 This invention relates to inspection methods that can be used, for example, in the fabrication of devices by lithography.

微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於(例如)積體電路(IC)製造中。在彼情況下,圖案化器件(其替代地被稱作光罩或比例光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上而進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。已知微影裝置包括:所謂步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化器件轉印至基板。 A lithography apparatus is a machine that applies a desired pattern onto a substrate, typically applied to a target portion of the substrate. The lithography apparatus can be used, for example, in the fabrication of integrated circuits (ICs). In that case, a patterned device (which is alternatively referred to as a reticle or a proportional reticle) can be used to create a circuit pattern to be formed on individual layers of the IC. This pattern can be transferred onto a target portion (eg, a portion containing a die, a die, or a plurality of dies) on a substrate (eg, a germanium wafer). Transfer of the pattern is typically performed via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of sequentially adjacent adjacent target portions. Known lithography apparatus includes a so-called stepper in which each target portion is irradiated by exposing the entire pattern to a target portion at a time; and a so-called scanner in which a given direction ("scanning" direction) Each of the target portions is irradiated by scanning the pattern via the radiation beam while scanning the substrate in parallel or anti-parallel in this direction. It is also possible to transfer the pattern from the patterned device to the substrate by imprinting the pattern onto the substrate.

為了監測微影程序,量測經圖案化基板之一或多個參數。舉例而言,此等參數可包括形成於經圖案化基板中或上之順次層之間的疊對誤差,及/或經顯影感光性抗蝕劑之臨界線寬。可對產品基板及/或 對專用度量衡目標執行此量測。存在用於進行在微影程序中形成之顯微結構之量測的各種技術,包括使用掃描電子顯微鏡及各種其他特殊化工具。快速且非侵人之形式的特殊化檢查工具為散射計,其中將輻射光束導向至基板之表面上之目標上,且量測散射光束或反射光束之一或多個屬性。藉由比較光束在其已由基板反射或散射之前與之後的一或多個屬性,可判定基板之屬性。舉例而言,可藉由比較反射光束與儲存於與一或多個已知基板屬性相關聯之已知量測庫中的資料而進行此判定。兩種主要類型之散射計為吾人所知。光譜散射計將寬頻帶輻射光束導向至基板上且量測散射至特定窄角度範圍中之輻射之光譜(依據波長而變化的強度)。角度解析散射計使用單色輻射光束且量測依據角度而變化的散射輻射之強度。 To monitor the lithography program, one or more parameters of the patterned substrate are measured. For example, such parameters can include overlay errors between sequential layers formed in or on the patterned substrate, and/or critical linewidths of the developed photosensitive resist. Can be on the product substrate and / or Perform this measurement on a dedicated metrology target. There are various techniques for performing measurements of microstructures formed in lithography procedures, including the use of scanning electron microscopes and various other specialized tools. A specialized inspection tool in a fast and non-invasive form is a scatterometer in which a beam of radiation is directed onto a target on the surface of the substrate and one or more properties of the scattered or reflected beam are measured. The properties of the substrate can be determined by comparing one or more properties of the beam before and after it has been reflected or scattered by the substrate. For example, this determination can be made by comparing the reflected beam to data stored in a known measurement library associated with one or more known substrate properties. Two main types of scatterometers are known to us. A spectral scatterometer directs a broadband radiation beam onto a substrate and measures the spectrum of the radiation (intensity that varies according to wavelength) that is scattered into a particular narrow range of angles. The angular resolution scatterometer uses a monochromatic radiation beam and measures the intensity of the scattered radiation as a function of angle.

EUV微影中之焦點量測可基於經由不同焦點設定而進行的基板上之焦點校準標記之變化。美國專利申請公開案第US 2009-0135398號揭示一種可用以讀出該等標記之相位光柵對準感測器。使用彼文件中揭示之方法而讀取之焦點校準標記的大小為600×600平方微米。用以量測EUV微影中之焦點之方法係基於經由焦點之標記品質改變之偵測,且對劑量及程序變化極敏感。 Focus measurement in EUV lithography can be based on changes in focus calibration marks on the substrate via different focus settings. U.S. Patent Application Publication No. US 2009-0135398 discloses a phase grating alignment sensor that can be used to read the indicia. The size of the focus calibration mark read using the method disclosed in the document is 600 x 600 square microns. The method used to measure the focus in EUV lithography is based on the detection of mark quality changes via focus and is extremely sensitive to dose and program changes.

為了使用一散射計以用於焦點讀出,目標應較小(例如,40×40平方微米)以達到諸如目標面積之客戶要求,而度量衡工具之光束寬度內之線空間的數目應多於10個週期。使用散射計進行焦點量測之方法可基於目標(例如,基板上之週期性結構(光柵))之臨界尺寸(CD)及側壁角(SWA)之量測。 In order to use a scatterometer for focus readout, the target should be small (eg, 40 x 40 square microns) to meet customer requirements such as target area, and the number of line spaces within the beamwidth of the metrology tool should be more than 10 Cycles. The method of using the scatterometer for focus measurement can be based on the measurement of the critical dimension (CD) and sidewall angle (SWA) of the target (eg, periodic structure (grating) on the substrate).

然而,出於各種原因,此以繞射為基礎之度量衡方法針對EUV器件製造程序並不如此良好地起作用。詳言之,EUV抗蝕劑膜厚度相比於193奈米浸潤微影之EUV抗蝕劑膜厚度(~100奈米)顯著地較低(~50 奈米及以下),此情形使難以自EUV基板提取準確SWA及/或CD資訊。 However, this diffraction-based metrology method does not work so well for EUV device fabrication procedures for a variety of reasons. In particular, the EUV resist film thickness is significantly lower than the 193 nm infiltrated lithography EUV resist film thickness (~100 nm) (~50) Nano and below), this situation makes it difficult to extract accurate SWA and/or CD information from the EUV substrate.

舉例而言,需要提供一種使能夠在使用EUV系統而曝光之結構上使用以繞射為基礎之度量衡的方法。 For example, it would be desirable to provide a method that enables the use of diffraction-based metrology in structures exposed using an EUV system.

根據一態樣,提供一種獲得與一微影程序相關之焦點資訊之方法,該方法包含:提供至少一個目標,該目標包含交替之第一結構及第二結構,該等第二結構之形式係焦點相依的,使得其形式相依於用以形成該目標之一經圖案化光束之焦點,且該等第一結構之形式不具有與該等第二結構之焦點相依性相同的焦點相依性;照明該目標;及偵測由該目標散射之輻射以針對彼目標獲得表示該目標之一整體不對稱性的一不對稱性量測,其中該不對稱性量測指示在形成該目標時的該經圖案化光束之該焦點。 According to one aspect, a method of obtaining focus information associated with a lithography program is provided, the method comprising: providing at least one target comprising an alternating first structure and a second structure, the second structure being in the form The focus is dependent such that its form depends on the focus of the patterned beam used to form one of the targets, and the forms of the first structures do not have the same focus dependence as the focus of the second structures; And detecting an amount of radiation that is scattered by the target to obtain an asymmetry measure indicative of an overall asymmetry of the target for the target, wherein the asymmetry measure indicates the pattern when the target is formed This focus of the beam.

根據一態樣,提供一種光罩,其包含用於圖案化一光束以形成一目標之一圖案,該目標包含交替之第一結構及第二結構,該光罩包含用於形成該等第一結構之第一結構特徵及用於形成該等第二結構之第二結構特徵,其中該等第二結構特徵經組態成使得該等第二結構之形式係焦點相依的,使得其形式相依於在形成該目標時的該經圖案化光束之焦點,且該等第一結構特徵經組態成使得該等第一結構之形式不具有與該等第二結構之焦點相依性相同的焦點相依性。 According to one aspect, a reticle is provided, comprising: a pattern for patterning a light beam to form a target, the target comprising alternating first and second structures, the reticle comprising for forming the first a first structural feature of the structure and a second structural feature for forming the second structure, wherein the second structural features are configured such that the forms of the second structure are focus dependent such that the form is dependent on a focus of the patterned beam at the time the object is formed, and the first structural features are configured such that the forms of the first structures do not have the same focus dependence as the focus of the second structures .

根據一態樣,提供一種基板,其包含一目標,該目標具有交替之第一結構及第二結構,其中:該第一結構及該第二結構兩者包含一低解析度子結構;且至少該第二結構包含一或多個高解析度子結構,該目標中之高解析度子結構之數目及/或大小已藉由用以形成該目標之一經圖案化光束之焦點予以判定。 According to one aspect, a substrate is provided that includes a target having alternating first and second structures, wherein: both the first structure and the second structure comprise a low resolution substructure; The second structure includes one or more high resolution substructures whose number and/or size of high resolution substructures has been determined by forming a focus of the patterned beam of one of the targets.

2‧‧‧寬頻帶輻射投影儀/輻射源 2‧‧‧Broadband radiation projector/radiation source

4‧‧‧光譜儀偵測器 4‧‧‧Spectrometer detector

10‧‧‧光譜 10‧‧‧Spectrum

11‧‧‧背向投影式光瞳平面 11‧‧‧Backward projection aperture plane

12‧‧‧透鏡系統 12‧‧‧Lens system

13‧‧‧干涉濾光器 13‧‧‧Interference filter

14‧‧‧參考鏡面 14‧‧‧Refer to the mirror

15‧‧‧顯微鏡接物鏡/透鏡系統 15‧‧‧Microscope lens/lens system

16‧‧‧部分反射表面/光束分裂器 16‧‧‧Partial reflective surface/beam splitter

17‧‧‧偏振器 17‧‧‧ polarizer

18‧‧‧偵測器 18‧‧‧Detector

30‧‧‧基板目標 30‧‧‧Substrate target

502‧‧‧步驟 502‧‧‧Steps

503‧‧‧步驟 503‧‧‧Steps

504‧‧‧步驟 504‧‧‧Steps

506‧‧‧步驟 506‧‧‧Steps

508‧‧‧步驟 508‧‧‧Steps

510‧‧‧步驟 510‧‧ steps

512‧‧‧步驟 512‧‧‧Steps

514‧‧‧步驟 514‧‧‧Steps

602‧‧‧步驟 602‧‧ steps

603‧‧‧步驟 603‧‧‧Steps

604‧‧‧步驟 604‧‧‧Steps

606‧‧‧步驟 606‧‧‧Steps

608‧‧‧步驟 608‧‧‧Steps

610‧‧‧步驟 610‧‧‧Steps

612‧‧‧步驟 612‧‧ steps

614‧‧‧步驟 614‧‧‧Steps

616‧‧‧步驟 616‧‧‧Steps

700‧‧‧交織式散射計疊對目標 700‧‧‧Interlaced scatterometer stack target

705‧‧‧第一結構 705‧‧‧ first structure

710‧‧‧第二結構 710‧‧‧Second structure

715‧‧‧以繞射為基礎之焦點(DBF)目標 715‧‧‧Diffraction-based focus (DBF) target

720‧‧‧以繞射為基礎之焦點(DBF)結構 720‧‧‧Diffraction-based focus (DBF) structure

725‧‧‧高解析度子結構/高解析度特徵 725‧‧‧High-resolution substructure/high resolution features

730‧‧‧經修改目標 730‧‧‧ revised target

730'‧‧‧目標 730'‧‧‧ Target

740‧‧‧第一目標 740‧‧‧first goal

750‧‧‧第二目標/第二結構 750‧‧‧second target/second structure

760‧‧‧高解析度子結構 760‧‧‧High-resolution substructure

770‧‧‧低解析度子結構 770‧‧‧Low-resolution substructure

775‧‧‧第一結構 775‧‧‧ first structure

810‧‧‧第一結構 810‧‧‧ first structure

810'‧‧‧第一結構 810'‧‧‧ first structure

850‧‧‧第二結構 850‧‧‧Second structure

850'‧‧‧第二結構 850'‧‧‧Second structure

850"‧‧‧第二結構 850"‧‧‧ second structure

860‧‧‧高解析度子結構 860‧‧‧High-resolution substructure

860'‧‧‧水平子結構 860'‧‧‧ horizontal substructure

860"‧‧‧子結構 860"‧‧‧substructure

870‧‧‧低解析度子結構 870‧‧‧Low-resolution substructure

880‧‧‧高解析度子結構 880‧‧‧High-resolution substructure

890‧‧‧低解析度子結構 890‧‧‧Low-resolution substructure

900‧‧‧目標 900‧‧‧ Target

910‧‧‧目標 910‧‧‧ Target

910'‧‧‧目標 910'‧‧‧ Target

920‧‧‧目標 920‧‧‧ Target

920'‧‧‧目標 920'‧‧‧ Target

930‧‧‧目標 930‧‧‧ Target

930'‧‧‧目標 930'‧‧‧ Target

950a‧‧‧第二結構 950a‧‧‧Second structure

950b‧‧‧第二結構 950b‧‧‧Second structure

950c‧‧‧第二結構 950c‧‧‧Second structure

950d‧‧‧第二結構 950d‧‧‧second structure

960‧‧‧高解析度子結構 960‧‧‧High-resolution substructure

1040‧‧‧第一結構 1040‧‧‧ first structure

1060‧‧‧第一有效結構 1060‧‧‧First effective structure

1060c‧‧‧第二有效結構 1060c‧‧‧second effective structure

1100‧‧‧標繪圖 1100‧‧‧Plotting

1110‧‧‧區 1110‧‧‧ District

1200‧‧‧交織式目標設計/目標 1200‧‧‧Interlaced target design/goal

1210‧‧‧第一結構 1210‧‧‧ first structure

1220‧‧‧第二結構 1220‧‧‧Second structure

1230‧‧‧交織式目標 1230‧‧‧ Interwoven targets

1240‧‧‧第四結構 1240‧‧‧Fourth structure

1250‧‧‧第三結構 1250‧‧‧ third structure

1310‧‧‧曲線 1310‧‧‧ Curve

1320‧‧‧線 Line 1320‧‧

1330‧‧‧曲線/不對稱性信號 1330‧‧‧Curve/Asymmetric Signal

1340‧‧‧曲線 1340‧‧‧ Curve

1350‧‧‧不對稱線內容/不對稱性信號 1350‧‧‧Asymmetric line content/asymmetry signal

1360‧‧‧焦點回應曲線/信號 1360‧‧‧Focus response curve/signal

1400‧‧‧圖案化器件 1400‧‧‧ patterned devices

1400'‧‧‧圖案化器件 1400'‧‧‧ patterned devices

1405‧‧‧主產品區域 1405‧‧‧Main product area

1410‧‧‧切割區域/切割道區域 1410‧‧‧Cutting area/cutting area

1415‧‧‧第二結構 1415‧‧‧Second structure

1415'‧‧‧第二結構 1415'‧‧‧Second structure

1420‧‧‧結構 1420‧‧‧ structure

1425‧‧‧第一結構 1425‧‧‧First structure

1425'‧‧‧第一結構 1425'‧‧‧ first structure

1430‧‧‧結構 1430‧‧‧ Structure

1440‧‧‧重疊區域 1440‧‧‧Overlapping area

1445‧‧‧經印刷結構 1445‧‧‧Printed structure

AD‧‧‧調整器 AD‧‧‧ adjuster

B‧‧‧輻射光束 B‧‧‧radiation beam

BD‧‧‧光束遞送系統 BD‧‧•beam delivery system

BK‧‧‧烘烤板 BK‧‧· baking sheet

C‧‧‧目標部分 C‧‧‧Target section

CH‧‧‧冷卻板 CH‧‧‧Cooling plate

CO‧‧‧聚光器 CO‧‧‧ concentrator

DE‧‧‧顯影器 DE‧‧‧developer

IF‧‧‧位置感測器 IF‧‧‧ position sensor

IL‧‧‧照明系統/照明器 IL‧‧‧Lighting system/illuminator

IN‧‧‧積光器 IN‧‧‧ concentrator

I/O1‧‧‧輸入/輸出埠 I/O1‧‧‧Input/Output埠

I/O2‧‧‧輸入/輸出埠 I/O2‧‧‧Input/Output埠

LA‧‧‧微影裝置 LA‧‧‧ lithography device

LACU‧‧‧微影控制單元 LACU‧‧‧ lithography control unit

LB‧‧‧裝載匣 LB‧‧‧Loader

LC‧‧‧微影製造單元 LC‧‧‧ lithography manufacturing unit

M1‧‧‧圖案化器件對準標記 M 1 ‧‧‧ patterned device alignment mark

M2‧‧‧圖案化器件對準標記 M 2 ‧‧‧ patterned device alignment mark

MA‧‧‧圖案化器件 MA‧‧‧patterned device

MT‧‧‧支撐結構 MT‧‧‧Support structure

P1‧‧‧基板對準標記 P 1 ‧‧‧Substrate alignment mark

P2‧‧‧基板對準標記 P 2 ‧‧‧Substrate alignment mark

PM‧‧‧第一定位器 PM‧‧‧First Positioner

PL‧‧‧投影系統 PL‧‧‧Projection System

PU‧‧‧處理單元 PU‧‧‧Processing unit

PW‧‧‧第二定位器 PW‧‧‧Second positioner

RO‧‧‧基板處置器或機器人 RO‧‧‧Substrate handler or robot

SC‧‧‧旋塗器 SC‧‧‧Spin coater

SCS‧‧‧監督控制系統 SCS‧‧‧Supervisory Control System

SO‧‧‧輻射源 SO‧‧‧radiation source

TCU‧‧‧塗佈顯影系統控制單元 TCU‧‧‧ Coating Development System Control Unit

W‧‧‧基板 W‧‧‧Substrate

WTa‧‧‧基板台 WTa‧‧‧ substrate table

WTb‧‧‧基板台 WTb‧‧‧ substrate table

現在將參看隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部件,且在該等圖式中: 圖1示意性地描繪微影裝置;圖2示意性地描繪微影製造單元(lithographic cell)或叢集(cluster);圖3示意性地描繪第一散射計;圖4示意性地描繪第二散射計;圖5描繪用於自散射計量測來重新建構結構之實例程序;圖6描繪用於自散射計量測來重新建構結構之另一實例程序;圖7a示意性地描繪交錯式疊對目標;圖7b示意性地描繪以繞射為基礎之焦點(diffraction based focus,DBF)量測目標;圖7c示意性地描繪根據本發明之一實施例之目標;圖7d示意性地描繪根據本發明之一另外實施例之目標;圖8示意性地描繪根據本發明之另外實施例之替代目標組態的細節;圖9示意性地描繪已以不同焦點設定而曝光之數個目標;圖10示意性地描繪以(a)最佳焦點而曝光及(b)以散焦度而曝光之兩個目標的細節,及散射計將因此偵測之事項的近似;圖11為y軸上之不對稱性或重心及x軸上之焦點的標繪圖,其用以說明如何獲得焦點正負號資訊;及圖12展示根據本發明之一實施例的用於提取焦點正負號資訊之雙目標配置;圖13a至圖13b展示針對圖12所說明之兩個目標(包括其組件信號)之不對稱性信號振幅(y軸)相對於焦點(x軸)的曲線圖,且圖13c展示圖13a至圖13b之曲線圖之差的判定;及圖14a及圖14b說明在無需第二圖案化器件或第二圖案化器件圖案的情況下在兩次分離曝光中產生第一結構集合及第二結構集合之方 法。 Embodiments of the present invention will now be described by way of example only with reference to the accompanying drawings, in which Figure 1 schematically depicts a lithography apparatus; Figure 2 schematically depicts a lithographic cell or cluster; Figure 3 schematically depicts a first scatterometer; Figure 4 schematically depicts a second scatter Figure 5 depicts an example program for re-constructing a structure for self-scattering metrology; Figure 6 depicts another example procedure for re-constructing a structure for self-scattering metrology; Figure 7a schematically depicts an interleaved stack Figure 7b schematically depicts a diffraction based focus (DBF) measurement target; Figure 7c schematically depicts an object in accordance with an embodiment of the present invention; Figure 7d schematically depicts this The object of an additional embodiment of the invention; FIG. 8 schematically depicts details of an alternative target configuration in accordance with further embodiments of the present invention; FIG. 9 schematically depicts several targets that have been exposed with different focus settings; FIG. Schematically depicting the details of the two targets exposed with (a) the best focus and (b) the exposure with defocus, and the approximation of the rayometer to detect the matter; Figure 11 is the y-axis Symmetry or center of gravity and focus on the x-axis a plot to illustrate how to obtain focus sign information; and FIG. 12 shows a dual target configuration for extracting focus sign information in accordance with an embodiment of the present invention; FIGS. 13a-13b show the same for FIG. Asymmetry signal amplitude (y-axis) of two targets (including its component signals) versus the focus (x-axis), and Figure 13c shows the determination of the difference between the graphs of Figures 13a to 13b; and Figure 14a And Figure 14b illustrates the generation of the first set of structures and the second set of structures in two separate exposures without the need for a second patterned device or a second patterned device pattern. law.

圖1示意性地描繪微影裝置。該裝置包含:照明系統(照明器)IL,其經組態以調節輻射光束B(例如,UV輻射或DUV輻射);支撐結構(例如,光罩台)MT,其經建構以支撐圖案化器件(例如,光罩)MA,且連接至經組態以根據某些參數來準確地定位該圖案化器件之第一定位器PM;基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以根據某些參數來準確地定位該基板之第二定位器PW;及投影系統(例如,折射投影透鏡系統)PL,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。 Figure 1 schematically depicts a lithography apparatus. The apparatus includes an illumination system (illuminator) IL configured to condition a radiation beam B (eg, UV radiation or DUV radiation), and a support structure (eg, a reticle stage) MT configured to support the patterned device (eg, reticle) MA, and connected to a first locator PM configured to accurately position the patterned device according to certain parameters; a substrate table (eg, wafer table) WT that is constructed to hold a substrate (eg, a resist coated wafer) W and coupled to a second locator PW configured to accurately position the substrate according to certain parameters; and a projection system (eg, a refractive projection lens system) PL It is configured to project a pattern imparted by the patterned device MA to the radiation beam B onto a target portion C of the substrate W (eg, comprising one or more dies).

照明系統可包括用於導向、塑形或控制輻射的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。 The illumination system can include various types of optical components for guiding, shaping, or controlling radiation, such as refractive, reflective, magnetic, electromagnetic, electrostatic, or other types of optical components, or any combination thereof.

支撐結構以相依於圖案化器件之定向、微影裝置之設計及其他條件(諸如,圖案化器件是否被固持於真空環境中)的方式來固持圖案化器件。支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化器件。支撐結構可為(例如)框架或台,其可根據需要而固定或可移動。支撐結構可確保圖案化器件(例如)相對於投影系統處於所要位置。可認為本文中對術語「比例光罩」或「光罩」之任何使用皆與更一般之術語「圖案化器件」同義。 The support structure holds the patterned device in a manner that depends on the orientation of the patterned device, the design of the lithography device, and other conditions, such as whether the patterned device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterned device. The support structure can be, for example, a frame or table that can be fixed or movable as desired. The support structure ensures that the patterned device is, for example, in a desired position relative to the projection system. Any use of the terms "proportional mask" or "reticle" herein is considered synonymous with the more general term "patterned device."

本文所使用之術語「圖案化器件」應被廣泛地解譯為係指可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分 中產生圖案的任何器件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中產生之器件(諸如,積體電路)中之特定功能層。 The term "patterned device" as used herein shall be interpreted broadly to mean that a pattern can be imparted to a radiation beam in a cross section of a radiation beam for the target portion of the substrate. Any device that produces a pattern. It should be noted that, for example, if the pattern imparted to the radiation beam includes a phase shifting feature or a so-called auxiliary feature, the pattern may not exactly correspond to the desired pattern in the target portion of the substrate. Typically, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device (such as an integrated circuit) produced in the target portion.

圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合式光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便在不同方向上反射入射輻射光束。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。 The patterned device can be transmissive or reflective. Examples of patterned devices include photomasks, programmable mirror arrays, and programmable LCD panels. Photomasks are well known in lithography and include reticle types such as binary, alternating phase shift and attenuated phase shift, as well as various hybrid reticle types. One example of a programmable mirror array uses a matrix configuration of small mirrors, each of which can be individually tilted to reflect the incident radiation beam in different directions. The tilted mirror imparts a pattern in the radiation beam reflected by the mirror matrix.

本文所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」同義。 The term "projection system" as used herein shall be interpreted broadly to encompass any type of projection system suitable for the exposure radiation used or other factors such as the use of a immersion liquid or the use of a vacuum, including refraction, reflection. , catadioptric, magnetic, electromagnetic, and electrostatic optical systems, or any combination thereof. Any use of the term "projection lens" herein is considered synonymous with the more general term "projection system."

如此處所描繪,裝置屬於透射類型(例如,使用透射光罩)。替代地,裝置可屬於反射類型(例如,使用上文所提及之類型之可程式化鏡面陣列,或使用反射光罩)。 As depicted herein, the device is of the transmissive type (eg, using a transmissive reticle). Alternatively, the device may be of a reflective type (eg, using a programmable mirror array of the type mentioned above, or using a reflective mask).

微影裝置可屬於具有兩個(雙載物台)或兩個以上台(例如,兩個或兩個以上基板台及/或兩個或兩個以上圖案化器件台,及/或一基板台及不固持基板之台)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。 The lithography apparatus may have two (dual stage) or more than two stages (for example, two or more substrate stages and/or two or more patterned device stages, and/or a substrate stage) And the type of the substrate that does not hold the substrate. In such "multi-stage" machines, additional stations may be used in parallel, or preliminary steps may be performed on one or more stations while one or more other stations are used for exposure.

微影裝置亦可屬於如下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如,水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影裝置中之其他空間,例如,光罩 與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增加投影系統之數值孔徑。本文所使用之術語「浸潤」不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。 The lithography apparatus can also be of the type wherein at least a portion of the substrate can be covered by a liquid (eg, water) having a relatively high refractive index to fill the space between the projection system and the substrate. The infiltrating liquid can also be applied to other spaces in the lithography apparatus, for example, a reticle The space between the projection system and the projection system. Infiltration techniques are well known in the art for increasing the numerical aperture of a projection system. The term "wetting" as used herein does not mean that a structure such as a substrate must be immersed in a liquid, but rather only means that the liquid is located between the projection system and the substrate during exposure.

參看圖1,照明器IL自輻射源SO接收輻射光束。舉例而言,當輻射源為準分子雷射時,輻射源及微影裝置可為分離實體。在此等狀況下,不認為輻射源形成微影裝置之部件,且輻射光束係憑藉包含(例如)合適導向鏡面及/或光束擴展器之光束遞送系統BD而自輻射源SO傳遞至照明器IL。在其他狀況下,舉例而言,當輻射源為水銀燈時,輻射源可為微影裝置之整體部件。輻射源SO及照明器IL連同光束遞送系統BD(在需要時)可被稱作輻射系統。 Referring to Figure 1, illuminator IL receives a radiation beam from radiation source SO. For example, when the radiation source is a quasi-molecular laser, the radiation source and the lithography device can be separate entities. Under such conditions, the radiation source is not considered to form part of the lithography apparatus, and the radiation beam is transmitted from the radiation source SO to the illuminator IL by means of a beam delivery system BD comprising, for example, a suitable guiding mirror and/or beam expander. . In other cases, for example, when the source of radiation is a mercury lamp, the source of radiation may be an integral part of the lithography apparatus. The radiation source SO and illuminator IL together with the beam delivery system BD (when needed) may be referred to as a radiation system.

照明器IL可包含經組態以調整輻射光束之角強度分佈之調整器AD。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如,積光器IN及聚光器CO。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。 The illuminator IL can include an adjuster AD configured to adjust the angular intensity distribution of the radiation beam. In general, at least the outer radial extent and/or the inner radial extent (commonly referred to as σ outer and σ inner, respectively) of the intensity distribution in the pupil plane of the illuminator can be adjusted. Additionally, the illuminator IL can include various other components such as the concentrator IN and the concentrator CO. The illuminator can be used to adjust the radiation beam to have a desired uniformity and intensity distribution in its cross section.

輻射光束B入射於被固持於支撐結構(例如,光罩台)MT上之圖案化器件(例如,光罩)MA上,且係藉由該圖案化器件而圖案化。在已橫穿圖案化器件MA的情況下,輻射光束B傳遞通過投影系統PL,投影系統PL將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF(例如,干涉量測器件、線性編碼器、2D編碼器或電容性感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器(其未在圖1中被明確地描繪)可用以(例如)在自光罩庫之機械擷取之後或在掃描期間相對於輻射光束B之路徑來準確地定位圖案化器件MA。一般而言,可憑藉形成第一定位器PM之部件之長衝程模組 (粗略定位)及短衝程模組(精細定位)來實現支撐結構MT之移動。相似地,可使用形成第二定位器PW之部件之長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)之狀況下,支撐結構MT可僅連接至短衝程致動器,或可固定。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。儘管所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在一個以上晶粒提供於圖案化器件MA上之情形中,圖案化器件對準標記可位於該等晶粒之間。 The radiation beam B is incident on a patterned device (e.g., reticle) MA that is held on a support structure (e.g., a reticle stage) MT, and is patterned by the patterned device. In the case where the patterned device MA has been traversed, the radiation beam B is transmitted through the projection system PL, which projects the beam onto the target portion C of the substrate W. With the second positioner PW and the position sensor IF (for example, an interference measuring device, a linear encoder, a 2D encoder or a capacitive sensor), the substrate table WT can be accurately moved, for example, to make different target portions C Positioned in the path of the radiation beam B. Similarly, the first locator PM and another position sensor (which is not explicitly depicted in FIG. 1) can be used, for example, after mechanical scooping from the reticle library or during scanning relative to the radiation beam The path of B to accurately position the patterned device MA. In general, a long stroke module that can form a component of the first positioner PM (rough positioning) and short stroke module (fine positioning) to achieve the movement of the support structure MT. Similarly, the movement of the substrate table WT can be achieved using a long stroke module and a short stroke module that form the components of the second positioner PW. In the case of a stepper (relative to the scanner), the support structure MT can be connected only to the short-stroke actuator or can be fixed. The patterned device MA and the substrate W can be aligned using the patterned device alignment marks M1, M2 and the substrate alignment marks P1, P2. Although the illustrated substrate alignment marks occupy dedicated target portions, the marks may be located in the space between the target portions (the marks are referred to as scribe line alignment marks). Similarly, where more than one die is provided on the patterned device MA, the patterned device alignment mark can be located between the dies.

所描繪裝置可用於以下模式中之至少一者中: The depicted device can be used in at least one of the following modes:

1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中成像之目標部分C之大小。 1. In the step mode, the support structure MT and the substrate table WT are kept substantially stationary (i.e., a single static exposure) while the entire pattern to be imparted to the radiation beam is projected onto the target portion C at a time. Next, the substrate stage WT is displaced in the X and/or Y direction so that different target portions C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C of the image in a single static exposure.

2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PL之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之長度(在掃描方向上)。 2. In the scan mode, when the pattern to be given to the radiation beam is projected onto the target portion C, the support structure MT and the substrate stage WT (i.e., single dynamic exposure) are synchronously scanned. The speed and direction of the substrate stage WT relative to the support structure MT can be determined by the magnification (reduction ratio) and image inversion characteristics of the projection system PL. In the scan mode, the maximum size of the exposure field limits the width of the target portion in a single dynamic exposure (in the non-scanning direction), and the length of the scanning motion determines the length of the target portion (in the scanning direction).

3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於 利用可程式化圖案化器件(諸如,上文所提及之類型之可程式化鏡陣列)之無光罩微影。 3. In another mode, the support structure MT is held substantially stationary while the pattern to be imparted to the radiation beam is projected onto the target portion C, thereby holding the programmable patterning device and moving or scanning the substrate table WT . In this mode, a pulsed radiation source is typically used, and the programmable patterning device is updated as needed between each movement of the substrate table WT or between successive pulses of radiation during a scan. This mode of operation can be easily applied A reticle lithography that utilizes a programmable patterning device, such as a programmable mirror array of the type mentioned above.

亦可使用對上文所描述之使用模式之組合及/或變化或完全不同之使用模式。 Combinations of the modes of use described above and/or variations or completely different modes of use may also be used.

如圖2所展示,微影裝置LA形成微影製造單元LC(有時亦被稱作叢集)之部件,微影製造單元LC亦包括用以對基板執行曝光前程序及曝光後程序之裝置。通常,此等裝置包括用以沈積抗蝕劑層之一或多個旋塗器SC、用以顯影經曝光抗蝕劑之一或多個顯影器DE、一或多個冷卻板CH及/或一或多個烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板、在不同程序裝置之間移動基板,且將基板遞送至微影裝置之裝載匣LB。常常被集體地稱作塗佈顯影系統(track)之此等器件係在塗佈顯影系統控制單元TCU之控制下,塗佈顯影系統控制單元TCU自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。 As shown in FIG. 2, the lithography apparatus LA forms a component of a lithography fabrication unit LC (sometimes referred to as a cluster), and the lithography fabrication unit LC also includes means for performing a pre-exposure procedure and a post-exposure procedure on the substrate. Typically, such devices include one or more spin coaters SC for depositing a resist layer, one or more developers DE to develop an exposed resist, one or more cooling plates CH, and/or One or more baking sheets BK. The substrate handler or robot RO picks up the substrate from the input/output ports I/O1, I/O2, moves the substrate between different program devices, and delivers the substrate to the load port LB of the lithography device. These devices, often collectively referred to as coating development systems, are under the control of the coating development system control unit TCU, and the coating development system control unit TCU itself is controlled by the supervisory control system SCS, and the supervisory control system SCS is also The lithography device is controlled via a lithography control unit LACU. Thus, different devices can be operated to maximize yield and processing efficiency.

為了正確地且一致地曝光由微影裝置曝光之基板,需要檢查經曝光基板以量測諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等等之屬性。若偵測到誤差,則可對後續基板之曝光進行調整,尤其是在檢查可足夠迅速地且快速地進行而使得同一批量之一或多個其他基板仍待曝光的情況下。又,可剝離及重工已經曝光之基板--以改良良率--或捨棄已經曝光之基板,藉此避免對已知有缺陷之基板執行曝光。在基板之僅一些目標部分有缺陷之狀況下,可僅對良好的彼等目標部分執行另外曝光。 In order to properly and consistently expose the substrate exposed by the lithography apparatus, it is necessary to inspect the exposed substrate to measure properties such as overlay error, line thickness, critical dimension (CD), and the like between subsequent layers. If an error is detected, the exposure of the subsequent substrate can be adjusted, especially if the inspection can be performed quickly enough and quickly such that one or more of the other substrates of the same batch are still to be exposed. Also, the exposed substrate can be stripped and reworked - to improve yield - or the exposed substrate can be discarded, thereby avoiding exposure to known defective substrates. In the event that only some of the target portions of the substrate are defective, additional exposure may be performed only for good target portions.

使用檢查裝置以判定基板之屬性,且尤其判定不同基板或同一基板之不同層之一或多個屬性如何在層與層之間變化。檢查裝置可整合至微影裝置LA或微影製造單元LC中,或可為單機器件。為了實現 最快速之量測,需要使檢查裝置緊接地在曝光之後量測經曝光抗蝕劑層中之屬性。然而,抗蝕劑中之潛影具有極低對比度--在已曝光至輻射的抗蝕劑之部分與尚未曝光至輻射的抗蝕劑之部分之間僅存在極小折射率差--且並非所有檢查裝置皆具有足夠敏感度來進行潛影之有用量測。因此,可在曝光後烘烤步驟(PEB)之後採取量測,曝光後烘烤步驟(PEB)通常為對經曝光基板進行之第一步驟且增加抗蝕劑之經曝光部分與未經曝光部分之間的對比度。在此階段,抗蝕劑中之影像可被稱作半潛像(semi-latent)。亦有可能進行經顯影抗蝕劑影像之量測--此時,抗蝕劑之經曝光部分或未經曝光部分已被移除--或在諸如蝕刻之圖案轉印步驟之後進行經顯影抗蝕劑影像之量測。後者可能性限制重工有缺陷基板之可能性,但仍可提供有用資訊。 An inspection device is used to determine the properties of the substrate, and in particular to determine how one or more of the different layers of the different substrates or the same substrate vary between layers. The inspection device can be integrated into the lithography device LA or the lithography manufacturing unit LC, or can be a stand-alone device. In order to achieve For the fastest measurement, it is necessary to have the inspection device immediately after exposure to measure the properties in the exposed resist layer. However, the latent image in the resist has a very low contrast - there is only a very small difference in refractive index between the portion of the resist that has been exposed to radiation and the portion of the resist that has not been exposed to radiation - and not all The inspection device is sufficiently sensitive to measure the amount of latent image. Therefore, the measurement can be taken after the post-exposure bake step (PEB), which is usually the first step of the exposed substrate and increases the exposed portion and the unexposed portion of the resist. The contrast between. At this stage, the image in the resist can be referred to as a semi-latent. It is also possible to perform a measurement of the developed resist image - at this point, the exposed or unexposed portion of the resist has been removed - or after development of a pattern transfer step such as etching Measurement of the etchant image. The latter possibility limits the possibility of reworked defective substrates, but still provides useful information.

圖3描繪可用於本發明之一實施例中之散射計。該散射計包含將輻射投影至基板W上之寬頻帶(白光)輻射投影儀2。反射輻射傳遞至光譜儀偵測器4,光譜儀偵測器4量測鏡面反射輻射之光譜10(依據波長而變化的強度)。自此資料,可由處理單元PU重新建構引起經偵測光譜之結構或剖面,例如,藉由嚴密耦合波分析及非線性回歸,或藉由與圖3之底部處所展示之經模擬光譜庫的比較。一般而言,對於重新建構,結構之一般形式為吾人所知,且自供製造結構之程序之知識來假定一些參數,從而僅留下結構之少許參數以自散射量測資料予以判定。此散射計可經組態為正入射散射計或斜入射散射計。 Figure 3 depicts a scatterometer that can be used in one embodiment of the invention. The scatterometer includes a broadband (white light) radiation projector 2 that projects radiation onto a substrate W. The reflected radiation is transmitted to the spectrometer detector 4, which measures the spectrum 10 of the specularly reflected radiation (intensity that varies depending on the wavelength). From this data, the processing unit PU can reconstruct the structure or profile that causes the detected spectrum, for example, by tightly coupled wave analysis and nonlinear regression, or by comparison with the simulated spectral library shown at the bottom of Figure 3. . In general, for reconstruction, the general form of the structure is known to us, and the knowledge of the procedure for manufacturing the structure is assumed to assume some parameters, leaving only a few parameters of the structure to be determined from the self-scattering measurement data. This scatterometer can be configured as a normal incidence scatterometer or an oblique incidence scatterometer.

圖4中展示可使用之另一散射計。在此器件中,由輻射源2發射之輻射係使用透鏡系統12而準直且透射通過干涉濾光器13及偏振器17、由部分反射表面16反射且經由顯微鏡接物鏡15而聚焦至基板W上,顯微鏡接物鏡15具有高數值孔徑(NA),理想地為至少0.9或至少0.95。浸潤散射計可甚至具有數值孔徑大於1之透鏡。反射輻射接著通過部分反射表面16而透射至偵測器18中,以便使散射光譜被偵測。 偵測器可位於背向投影式光瞳平面11中,背向投影式光瞳平面11處於透鏡系統15之焦距,然而,該光瞳平面可代替地運用輔助光學件(圖中未繪示)而再成像至該偵測器上。光瞳平面為輻射之徑向位置界定入射角且角度位置界定輻射之方位角的平面。偵測器理想地為二維偵測器,使得可量測基板目標30之二維角度散射光譜。偵測器18可為(例如)CCD或CMOS感測器陣列,且可使用為(例如)每圖框40毫秒之積分時間。 Another scatterometer that can be used is shown in FIG. In this device, the radiation emitted by the radiation source 2 is collimated using the lens system 12 and transmitted through the interference filter 13 and the polarizer 17, reflected by the partially reflective surface 16 and focused to the substrate W via the microscope objective lens 15. Above, the microscope objective 15 has a high numerical aperture (NA), desirably at least 0.9 or at least 0.95. The infiltrant scatterometer can even have a lens with a numerical aperture greater than one. The reflected radiation is then transmitted through the partially reflective surface 16 to the detector 18 to cause the scattered spectrum to be detected. The detector may be located in the back projection aperture plane 11 and the back projection aperture plane 11 is at the focal length of the lens system 15, however, the pupil plane may instead use auxiliary optics (not shown) And then imaged to the detector. The pupil plane defines the plane of incidence for the radial position of the radiation and the plane of the angle defines the azimuth of the radiation. The detector is desirably a two-dimensional detector such that the two-dimensional angular scattering spectrum of the substrate target 30 can be measured. The detector 18 can be, for example, a CCD or CMOS sensor array, and can use, for example, an integration time of 40 milliseconds per frame.

參考光束常常用以(例如)量測入射輻射之強度。為了進行此量測,當輻射光束入射於光束分裂器16上時,輻射光束之部分朝向參考鏡面14作為參考光束而透射通過該光束分裂器。參考光束接著投影至同一偵測器18之不同部分上或替代地投影至不同偵測器(圖中未繪示)上。 The reference beam is often used, for example, to measure the intensity of incident radiation. To perform this measurement, when the radiation beam is incident on the beam splitter 16, a portion of the radiation beam is transmitted through the beam splitter as a reference beam toward the reference mirror 14. The reference beam is then projected onto different portions of the same detector 18 or alternatively onto different detectors (not shown).

干涉濾光器13之集合可用以選擇在為(比如)405奈米至790奈米或甚至更低(諸如,200奈米至300奈米)之範圍內之所關注波長。該干涉濾光器可為可調諧的,而非包含不同濾光器之集合。可使用光柵來代替干涉濾光器。 The set of interference filters 13 can be used to select a wavelength of interest in the range of, for example, 405 nm to 790 nm or even lower (such as 200 nm to 300 nm). The interference filter can be tunable rather than containing a collection of different filters. A grating can be used instead of the interference filter.

偵測器18可量測在單一波長(或窄波長範圍)下之散射輻射之強度、分離地在多個波長下之散射輻射之強度,或遍及一波長範圍而整合之散射輻射之強度。此外,偵測器可分離地量測橫向磁偏振輻射及橫向電偏振輻射之強度,及/或橫向磁偏振輻射與橫向電偏振輻射之間的相位差。 Detector 18 measures the intensity of the scattered radiation at a single wavelength (or narrow wavelength range), the intensity of the scattered radiation at multiple wavelengths, or the intensity of the scattered radiation integrated over a range of wavelengths. In addition, the detector can separately measure the intensity of the transverse magnetic polarization radiation and the lateral electrical polarization radiation, and/or the phase difference between the transverse magnetic polarization radiation and the lateral electrical polarization radiation.

使用寬頻帶輻射源(亦即,具有寬輻射頻率或波長範圍且因此具有寬顏色範圍之輻射源)係可能的,其給出大光展量(etendue),從而允許多個波長之混合。寬頻帶中之複數個波長理想地各自具有為△λ之頻寬及為至少2△λ(亦即,為該頻寬之兩倍)之間隔。若干輻射「源」可為已使用光纖束而分裂的延伸型輻射源之不同部分。以此方式,可 並行地在多個波長下量測角度解析散射光譜。可量測3-D光譜(波長及兩個不同角度),其相比於2-D光譜含有更多資訊。此情形允許量測更多資訊,此增加度量衡程序穩固性。以引用方式併入本文中之歐洲專利申請公開案第EP1628164號中更詳細地描述此情形。 It is possible to use a broadband radiation source (i.e., a radiation source having a wide radiation frequency or range of wavelengths and thus a wide range of colors), which gives a large etendue, allowing mixing of multiple wavelengths. The plurality of wavelengths in the wide band desirably each have a bandwidth of Δλ and an interval of at least 2 Δλ (that is, twice the bandwidth). A number of "sources" of radiation may be different portions of an extended source of radiation that have been split using fiber bundles. In this way, The angular resolution scattering spectra are measured in parallel at multiple wavelengths. The 3-D spectrum (wavelength and two different angles) can be measured, which contains more information than the 2-D spectrum. This situation allows for more information to be measured, which increases the stability of the metrology program. This situation is described in more detail in European Patent Application Publication No. EP1628164, which is incorporated herein by reference.

基板W上之目標30可為1-D光柵,其經印刷成使得在顯影之後,桿體(bar)係由固體抗蝕劑線形成。目標30可為2-D光柵,其經印刷成使得在顯影之後,光柵係由抗蝕劑中之固體抗蝕劑導柱或通孔形成。桿體、導柱或通孔可替代地經蝕刻至基板中。此圖案對微影投影裝置(特別是投影系統PL)中之色像差敏感,且照明對稱性及此像差之存在將使其自身表現為經印刷光柵之變化。因此,經印刷光柵之散射量測資料係用以重新建構光柵。1-D光柵之參數(諸如,線寬及形狀)或2-D光柵之參數(諸如,導柱或通孔寬度或長度或形狀)可經輸入至由處理單元PU自印刷步驟及/或其他散射量測程序之知識而執行之重新建構程序。 The target 30 on the substrate W can be a 1-D grating that is printed such that after development, the bars are formed from solid resist lines. Target 30 can be a 2-D grating that is printed such that after development, the grating is formed from solid resist pillars or vias in the resist. The rod, pillar or via may alternatively be etched into the substrate. This pattern is sensitive to chromatic aberrations in the lithographic projection apparatus, particularly the projection system PL, and the illumination symmetry and the presence of this aberration will manifest itself as a change in the printed raster. Therefore, the scattering measurement data of the printed grating is used to reconstruct the grating. Parameters of the 1-D grating (such as line width and shape) or parameters of the 2-D grating (such as pillar or via width or length or shape) may be input to the self-printing step by the processing unit PU and/or other Reconstruction procedures performed by knowledge of the scatterometry program.

如上文所描述,目標係在基板之表面上。此目標將常常採取光柵中之一系列線之形狀或2-D陣列中之實質上矩形結構之形狀。度量衡中之嚴密光學繞射理論之目的為有效地演算自目標反射之繞射光譜。換言之,獲得用於臨界尺寸(critical dimension,CD)均一性及疊對度量衡之目標形狀資訊。疊對度量衡為供量測兩個目標之疊對以便判定基板上之兩個層是否對準的量測。CD均一性簡單地為用以判定微影裝置之曝光系統如何運行的光譜上之光柵之均一性之量測。具體言之,CD或臨界尺寸為「書寫」於基板上之物件之寬度,且為微影裝置實體地能夠在基板上書寫之極限。 As described above, the target is on the surface of the substrate. This goal will often take the shape of one of the series of lines in the grating or the shape of a substantially rectangular structure in the 2-D array. The purpose of the rigorous optical diffraction theory in metrology is to efficiently calculate the diffraction spectrum from the target reflection. In other words, the target shape information for critical dimension (CD) uniformity and stacking weights and measures is obtained. The stack-to-weight measure is a measure that measures the stack of two targets to determine if the two layers on the substrate are aligned. CD uniformity is simply a measure of the uniformity of the grating on the spectrum used to determine how the exposure system of the lithography apparatus operates. In particular, the CD or critical dimension is the width of the object "written" on the substrate and is the limit at which the lithographic apparatus can be physically written on the substrate.

在結合諸如目標30之目標結構之模型及其繞射屬性而使用上文所描述之散射計中之一者的情況下,可以數種方式執行該結構之形狀及其他參數之量測。在由圖5表示的第一類型之程序中,演算基於目 標形狀(第一候選結構)之第一估計之繞射圖案,且比較該繞射圖案與所觀測繞射圖案。接著系統地變化模型之參數且以一系列反覆重新演算繞射,以產生新候選結構且因此達到最佳擬合。在由圖6表示的第二類型之程序中,預先演算用於許多不同候選結構之繞射光譜以產生繞射光譜「庫」。接著,比較自量測目標觀測之繞射圖案與所演算光譜庫以找到最佳擬合。兩種方法可一起使用:可自庫獲得粗略擬合,接著進行反覆程序以找到最佳擬合。 In the case where one of the scatterometers described above is used in conjunction with a model of the target structure such as target 30 and its diffraction properties, the shape of the structure and the measurement of other parameters can be performed in a number of ways. In the first type of program represented by Figure 5, the calculation is based on the purpose A first estimated diffraction pattern of the target shape (first candidate structure) and comparing the diffraction pattern to the observed diffraction pattern. The parameters of the model are then systematically varied and the diffraction is recalculated in a series of iterations to generate new candidate structures and thus achieve a best fit. In the second type of program represented by Figure 6, the diffraction spectra for a number of different candidate structures are pre-calculated to produce a diffraction spectrum "library." Next, compare the diffraction pattern of the self-measured target observation with the calculated spectral library to find the best fit. Two methods can be used together: a rough fit can be obtained from the library, followed by a repeat procedure to find the best fit.

更詳細地參看圖5,將概括地描述進行目標形狀及/或材料屬性之量測之方式。對於此描述,將假定目標在僅一個方向上係週期性的(1-D結構)。實務上,其可在2個或3個方向上係週期性的(2維或3維結構),且將相應地調適處理。 Referring in more detail to Figure 5, the manner in which the target shape and/or material properties are measured will be generally described. For this description, it will be assumed that the target is periodic (1-D structure) in only one direction. In practice, it can be periodic (2- or 3-dimensional structure) in 2 or 3 directions and will be adapted accordingly.

在502處,使用散射計(諸如,上文所描述之彼等散射計)來量測基板上之實際目標之繞射圖案。將此測定繞射圖案轉遞至諸如電腦之演算系統。演算系統可為上文所提及之處理單元PU,或其可為分離裝置。 At 502, scatterometers, such as the scatterometers described above, are used to measure the diffraction pattern of the actual target on the substrate. This measurement diffraction pattern is forwarded to a computer such as a computer calculation system. The algorithm may be the processing unit PU mentioned above, or it may be a separate device.

在503處,建立「模型配方」,其依據數個參數pi(p1、p2、p3等等)而定義目標結構之經參數化模型。在1-D週期性結構中,此等參數可表示(例如)側壁之角度、特徵之高度或深度,及/或特徵之寬度。目標材料及一或多個底層之一或多個屬性亦係由諸如折射率(在存在於散射量測輻射光束中之特定波長下)之參數表示。下文將給出特定實例。顯著地,雖然目標結構可由描述其形狀及材料屬性之許多參數定義,但出於以下程序步驟之目的,模型配方將此等參數中之許多參數定義為具有固定值,而其他參數將為可變或「浮動」參數。下文中描述供在固定參數與浮動參數之間進行選擇之程序。此外,將引入可准許參數變化而不為完全非相依浮動參數之方式。出於描述圖5之目的,僅可變參數被認為是參數pi。 At 503, a "model recipe" is established that defines a parametric model of the target structure based on a number of parameters p i (p 1 , p 2 , p 3 , etc.). In a 1-D periodic structure, such parameters may represent, for example, the angle of the sidewall, the height or depth of the feature, and/or the width of the feature. The target material and one or more of the underlying properties are also represented by parameters such as refractive index (at a particular wavelength present in the scatter radiation beam). Specific examples will be given below. Significantly, although the target structure can be defined by many parameters describing its shape and material properties, for the purposes of the following program steps, the model recipe defines many of these parameters as having a fixed value, while other parameters will be variable. Or "floating" parameters. The procedure for selecting between fixed and floating parameters is described below. In addition, a way to permit parameter changes rather than completely non-dependent floating parameters will be introduced. For the purposes of describing Figure 5, only the variable parameters are considered to be the parameter pi.

在504處,藉由設定用於浮動參數之初始值pi (0)(亦即,P1 (0)、P2 (0)、P3 (0)等等)來估計模型目標形狀。將在如配方中所定義之某一預定範圍內產生每一浮動參數。 At 504, the model target shape is estimated by setting an initial value p i (0) for the floating parameter (ie, P 1 (0) , P 2 (0) , P 3 (0), etc.). Each floating parameter will be generated within a predetermined range as defined in the recipe.

在506處,在(例如)使用諸如RCWA之嚴密光學繞射方法或馬克士威(Maxwell)方程式之任何其他求解程序的情況下,使用表示經估計形狀之參數連同模型之不同元素之一或多個光學屬性以演算一或多個散射屬性。此演算給出經估計目標形狀之經估計或模型繞射圖案。 At 506, one or more different parameters representing the estimated shape, along with different elements of the model, are used, for example, using a rigorous optical diffraction method such as RCWA or any other solver of Maxwell's equations. Optical properties to calculate one or more scattering properties. This calculation gives an estimated or model diffraction pattern of the estimated target shape.

在508、510處,接著比較測定繞射圖案與模型繞射圖案,且使用測定繞射圖案與模型繞射圖案之相似度及/或差以演算用於模型目標形狀之「優質化函數」。 At 508, 510, the diffraction pattern and the model diffraction pattern are then compared and the similarity and/or difference between the measurement diffraction pattern and the model diffraction pattern is used to calculate a "quality function" for the model target shape.

在512處,在假定優質化函數指示模型需要在其準確地表示實際目標形狀之前得以改良的情況下,估計一或多個新參數P1 (1)、P2 (1)、P3 (1)等等且將該一或多個新參數反覆地回饋至步驟506中。重複步驟506至512。 At 512, one or more new parameters P 1 (1) , P 2 (1) , P 3 (1 ) are estimated assuming that the quality function indicates that the model needs to be improved before it accurately represents the actual target shape. And so on and the one or more new parameters are repeatedly fed back into step 506. Steps 506 through 512 are repeated.

為了輔助搜尋,步驟506中之演算可在參數空間中之此特定區中進一步產生優質化函數之偏導數,其指示增加或減低參數將會增加或減低優質化函數之敏感度。優質化函數之演算及導數之使用在此項技術中通常為吾人所知,且此處將不予以詳細地描述。 To aid in the search, the calculus in step 506 may further generate a partial derivative of the quality function in this particular region of the parameter space, which indicates that increasing or decreasing the parameter will increase or decrease the sensitivity of the quality function. The calculation of the quality function and the use of the derivative are generally known in the art and will not be described in detail herein.

在514處,當優質化函數指示此反覆程序已以所要準確度收斂於一解時,將當前所估計之一或多個參數報告為實際目標結構之量測。 At 514, when the quality function indicates that the repeated procedure has converge to a solution with the desired accuracy, one or more of the currently estimated parameters are reported as measurements of the actual target structure.

此反覆程序之計算時間係主要地由所使用之前向繞射模型判定,亦即,使用嚴密光學繞射理論自經估計目標結構來演算經估計模型繞射圖案。若需要更多參數,則存在更多自由度。演算時間原則上隨著自由度之數目之冪而增加。可以各種形式來表達506處所演算之經估計或模型繞射圖案。若以與步驟502中所產生之測定圖案相同的形式表達所演算圖案,則會簡化比較。舉例而言,可容易地比較經模 型化光譜與由圖3之裝置量測之光譜;可容易地比較經模型化光瞳圖案與由圖4之裝置量測之光瞳圖案。 The computation time of this iterative procedure is primarily determined by the previous diffraction model used, that is, the estimated model diffraction pattern is calculated from the estimated target structure using the rigorous optical diffraction theory. If more parameters are needed, there is more freedom. The calculus time increases in principle with the power of the number of degrees of freedom. The estimated or model diffraction pattern calculated at 506 can be expressed in various forms. If the calculated pattern is expressed in the same form as the measurement pattern generated in step 502, the comparison is simplified. For example, the model can be easily compared The characterized spectrum is compared to the spectrum measured by the apparatus of Figure 3; the patterned pupil pattern and the pupil pattern measured by the apparatus of Figure 4 can be easily compared.

貫穿自圖5向前之此描述,在假定使用圖4之散射計的情況下將使用術語「繞射圖案」。熟習此項技術者可易於使教示適應於不同類型之散射計,或甚至適應於其他類型之量測器具。 Throughout this description from Figure 5, the term "diffraction pattern" will be used assuming the scatterometer of Figure 4 is used. Those skilled in the art can readily adapt the teaching to different types of scatterometers, or even to other types of measuring instruments.

圖6說明一另外實例程序,其中預先演算用於不同經估計目標形狀(候選結構)之複數個模型繞射圖案,且將該複數個模型繞射圖案儲存於庫中以供與實際量測進行比較。基礎原理及術語相同於針對圖5之程序之基礎原理及術語。圖6之程序之步驟為:在602處,開始產生庫之程序。可針對每一類型之目標結構來產生一分離庫。該庫可由量測裝置之使用者根據需要而產生,或可由裝置之供應商預產生。 6 illustrates an additional example program in which a plurality of model diffraction patterns for different estimated target shapes (candidate structures) are pre-calculated, and the plurality of model diffraction patterns are stored in a library for actual measurement Comparison. The basic principles and terminology are the same as the basic principles and terminology for the procedure of Figure 5. The procedure of the procedure of Figure 6 is: at 602, the process of generating the library begins. A separate library can be generated for each type of target structure. The library may be generated by a user of the measurement device as needed, or may be pre-generated by a supplier of the device.

在603處,建立「模型配方」,其依據數個參數pi(p1、p2、p3等等)而定義目標結構之經參數化模型。考慮因素相似於反覆程序之步驟503中之考慮因素。 At 603, a "model recipe" is established that defines a parametric model of the target structure based on a number of parameters p i (p 1 , p 2 , p 3 , etc.). The considerations are similar to the considerations in step 503 of the repeated procedure.

在604處,(例如)藉由產生第一參數集合P1 (0)、P2 (0)、P3 (0)等等中之每一者之隨機值而產生該等參數,每一隨機值係在該參數集合之預期值範圍內。 At 604, the parameters are generated, for example, by generating a random value for each of the first set of parameters P 1 (0) , P 2 (0) , P 3 (0), etc., each random The value is within the expected range of values for this parameter set.

在606處,演算模型繞射圖案且將模型繞射圖案儲存於庫中,該模型繞射圖案表示自由一或多個參數表示之目標形狀所預期之繞射圖案。 At 606, the calculus model diffracts the pattern and stores the model diffraction pattern in a library that represents a diffraction pattern that is expected from a target shape that is free of one or more parameter representations.

在608處,產生新形狀參數集合p1 (1)、p2 (1)、p3 (1)等等。重複步驟606至608數十次、數百次或甚至數千次,直至包含所有所儲存經模型化繞射圖案之庫被判斷為足夠完整為止。每一所儲存圖案表示多維參數空間中之一樣本點。庫中之樣本應以足夠密度填入樣本空間,使得將足夠接近地表示任何實際繞射圖案。 At 608, a new set of shape parameters p 1 (1) , p 2 (1) , p 3 (1), and the like are generated. Steps 606 through 608 are repeated dozens of times, hundreds of times, or even thousands of times until the library containing all of the stored modeled diffraction patterns is judged to be sufficiently complete. Each stored pattern represents one of the sample points in the multidimensional parameter space. The samples in the library should be filled into the sample space with sufficient density so that any actual diffraction pattern will be represented close enough.

在610處,在產生庫之後(但可在產生庫之前),將實際目標30置放於散射計中且量測實際目標30之繞射圖案。 At 610, after the library is generated (but before the library is generated), the actual target 30 is placed in the scatterometer and the diffraction pattern of the actual target 30 is measured.

在612處,比較測定圖案與儲存於庫中之一或多個經模型化圖案以找到最佳匹配圖案。可與庫中之每一樣本進行該比較,或可使用更系統之搜尋策略,以縮減計算負擔。 At 612, the assay pattern is compared to one or more modeled patterns stored in the library to find the best match pattern. This comparison can be made with each sample in the library, or a more systematic search strategy can be used to reduce the computational burden.

在614處,若找到匹配,則可將用以產生匹配庫圖案之經估計目標形狀判定為近似物件結構。輸出對應於匹配樣本之一或多個形狀參數作為一或多個測定形狀參數。可直接地對模型繞射信號執行匹配程序,或可對經最佳化以供快速評估之取代模型執行匹配程序。 At 614, if a match is found, the estimated target shape used to generate the matching library pattern can be determined to approximate the object structure. The output corresponds to one or more shape parameters of the matching sample as one or more measured shape parameters. A matching procedure can be performed directly on the model diffracted signal, or a matching procedure can be performed on the surrogate model that is optimized for rapid evaluation.

在616處,視情況,使用最靠近之匹配樣本作為起點,且使用改進程序以獲得供報告之一或多個最終參數。舉例而言,此改進程序可包含極相似於圖5所展示之反覆程序的反覆程序。 At 616, the closest matching sample is used as a starting point, as appropriate, and an improved procedure is used to obtain one or more final parameters for reporting. For example, the improved program can include a repetitive procedure that is very similar to the repeated procedure shown in FIG.

是否使用改進步驟616取決於實施者之選擇。若庫被極密集地取樣,則因為可總是找到良好匹配,所以可無需反覆改進。另一方面,此庫可能太大而不能供實務使用。因此,一實務解決方案係針對粗略參數集合來使用庫搜尋,接著使用優質化函數進行一或多次反覆以判定較準確之參數集合,以便以所要準確度報告目標基板之參數集合。在執行額外反覆的情況下,將所演算繞射圖案及關聯改進型參數集合作為新輸入項而添加於庫中將為一選項。以此方式,最初可使用基於相對少量計算努力但使用改進步驟616之計算努力而建置成較大庫的庫。不管使用哪一方案,亦可基於多個候選結構之匹配良好度來獲得經報告可變參數中之一或多者之值的進一步改進。舉例而言,可藉由在兩個或兩個以上候選結構之參數值之間進行內插而產生最終報告之參數值集合,此係假定彼等候選結構之兩者或全部皆具有高匹配記分。 Whether or not to use the improvement step 616 depends on the choice of the implementer. If the library is sampled intensively, it is not necessary to improve it because a good match can always be found. On the other hand, this library may be too large to be used for practice. Thus, a practical solution uses a library search for a coarse set of parameters, followed by a quality function that is repeated one or more times to determine a more accurate set of parameters to report the set of parameters of the target substrate with the desired accuracy. In the case of performing an additional iteration, adding the calculated diffraction pattern and the associated improved parameter set as new inputs to the library will be an option. In this manner, a library that is built into a larger library based on a relatively small amount of computational effort but with the computational effort of the improved step 616 can be initially used. Regardless of which scheme is used, further improvements in the value of one or more of the reported variable parameters may be obtained based on the goodness of fit of the plurality of candidate structures. For example, a final set of parameter values can be generated by interpolating between parameter values of two or more candidate structures, which assumes that both or all of their candidate structures have a high match. Minute.

此反覆程序之計算時間係主要地由步驟506及606處之前向繞射 模型判定,亦即,使用嚴密光學繞射理論自經估計目標形狀來演算經估計模型繞射圖案。 The calculation time of this repeated procedure is mainly by the previous diffraction at steps 506 and 606. The model determines, that is, the estimated model diffraction pattern is calculated from the estimated target shape using the rigorous optical diffraction theory.

隨著使用微影所製造之特徵之尺寸變得愈來愈小,微影正變為用於使能夠製造小型IC或其他器件及/或結構之更具決定性因素。圖案印刷極限之理論估計可藉由瑞立(Rayleigh)解析度準則而給出,如方程式(1)所展示: As the dimensions of features fabricated using lithography become smaller and smaller, lithography is becoming a more decisive factor for enabling the fabrication of small ICs or other devices and/or structures. The theoretical estimation of the pattern printing limit can be given by the Rayleigh resolution criterion, as shown by equation (1):

其中λ為所使用輻射之波長,NA為用以印刷圖案之投影系統之數值孔徑,k1為程序相依調整因數(亦被稱為瑞立常數),且CD為經印刷特徵之特徵大小(或臨界尺寸)。自方程式(1)可見,可以三種方式來獲得特徵之最小可印刷大小之縮減:藉由縮短曝光波長λ、藉由增加數值孔徑NA,或藉由減低k1之值。 Where λ is the wavelength of the radiation used, NA is the numerical aperture of the projection system used to print the pattern, k 1 is the program dependent adjustment factor (also known as the Ryli constant), and CD is the characteristic size of the printed features (or Critical dimension). It can be seen from equation (1) that the reduction in the minimum printable size of the feature can be obtained in three ways: by shortening the exposure wavelength λ, by increasing the numerical aperture NA, or by reducing the value of k 1 .

為了縮短曝光波長且因此縮減最小可印刷大小,已提議使用極紫外線(EUV)輻射。EUV輻射為具有在5奈米至20奈米之範圍內(例如,在13奈米至14奈米之範圍內)之波長之電磁輻射。已進一步提議可使用具有小於10奈米(例如,在5奈米至10奈米之範圍內,諸如,6.7奈米或6.8奈米)之波長之EUV輻射。此輻射被稱為極紫外線輻射或軟x射線輻射。舉例而言,可能之源包括雷射產生電漿源、放電電漿源,或基於由電子儲存環提供之同步加速器輻射之源。 In order to shorten the exposure wavelength and thus reduce the minimum printable size, it has been proposed to use extreme ultraviolet (EUV) radiation. The EUV radiation is electromagnetic radiation having a wavelength in the range of 5 nm to 20 nm (for example, in the range of 13 nm to 14 nm). It has further been proposed to use EUV radiation having a wavelength of less than 10 nanometers (e.g., in the range of 5 nanometers to 10 nanometers, such as 6.7 nanometers or 6.8 nanometers). This radiation is called extreme ultraviolet radiation or soft x-ray radiation. By way of example, possible sources include a laser generating plasma source, a discharge plasma source, or a source based on synchrotron radiation provided by an electronic storage ring.

一種使能夠在EUV系統中使用以繞射為基礎之度量衡之可能方式係使用相移圖案化器件。此相移圖案化器件包含在經重導向光束中產生相移以便使輻射光束離軸地偏轉之渠溝(或其他相移特徵)。相移度(及因此,偏轉度)相依於散焦度。所得目標可包含:第一結構,其係經由不具有渠溝之圖案化器件特徵而印刷,且因此印刷於基板上之非相依於焦點之位置處;及第二結構,其係經由具有渠溝之圖案化器件 特徵而印刷,且因此印刷於基板上之相依於焦點之位置處。以此方式,第二結構(相對於第一結構)之位置係焦點相依的。然而,此配置可不理想,此係因為其可需要複雜且難以製造之圖案化器件。 One possible way to enable the use of diffraction-based metrology in EUV systems is to use phase shift patterned devices. The phase shifting patterning device includes a trench (or other phase shifting feature) that produces a phase shift in the redirected beam to deflect the radiation beam off-axis. The degree of phase shift (and therefore the degree of deflection) depends on the degree of defocus. The resulting target can include: a first structure printed via a patterned device feature having no trenches, and thus printed on a non-independent position on the substrate; and a second structure via the trench Patterned device The feature is printed and thus printed on the substrate at a position that is dependent on the focus. In this way, the position of the second structure (relative to the first structure) is focus dependent. However, this configuration may not be desirable because it may require a patterned device that is complex and difficult to manufacture.

本文所提議之量測方法使用交織式散射計疊對目標之經修改版本以用於雙重圖案化疊對量測。經修改目標為此交織式疊對目標與上文所描述之焦點校準標記之組合。 The metrology method proposed herein uses an interlaced scatterometer to overlay a modified version of the target for dual patterning overlay measurements. The modified target is a combination of the interleaved stack target and the focus calibration mark described above.

圖7a展示交織式散射計疊對目標700,其包含交替之第一結構705及第二結構710。第一結構705及第二結構710兩者皆並非有意地係焦點相依的。特定地在此實例中,第一結構705及第二結構710之經印刷線不對稱性並不係焦點敏感的。當然,在任何特徵之形成中將總是存在某一焦點相依性(例如,該特徵之剖面將依據焦點而改變),此情形精確地為焦點控制在微影程序中顯著之原因。 Figure 7a shows an interlaced scatterometer stack target 700 comprising alternating first structures 705 and second structures 710. Both the first structure 705 and the second structure 710 are not intentionally dependent on each other. Specifically in this example, the printed line asymmetry of the first structure 705 and the second structure 710 is not focus sensitive. Of course, there will always be some focus dependence in the formation of any feature (eg, the profile of the feature will change depending on the focus), which is precisely the reason why focus control is significant in the lithography process.

圖7b說明經組態以用於以繞射為基礎之焦點(DBF)量測之DBF目標715。其包含複數個DBF結構720,複數個DBF結構720中之每一者包含高解析度子結構725。基節距(base pitch)之頂部上之高解析度子結構725產生用於每一DBF結構720之不對稱抗蝕劑剖面,其中不對稱度相依於焦點。因此,度量衡工具可自此DBF目標715量測不對稱度且將此不對稱度轉譯成掃描器焦點。 Figure 7b illustrates a DBF target 715 configured for diffraction-based focus (DBF) measurements. It includes a plurality of DBF structures 720, each of which includes a high resolution substructure 725. The high resolution substructure 725 on top of the base pitch produces an asymmetric resist profile for each DBF structure 720, with the asymmetry being dependent on the focus. Thus, the metrology tool can measure the asymmetry from this DBF target 715 and translate this asymmetry into the scanner focus.

雖然DBF目標715實現以繞射為基礎之焦點量測,但其可能不適合於在所有情形中使用。EUV抗蝕劑膜厚度顯著地小於用於浸潤微影中之EUV抗蝕劑膜厚度,此情形使難以自形成目標之部分之結構的不對稱剖面提取準確不對稱性資訊。另外,此等結構可能不遵照適用於某些產品結構之嚴格設計約束。在器件製造程序期間,圖案化器件之圖案之所有特徵應印刷且經得住後續處理步驟。器件製造商使用作為用以限定特徵設計之方式之設計規則,以幫助確保經印刷特徵符合其程序要求。一種此類設計規則與結構之容許大小相關。另一此類設計 規則為圖案密度,其將所得抗蝕劑圖案之密度限定為處於特定範圍內。 Although the DBF target 715 implements a diffraction-based focus measurement, it may not be suitable for use in all situations. The thickness of the EUV resist film is significantly less than the thickness of the EUV resist film used to wet the lithography, which makes it difficult to extract accurate asymmetry information from the asymmetric profile of the structure forming part of the target. In addition, such structures may not comply with strict design constraints that apply to certain product structures. During the device fabrication process, all features of the pattern of the patterned device should be printed and with subsequent processing steps. Device manufacturers use design rules as a way to define feature design to help ensure that printed features meet their program requirements. One such design rule is related to the allowable size of the structure. Another such design The rule is the pattern density, which defines the density of the resulting resist pattern to be within a specific range.

圖案密度係與缺陷度密切地相關,此係因為拋光及擴散步驟可需要某一程度之均一性以避免產生缺陷。此情形在(例如)間隔程序中顯著,在間隔程序中將薄層沈積於抗蝕劑特徵上方,且無論抗蝕劑邊緣曾經存在於何處,另外程序步驟皆將該等特徵縮減至小線。在間隔程序之後達成最小圖案密度要求意謂可沒有可能使用大特徵,此係因為僅抗蝕劑邊緣作為薄線被轉印至基板。就此而言,DBF目標715之DBF結構720可太大。因此,為了增加間隔程序圖案密度,可需要增加抗蝕劑圖案邊緣之數目。 The pattern density is closely related to the degree of defect, since the polishing and diffusion steps may require some degree of uniformity to avoid defects. This situation is significant, for example, in a spacer procedure in which a thin layer is deposited over the resist features, and wherever the resist edge was once present, the program steps reduce the features to a small line. . Achieving a minimum pattern density requirement after the spacer procedure means that it is not possible to use a large feature because only the resist edge is transferred to the substrate as a thin line. In this regard, the DBF structure 720 of the DBF target 715 can be too large. Therefore, in order to increase the spacer pattern density, it may be necessary to increase the number of edges of the resist pattern.

度量衡特徵亦應遵照此等設計規則,此係因為其可能以其他方式變為缺陷來源。因此,度量衡目標應由小特徵組成,又仍能夠產生在給出波長及捕捉角度之限制的情況下可由度量衡工具偵測之信號。對於DBF目標715,在間隔程序之後的所得圖案密度可顯著地太小。 The metrology feature should also follow these design rules as it may otherwise become a source of defects. Therefore, the metrology target should consist of small features that still produce signals that can be detected by the metrology tool given the limits of the given wavelength and capture angle. For DBF target 715, the resulting pattern density after the interval procedure can be significantly too small.

圖7c說明根據本發明之一實施例之經修改目標730。目標730包含第一結構740及第二結構750。第一結構740並非焦點相依的,且基本上相似於圖7a之第一結構705。第二結構750包含高解析度子結構760及低解析度子結構770。高解析度子結構760應具有小於200奈米之寬度,以便不被散射計偵測為個別結構。在各種實施例中,高解析度子結構760皆可具有小於100奈米、小於50奈米或小於25奈米之寬度。在一實施例中,高解析度子結構760及低解析度子結構770兩者可具有相似CD;例如,低解析度子結構770可比高解析度子結構760寬僅10奈米至40奈米。 Figure 7c illustrates a modified target 730 in accordance with an embodiment of the present invention. Target 730 includes a first structure 740 and a second structure 750. The first structure 740 is not focus dependent and is substantially similar to the first structure 705 of Figure 7a. The second structure 750 includes a high resolution substructure 760 and a low resolution substructure 770. The high resolution substructure 760 should have a width of less than 200 nanometers so as not to be detected by the scatterometer as an individual structure. In various embodiments, the high resolution substructures 760 can each have a width of less than 100 nanometers, less than 50 nanometers, or less than 25 nanometers. In an embodiment, both the high resolution substructure 760 and the low resolution substructure 770 may have similar CDs; for example, the low resolution substructure 770 may be only 10 nm to 40 nm wider than the high resolution substructure 760. .

子結構760之高解析度之效應為:子結構760在用以印刷目標730之輻射光束係在最佳焦點區內時僅印刷於基板上。在最佳焦點區外部(亦即,當光束散焦時),子結構760(或其部分)並不印刷。因此,經 印刷第二結構750之形式相依於輻射光束之焦點。此與由上文所描述之相移光罩引起的目標形成對比,對於該等目標,第二結構之位置而非形式係焦點相依的。以此方式,可在無需渠溝或相似特徵用於變更相位的情況下使用更習知之圖案化器件。 The effect of the high resolution of substructure 760 is that substructure 760 is only printed on the substrate when the radiation beam used to print target 730 is within the best focus region. Substructure 760 (or portions thereof) is not printed outside of the best focus area (i.e., when the beam is out of focus). Therefore The form of the printed second structure 750 is dependent on the focus of the radiation beam. This is in contrast to the objects caused by the phase shifting reticle described above, for which the position of the second structure, rather than the form, is focus dependent. In this way, more conventional patterned devices can be used without the need for trenches or similar features for changing the phase.

第二結構750之形式改變可使其自身表現為第二結構750之重心(CoG)之移位,該移位可被散射計偵測為光瞳不對稱性。可對照經程式化焦點偏移基板來校準CoG移位。藉由曝光具有已知焦點偏移之基板,吾人可校準經設計目標依據焦點之行為(如由散射計所偵測)。結果為相似於圖11中之曲線1100的曲線。在運用此經校準曲線的情況下,可以最佳焦點而曝光基板,且可比較測定散射計回應與曲線1100以判定用於基板上之每一量測之焦點位置。 The change in form of the second structure 750 can manifest itself as a shift in the center of gravity (CoG) of the second structure 750, which can be detected by the scatterometer as pupil asymmetry. The CoG shift can be calibrated against the programmed focus offset substrate. By exposing a substrate with a known focus shift, we can calibrate the behavior of the designed target based on focus (as detected by a scatterometer). The result is a curve similar to curve 1100 in FIG. With this calibrated curve applied, the substrate can be exposed with the best focus, and the scatterometer response can be compared to curve 1100 to determine the focus position for each measurement on the substrate.

另外,相比於IDBF目標715,在第二結構750之間存在第一結構740會增加圖案密度。 Additionally, the presence of the first structure 740 between the second structures 750 increases the pattern density compared to the IDBF target 715.

圖7d展示包含第一結構775及第二結構750之目標730',第一結構775及第二結構750兩者係焦點相依的,此係因為其兩者皆包含高解析度子結構760及低解析度子結構770。由於如下原因而使第一結構775之焦點相依性不同於第二結構750之焦點相依性:高解析度子結構760對於第一結構775係在低解析度子結構770之一個側上,且對於第二結構750係在低解析度子結構770之對置側上。以此方式,對於第一結構775及第二結構750的經由焦點之CoG移位將處於相反方向上。 Figure 7d shows a target 730' comprising a first structure 775 and a second structure 750, both of which are focus dependent, since both of them comprise a high resolution substructure 760 and low Resolution substructure 770. The focus dependence of the first structure 775 is different from the focus dependency of the second structure 750 for the following reasons: the high resolution substructure 760 is tied to the first structure 775 on one side of the low resolution substructure 770, and for The second structure 750 is on the opposite side of the low resolution substructure 770. In this manner, the CoG shift via focus for the first structure 775 and the second structure 750 will be in the opposite direction.

目標730、730'展示高解析度子結構760,其包含數個高解析度桿體,每一桿體具有相似線寬(大約15奈米至25奈米;例如,22奈米),且在與第一結構740及低解析度子結構770相同之方向上延伸。然而,其他組態係可能的。 The targets 730, 730' exhibit a high resolution substructure 760 comprising a plurality of high resolution rods each having a similar line width (approximately 15 nm to 25 nm; for example, 22 nm), and It extends in the same direction as the first structure 740 and the low-resolution sub-structure 770. However, other configurations are possible.

圖8展示第二結構750之實例另外組態的細節。在每一狀況下,展示第一結構810、810'及第二結構850、850'、850"之單一實例。為 了製造目標,以與圖7c所展示之方式相似的方式或在圖8(d)之實例中以與圖7d所展示之方式相似的方式將此等對之結構重複若干次。 FIG. 8 shows details of an additional configuration of an example of the second structure 750. In each case, a single instance of the first structure 810, 810' and the second structure 850, 850', 850" is shown. The manufacturing target is repeated several times in a manner similar to that shown in Figure 7c or in the example of Figure 8(d) in a manner similar to that shown in Figure 7d.

圖8(a)展示第二結構850,其相似於第二結構750,惟如下情形除外:高解析度子結構860在解析度(線寬)方面變化,在遠離低解析度子結構870之方向上自較低解析度轉至較高解析度。此情形提供經由焦點的第二結構850之形式之增加變化,此在於:小散焦度將意謂僅具有最高解析度之高解析度子結構860將未能印刷,其中未能印刷之高解析度子結構860之數目隨著散焦度增加而增加。此意謂:相依於散焦度,存在第二結構850可採取之數個不同焦點相依形式,且因此存在第二結構850中之數個可能重心移位。高解析度子結構860中之最小高解析度子結構可與微影裝置解析度所允許之窄度一樣窄。 Figure 8(a) shows a second structure 850 that is similar to the second structure 750 except that the high resolution substructure 860 varies in resolution (line width), away from the low resolution substructure 870. Go from lower resolution to higher resolution. This situation provides an incremental variation in the form of the second structure 850 via focus, in that a small defocus would mean that only the high resolution substructure 860 with the highest resolution would fail to print, where high resolution could not be printed. The number of degree substructures 860 increases as the degree of defocus increases. This means that depending on the degree of defocus, there are several different focus dependent forms that the second structure 850 can take, and thus there are several possible centers of gravity shifts in the second structure 850. The minimum high resolution substructure of the high resolution substructure 860 can be as narrow as the narrowness allowed by the resolution of the lithography apparatus.

在一實施例中,高解析度子結構860之寬度在15奈米與25奈米之間變化。高解析度子結構860皆可具有不同線寬,或可包含具有相同線寬之鄰近子結構。舉例而言,雖然高解析度子結構860可以減低線寬之次序而配置(如先前段落中所描述),但此配置可包括具有相同線寬之一些(例如,兩個最薄)鄰近高解析度子結構860。 In one embodiment, the width of the high resolution substructure 860 varies between 15 nanometers and 25 nanometers. The high resolution substructures 860 can each have different line widths or can include adjacent substructures having the same line width. For example, although the high resolution substructure 860 can be configured in a reduced order of line width (as described in the previous paragraph), this configuration can include some (eg, the two thinnest) neighboring high resolutions having the same line width. Degree structure 860.

圖8(b)展示包含水平子結構860'之第二結構850',水平子結構860'在垂直於低解析度子結構870之方向的方向上延伸。第二結構850'基本上相同於圖7b中之DBF結構720。此等結構展示對產生第二結構850'依據焦點之CoG移位之線端(尖端至尖端)焦點回應。因為所有水平子結構860'在圖案化器件處具有相同CD,所以線端之右側依據散焦而「拉回」,使得每一子結構860'之長度隨著散焦而變化:散焦度愈大,每一水平子結構860'將愈短。 Figure 8(b) shows a second structure 850' comprising a horizontal substructure 860' that extends in a direction perpendicular to the direction of the low resolution substructure 870. The second structure 850' is substantially identical to the DBF structure 720 in Figure 7b. These structures show a line end (tip to tip) focus response to the CoG shift that produces the second structure 850'. Since all horizontal substructures 860' have the same CD at the patterned device, the right side of the line ends are "pull back" according to defocus, such that the length of each substructure 860' varies with defocus: the defocusing degree Large, each horizontal substructure 860' will be shorter.

相依於應用,在具有垂直子結構或水平子結構時可具有優點。一個子結構或另一子結構可對程序變化、劑量變化或特定像差較敏感。當考慮儘可能接近於實際產品(關於焦點及像差敏感度)而表現之 目標設計時,吾人可考慮圖7或圖8所說明之任何設計,或屬於申請專利範圍之範疇的任何其他設計。 Depending on the application, there may be advantages when having a vertical substructure or a horizontal substructure. One substructure or another substructure may be sensitive to program changes, dose changes, or specific aberrations. Expressed as close as possible to the actual product (with focus and aberration sensitivity) At the time of the target design, we may consider any of the designs illustrated in Figure 7 or Figure 8, or any other design within the scope of the claimed patent.

圖8(c)展示包含子結構860"之第二結構850",子結構860"基本上組合子結構860及子結構860'之概念。第二結構850"包含子結構860"之二維陣列,子結構860"經配置成使得每一子結構860"之寬度在水平方向上減低。此配置可潛在地產生類產品像差敏感度。 Figure 8(c) shows a second structure 850 comprising substructures 860", the substructure 860" essentially combining the concepts of substructures 860 and substructures 860'. The second structure 850" comprises a two dimensional array of substructures 860" Substructure 860" is configured such that the width of each substructure 860" is reduced in the horizontal direction. This configuration can potentially produce product-like aberration sensitivity.

圖8(d)展示基本上相似於圖8(a)所說明之第二結構的第二結構850,第二結構850鄰近於包含高解析度子結構880之第一結構810'。高解析度子結構880相似於高解析度子結構860,但在相反方向上配置(相比於厚至薄為薄至厚)。與高解析度子結構860相對於低解析度子結構870相比較,高解析度子結構880亦在低解析度子結構890之對置側上。 Figure 8(d) shows a second structure 850 that is substantially similar to the second structure illustrated in Figure 8(a), the second structure 850 being adjacent to the first structure 810' comprising the high resolution substructure 880. The high resolution substructure 880 is similar to the high resolution substructure 860, but is disposed in the opposite direction (thick to thick compared to thick to thin). The high resolution substructure 880 is also on the opposite side of the low resolution substructure 890 as compared to the high resolution substructure 860 versus the low resolution substructure 870.

圖9展示以最佳焦點f0而印刷的具有圖8(a)所展示之類型之第二結構950a之目標900,及以不同散焦度而印刷且具有第二結構950b、950c、950d的目標910、910'、920、920'、930、930'。目標900使所有高解析度子結構960被印刷,甚至是具有最高解析度之彼等子結構。目標910及910'(其中每一者係以參考最佳焦點f0具有相同量值但具有不同正負號之散焦度而印刷)具有第二結構950b,其中較少高解析度子結構960被印刷。針對目標920、920'及目標930、930'重複此圖案;在每一狀況下,隨著散焦度之量值增加,經印刷高解析度子結構960之數目減低。 9 shows the best focus f 0 and having a second printing type configuration of FIG. 8 (a) shows the 900 950a of the target, and the divergence of the different printing power and having a second configuration 950b, 950c, 950d of Targets 910, 910', 920, 920', 930, 930'. Target 900 causes all high resolution substructures 960 to be printed, even those with the highest resolution. Resolution sub-structure of the target 910 and 910 '(wherein each of the reference system the best focus f 0 having the same magnitude but with a different sign of defocus of the print) having a second structure 950b, where 960 is less high print. This pattern is repeated for targets 920, 920' and targets 930, 930'; in each case, as the magnitude of the defocus increases, the number of printed high resolution substructures 960 decreases.

圖10說明(a)目標900之經印刷第二結構950a與(b)目標920(或920')之經印刷第二結構950c之間的重心移位。在每一狀況下,頂部圖式展示實際經印刷目標900、920,而底部圖式展示檢查每一目標900、920之散射計在實際散射量測信號之模型化/分析之後有效地「看到」(亦即,偵測)之事項的近似。在底部圖式中,可看出,第二 結構950a、950c係由散射計看作寬度相依於所印刷之高解析度子結構960之數目的有效結構1060、1060c。在圖10(a)中,所看到之第一有效結構1060(參考對應第一結構1040)之重心被標註為x。在圖10(b)中,所看到之第二有效結構1060c之重心可被看到不等於x。 Figure 10 illustrates the center of gravity shift between (a) the printed second structure 950a of the target 900 and (b) the printed second structure 950c of the target 920 (or 920'). In each case, the top pattern shows the actual printed objects 900, 920, while the bottom pattern shows that the scatterometer checking each target 900, 920 effectively "sees" after modeling/analysis of the actual scatterometry signal. The approximation of the matter (ie, detection). In the bottom pattern, you can see that the second Structures 950a, 950c are considered by the scatterometer to be effective structures 1060, 1060c having a width dependent on the number of printed high resolution substructures 960. In FIG. 10(a), the center of gravity of the first active structure 1060 (refer to the corresponding first structure 1040) as seen is labeled x. In Figure 10(b), the center of gravity of the second active structure 1060c as seen can be seen to be not equal to x.

重心移位可由散射計偵測為繞射輻射之正繞射階與負繞射階之間的不對稱性。因此,經偵測不對稱性為焦點之指示,且因此,藉由使用散射計以量測不對稱性,可判定用以印刷目標之焦點。目標之不對稱性將影響用於對應正繞射階及負繞射階之繞射圖案。若在目標中不存在不對稱性,則正繞射階及負繞射階將具有相同光譜剖面。正繞射階及負繞射階之光譜分量之差的分析可用以判定目標之不對稱性。片語「正繞射階及負繞射階」係指1繞射階及高繞射階中之任一者。繞射階包括既不為正亦不為負之零階(鏡面反射),且接著包括以被方便地稱作正及負之互補對而存在之高階。非零階可被稱作高階。因此,+1階及-1階為正階及負階之實例,+2階及-2階、+3階及-3階等等亦為正階及負階之實例。將在無限制的情況下主要地參考+1階及-1階來說明實例。 The center of gravity shift can be detected by the scatterometer as the asymmetry between the positive and negative diffraction orders of the diffracted radiation. Thus, the detected asymmetry is an indication of the focus, and thus, by using a scatterometer to measure the asymmetry, the focus of the target to be printed can be determined. The asymmetry of the target will affect the diffraction pattern used for the corresponding positive and negative diffraction steps. If there is no asymmetry in the target, the positive and negative diffraction steps will have the same spectral profile. The analysis of the difference between the spectral components of the positive and negative diffraction orders can be used to determine the asymmetry of the target. The phrase "positive diffraction order and negative diffraction order" refers to any of 1 diffraction order and high diffraction order. The diffraction order includes a zero order (specular reflection) that is neither positive nor negative, and then includes a higher order that is conveniently referred to as a complementary pair of positive and negative. Non-zero orders can be referred to as high order. Therefore, +1 order and -1 order are examples of positive and negative orders, and +2 and -2, +3 and -3 are also examples of positive and negative orders. Examples will be described with reference to +1st order and -1st order, without limitation.

圖11為y軸上之不對稱性或重心及x軸上之焦點的標繪圖1100,其用以說明如何獲得焦點正負號資訊。在圖9中,可看出,經印刷目標910及910'係不可區分的,目標920及920'以及目標930及930'亦係不可區分的。對於每一對,散焦度之量值相同,但正負號不同。此唯一性問題意謂需要用以提取焦點正負號資訊之方法。該方法包含以已知偏移故意離焦地曝光基板,使得所有焦點值係在標繪圖1100之峰值之一個側上。舉例而言,已知焦點偏移將意謂所有測定焦點值係在區1110內。可接著自測定焦點值減掉已知焦點偏移以找到具有正確正負號之實際焦點值。 Figure 11 is a plot 1100 of the asymmetry or center of gravity on the y-axis and the focus on the x-axis to illustrate how to obtain focus sign information. In Figure 9, it can be seen that the targets 920 and 920' and the targets 930 and 930' are also indistinguishable when the print targets 910 and 910' are indistinguishable. For each pair, the amount of defocus is the same, but the sign is different. This uniqueness issue means a method for extracting focus sign information. The method includes deliberately defocusing the substrate with a known offset such that all focus values are on one side of the peak of the plot 1100. For example, a known focus offset would mean that all of the measured focus values are within the region 1110. The known focus offset can then be subtracted from the measured focus value to find the actual focus value with the correct sign.

所提議方法可包含校準程序,接著為監測與控制程序。校準程 序包含曝光焦點曝光矩陣(FEM)基板,及依據焦點而量測高階不對稱性以便演算焦點校準曲線。FEM基板可用作用於散射計之校準基板。如在此項技術中所知,FEM基板包含已被塗佈有光阻之基板,以焦點偏移與曝光偏移之多個組合而將一圖案曝光至該光阻上。監測與控制程序可包含離焦地曝光監測基板(以獲得如上文所描述之正負號資訊),及量測高階不對稱性。可接著使用在校準程序期間演算之焦點校準曲線而將此測定高階不對稱性轉換至焦點。 The proposed method can include a calibration procedure followed by a monitoring and control procedure. Calibration procedure The sequence includes an exposure focus exposure matrix (FEM) substrate, and the high-order asymmetry is measured in accordance with the focus to calculate the focus calibration curve. The FEM substrate can be used as a calibration substrate for a scatterometer. As is known in the art, a FEM substrate includes a substrate that has been coated with a photoresist, and a pattern is exposed to the photoresist in a plurality of combinations of focus shift and exposure shift. The monitoring and control program can include defocusing the exposure monitoring substrate (to obtain sign information as described above), and measuring high order asymmetry. This measured high order asymmetry can then be converted to focus using the focus calibration curve calculated during the calibration procedure.

為了自監測基板判定校準曲線,可以經程式化焦點偏移(例如,Rx傾角)而曝光數個場。此情形縮減程序相依性。 To determine the calibration curve from the monitoring substrate, several fields can be exposed by stylizing the focus offset (eg, Rx dip). This situation reduces program dependencies.

由於對離焦地曝光監測基板之需要,該方法更容易適用於產品外量測。在產品上而故意離焦地曝光係明確不理想的。然而,該方法可藉由提供具有利用三維光罩(M3D)效應之圖案化器件構形(topography)之目標設計而適應於產品上焦點控制。光罩圖案化器件可使得在曝光期間,產品結構係焦點對準地形成,且目標係以焦點偏移而焦點未對準地形成。此光罩圖案化器件可包含M3D特徵(諸如,散射桿體),相對於以最佳焦點而曝光之產品特徵,M3D特徵用以產生至目標之M3D誘發性最佳焦點偏移。在一實施例中,M3D特徵可包含先前實施例之高解析度子結構。在考量由M3D效應引起之最佳焦點偏移的情況下,可接著以相似於已經描述之方式的方式來量測具有焦點相依M3D特徵之此等目標且判定焦點。 This method is easier to apply to off-product measurement due to the need to expose the substrate for exposure to defocus. Exposure to deliberately defocused on the product is clearly undesirable. However, the method can be adapted to focus control on a product by providing a target design with patterned device topography utilizing the three-dimensional mask (M3D) effect. The reticle patterning device can be such that during exposure, the product structure is formed in focus, and the target is formed with focus offset and focus misalignment. The reticle patterning device can include M3D features (such as a scattering rod) that are used to produce an M3D induced optimal focus offset to the target relative to product features that are exposed at the best focus. In an embodiment, the M3D feature may comprise the high resolution substructure of the previous embodiment. In the case of considering the best focus offset caused by the M3D effect, such targets having focus dependent M3D features can then be measured and the focus determined in a manner similar to that already described.

圖12及圖13說明用於獲得正負號資訊之另外方法。為了理解此方法,應瞭解,上文所描述之交織式目標之焦點回應實際上為經印刷不對稱線回應(其依據焦點而為大致線性)與交織式目標設計(兩個結構群體之間的重心(CoG)之差)之組合。此情形係由圖12(a)及圖13a說明。圖12(a)為如特別關於圖8(b)而已經論述之交織式目標設計1200(但此概念適用於本文所描述之其他交織式目標設計中之任一者)。目 標1200包含第一結構1210及第二結構1220。第一結構可屬於(例如)本文所揭示之任何形式。此處將第二結構1220展示為相似於DBF結構720(圖7b)或圖8(b)中之第二結構870。圖13a之曲線1330為經由焦點(x軸)之所得信號回應(y軸)。此曲線1330包含曲線1310與線1320之總和,曲線1310表示歸因於目標1200之CoG移位的對焦點之信號回應,線1320表示歸因於第二結構1220之不對稱性的對焦點之信號回應。 Figures 12 and 13 illustrate additional methods for obtaining sign information. In order to understand this approach, it should be understood that the focus response of the interlaced target described above is actually a printed asymmetric line response (which is roughly linear depending on the focus) and an interlaced target design (between the two structural groups) A combination of center of gravity (CoG). This situation is illustrated by Figure 12(a) and Figure 13a. Figure 12(a) is an interlaced target design 1200 as discussed with respect to Figure 8(b) (although this concept applies to any of the other interlaced target designs described herein). Head The label 1200 includes a first structure 1210 and a second structure 1220. The first structure can belong to, for example, any of the forms disclosed herein. The second structure 1220 is shown here as being similar to the DBF structure 720 (Fig. 7b) or the second structure 870 of Fig. 8(b). Curve 1330 of Figure 13a is the resulting signal response (y-axis) via focus (x-axis). This curve 1330 contains the sum of the curve 1310 and the line 1320, the curve 1310 represents the signal response of the focus point due to the CoG shift of the target 1200, and the line 1320 represents the signal of the focus point due to the asymmetry of the second structure 1220. Respond.

提議藉由組合多個(交織式)目標之信號來解決目標1200之正負號問題。藉由改變(例如)不對稱線之設計屬性,同時使相對於不對稱線之對稱線段置放保持相同,可處理唯一性問題。圖12(b)中說明此目標1230。該目標包含第四結構1240,第四結構1240之形式不同於第二結構1220之形式,但屬於相同基本設計,差異係與諸如高清晰度特徵之線寬及/或長度之參數相關。目標1230中的第三結構1250(其基本上相同於第一結構1210)與第四結構1240之相對置放相似於目標1200中的第一結構1210與第二結構1220之相對置放。 It is proposed to solve the problem of the sign 1200 of the target 1200 by combining signals of multiple (interlaced) targets. The uniqueness problem can be addressed by changing, for example, the design properties of the asymmetrical lines while keeping the symmetric line segments placed relative to the asymmetrical lines the same. This target 1230 is illustrated in Figure 12(b). The target includes a fourth structure 1240 that is different in form from the second structure 1220 but that belongs to the same basic design, the differences being related to parameters such as the line width and/or length of the high definition features. The third structure 1250 in the target 1230 (which is substantially identical to the first structure 1210) is placed opposite the fourth structure 1240 in a manner similar to the relative placement of the first structure 1210 and the second structure 1220 in the target 1200.

在圖13b中可看出,交織式目標1200及1230之柏桑(Bossung)類型行為保持相似,如由曲線1310及1340之相似度所展示(其中曲線1340表示歸因於目標1230之CoG移位的對焦點之信號回應),而不對稱線內容1350改變,此係因為第四結構1240之形式不同於第二結構1220之形式。亦展示所得焦點回應曲線1360。實際上,此意謂不同交織式目標1200、1230之柏桑頂部將相對於彼此而移位。可接著藉由如下操作來處理唯一性問題:找到如圖13c所說明之不對稱性信號1330、1350兩者之差--所得信號1360將相依於CoG信號之類柏桑行為與不對稱性線信號之差之間的相似度;及/或藉由製造目標1200、1230兩者之(多變量)焦點(劑量)模型。 As can be seen in Figure 13b, the Bossung type behavior of interlaced targets 1200 and 1230 remains similar, as shown by the similarities of curves 1310 and 1340 (where curve 1340 represents the CoG shift due to target 1230). The signal of the focus point responds, while the asymmetrical line content 1350 changes because the form of the fourth structure 1240 is different from the form of the second structure 1220. The resulting focus response curve 1360 is also displayed. In practice, this means that the tops of the different interwoven objects 1200, 1230 will be displaced relative to each other. The uniqueness problem can then be handled by the operation of finding the difference between the asymmetry signals 1330, 1350 as illustrated in Figure 13c - the resulting signal 1360 will be dependent on the Pasang behavior and asymmetry line such as the CoG signal The degree of similarity between the differences in the signals; and/or by making a (multivariate) focus (dose) model of both targets 1200, 1230.

應注意,原則上,第三結構及第四結構可不相似於第一結構及 第二結構。原則上,第三結構及第四結構之置放可不相似於第一結構及第二結構之置放。 It should be noted that, in principle, the third structure and the fourth structure may not be similar to the first structure and The second structure. In principle, the placement of the third structure and the fourth structure may not be similar to the placement of the first structure and the second structure.

另外,可藉由執行交織式線結構之不對稱結構回應及置放之最佳化工序而將最佳焦點偏移預選擇至目標回應中。用於柏桑頂部偏移之此設計方法相比於使用如上文所描述之M3D效應之方法可較佳,此係因為M3D效應係不可預測的且可隨著不同圖案化器件及橫越圖案化器件圖案而變化。 In addition, the optimal focus offset can be pre-selected into the target response by performing an asymmetric structural response and placement optimization process of the interleaved line structure. This design method for the top shift of the cypress is preferred over the method using the M3D effect as described above, since the M3D effect is unpredictable and can be patterned with different patterned devices and traversing The device pattern changes.

獲得正負號資訊之此直接方法(如圖13c所說明)較適用於非EUV應用(較厚抗蝕劑),其中結構之不對稱性較顯著。在此等較厚抗蝕劑應用中,使用交織式目標之主要原因係增加圖案密度。在使用如圖11所說明之正負號提取方法的情況下,可在EUV薄抗蝕劑應用中使用最佳焦點偏移之預選擇。然而,原則上,最佳焦點偏移方法適用於最佳焦點設定為最佳化參數的任何量測(因此亦適用於非EUV應用)。典型應用可為監測器類型應用。對於產品上應用,藉由使用者之程序來判定最佳焦點設定,且因此應設計在使用者指定條件下工作之焦點量測解決方案。 This direct method of obtaining positive and negative information (as illustrated in Figure 13c) is more suitable for non-EUV applications (thicker resists) where the asymmetry of the structure is more pronounced. In such thicker resist applications, the main reason for using interlaced targets is to increase the pattern density. In the case of using the sign extraction method as illustrated in Figure 11, the pre-selection of the best focus offset can be used in EUV thin resist applications. However, in principle, the best focus shift method is suitable for any measurement where the best focus is set to optimize the parameters (and therefore also for non-EUV applications). Typical applications can be monitor type applications. For product applications, the user's program is used to determine the best focus setting, and therefore a focus measurement solution that works under user-specified conditions should be designed.

上文提及,圖7b所展示之DBF目標715可能不滿足某些設計規則之圖案密度要求。為了增加圖案密度,可藉由縮減基節距抑或將虛設特徵加入於目標內來改變目標設計。然而,縮減基節距很可能不可行,此係因為此情形將造成由度量衡工具使用之繞射階展開超出當前光學件之解析度。為了處理此情形,如已經描述,提議在DBF結構720之間提供另外結構(諸如,圖8中之第一結構810)。然而,此等第一結構之印刷亦困難,此係因為產生不對稱抗蝕劑剖面之高解析度特徵725限制用於第一結構之圖案化器件上可用之空間。因此,用於印刷含有在由度量衡工具捕捉之節距下之圖案剖面不對稱性及所需圖案密度兩者之目標的不同方法係理想的。 As mentioned above, the DBF target 715 shown in Figure 7b may not meet the pattern density requirements of certain design rules. To increase the pattern density, the target design can be changed by reducing the base pitch or by adding dummy features to the target. However, reducing the base pitch is likely to be infeasible, as this would cause the diffraction order used by the metrology tool to expand beyond the resolution of the current optics. To address this situation, as already described, it is proposed to provide additional structures between DBF structures 720 (such as the first structure 810 in Figure 8). However, printing of such first structures is also difficult because of the high resolution feature 725 that produces an asymmetric resist profile that limits the space available on the patterned device for the first structure. Therefore, different methods for printing the target containing both the profile profile asymmetry and the desired pattern density at the pitch captured by the metrology tool are desirable.

因此,提議在無需第二圖案化器件或第二圖案化器件圖案的情況下在兩次分離曝光中產生第二結構720及第一結構810。圖14a及圖14b中說明此方法。 Accordingly, it is proposed to create the second structure 720 and the first structure 810 in two separate exposures without the need for a second patterned device or a second patterned device pattern. This method is illustrated in Figures 14a and 14b.

圖14a展示圖案化器件1400區,其包含主產品區域1405及在主產品區域1405之周邊處之切割區域1410(出於清楚起見,切割區域1410被展示為相對於主產品區域1405比切割區域1410之實際區域更大)。在切割區域1410中,第二結構1415係在主產品區域之一個側上。亦展示在第二結構1415之曝光之後實際上將印刷於基板上之第二結構1415'及結構1420的細節。在切割區域1410中,第一結構1425係在主產品區域1405之另一側上與第二結構1415直接地對置。此外,展示在第一結構1425之曝光之後實際上將印刷於基板上之第一結構1425'及結構1430的細節。 Figure 14a shows a patterned device 1400 region that includes a main product region 1405 and a cutting region 1410 at the periphery of the main product region 1405 (for clarity, the cutting region 1410 is shown as being more than the main product region 1405 than the cutting region The actual area of 1410 is larger). In the cutting zone 1410, the second structure 1415 is on one side of the main product area. Details of the second structure 1415' and structure 1420 that will actually be printed on the substrate after exposure by the second structure 1415 are also shown. In the cutting region 1410, the first structure 1425 is directly opposite the second structure 1415 on the other side of the main product region 1405. In addition, details of the first structure 1425' and structure 1430 that would actually be printed on the substrate after exposure of the first structure 1425 are shown.

圖14b展示如何印刷完整結構。其展示處於用於在基板上曝光之位置的圖案化器件1400區。其亦展示(點線)處於用於緊接地在目前曝光之前曝光之相對先前位置的圖案化器件1400'區。當將產品曝光至基板上時,其經曝光成使得產品區域之一個側上的切割道區域1410與先前曝光之產品區域之對置側上的切割道區域1410重疊。倘若第二結構1415及第一結構1425正確地定位於圖案化器件圖案上之產品區域之對置側上且彼此直接地對置(僅圍繞y軸),則其區域在每一對曝光期間(在同一列上)重疊(1440)。當然,第二結構1415及第一結構1425應經定位成使得個別結構在重疊區域1440內交替,使得所得經印刷結構1445採取正確形式,其中第二結構1415與第一結構1425交織。 Figure 14b shows how to print a complete structure. It shows the area of patterned device 1400 in a location for exposure on a substrate. It also shows (dotted line) the region of the patterned device 1400' that is used to closely ground to the previous position prior to exposure to the current exposure. When the product is exposed onto the substrate, it is exposed such that the scribe line region 1410 on one side of the product region overlaps the dicing region 1410 on the opposite side of the previously exposed product region. If the second structure 1415 and the first structure 1425 are correctly positioned on opposite sides of the product area on the patterned device pattern and directly opposite each other (only around the y-axis), then the area is during each pair of exposures ( Overlap on the same column (1440). Of course, the second structure 1415 and the first structure 1425 should be positioned such that the individual structures alternate within the overlap region 1440 such that the resulting printed structure 1445 takes the correct form, with the second structure 1415 interlaced with the first structure 1425.

應注意,此方法涉及暗場(負)曝光,如圖式中所說明(其中暗區域指示抗蝕劑,所得目標為渠溝類型目標)。此係因為在供形成第二結構的習知目標之第一曝光之後抗蝕劑將不繼續存在於結構之間的基板上。 It should be noted that this method involves dark field (negative) exposure as illustrated in the figure (where the dark areas indicate the resist and the resulting target is a trench type target). This is because the resist will not continue to exist on the substrate between the structures after the first exposure for the conventional target for forming the second structure.

圖14a及圖14b描繪對稱結構與不對稱結構且特別是圖8(b)所展示之形式之結構的交織。然而,此方法可用以印刷本文所揭示之目標結構中之任一者。另外,亦有可能使用相同方法來使其他特徵及/或較小特徵陣列交織。 Figures 14a and 14b depict the interleaving of a symmetrical structure with an asymmetrical structure and in particular a structure of the form shown in Figure 8(b). However, this method can be used to print any of the target structures disclosed herein. In addition, it is also possible to use the same method to interleave other features and/or smaller feature arrays.

在另一實施例中,第一結構可為虛設結構。在此配置中,虛設結構不用以產生如上文所描述之CoG移位,僅自第二結構之不對稱性採取焦點量測。具有此等虛設結構之所得經印刷結構將具有所需圖案密度及在度量衡工具之捕捉窗內之節距下之不對稱剖面兩者。虛設結構可採取任何形式(例如,每一對第二結構之間的極高解析度多重線)。 In another embodiment, the first structure can be a dummy structure. In this configuration, the dummy structure is not used to produce a CoG shift as described above, and focus measurement is only taken from the asymmetry of the second structure. The resulting printed structure having such dummy structures will have both the desired pattern density and the asymmetric profile at the pitch within the capture window of the metrology tool. The dummy structure can take any form (eg, a very high resolution multiple line between each pair of second structures).

用於增加圖案密度之此方法之使用並不限於DBF度量衡,而是可應用於用以增加圖案密度之任何度量衡特徵,及(例如)使用以放寬節距而印刷之特定成像效應之任何度量衡特徵。 The use of this method for increasing the density of the pattern is not limited to DBF metrology, but can be applied to any metrology feature used to increase the density of the pattern, and, for example, any metrology feature using a particular imaging effect printed to relax the pitch. .

最廣泛而言,此章節揭示一種經由一或多個圖案化器件或圖案化器件圖案而印刷一化合物結構之方法,其中該方法包含:對一基板執行一第一曝光,其中該第一曝光包含自位於一第一圖案化器件或一第一圖案化器件圖案上之第一圖案化器件結構印刷第一經印刷結構;及對一基板執行一第二曝光,該第二曝光鄰近於該第一曝光且使得在該基板上存在該第一曝光與該第二曝光之一重疊區,該重疊區包含該等第一經印刷結構,其中該第二曝光包含在該基板上之該重疊區中自位於該第一圖案化器件或第一圖案化器件圖案上或位於一第二圖案化器件或第二圖案化器件圖案上之第二圖案化器件結構印刷第二經印刷結構,藉此形成該化合物結構。 Most broadly, this section discloses a method of printing a compound structure via one or more patterned devices or patterned device patterns, wherein the method includes performing a first exposure on a substrate, wherein the first exposure comprises Printing a first printed structure from a first patterned device structure on a first patterned device or a first patterned device pattern; and performing a second exposure on a substrate, the second exposure being adjacent to the first Exposing and causing an overlap region of the first exposure and the second exposure on the substrate, the overlap region comprising the first printed structures, wherein the second exposure is included in the overlap region on the substrate A second patterned device structure on the first patterned device or first patterned device pattern or on a second patterned device or second patterned device pattern prints a second printed structure, thereby forming the compound structure.

該圖案化器件或圖案化器件圖案可包含一產品區域及在該產品 區域周邊之一切割道區域,且該等第一圖案化器件結構及該等第二圖案化器件結構可位於該圖案化器件或圖案化器件圖案之該切割道區域中,或位於一不同圖案化器件或圖案化器件圖案之切割道區域中。該等第一圖案化器件結構可位於該切割道區域之一第一側處,且第二圖案化器件結構可位於與該產品區域之第一側對置的一側上,使得該等第一圖案化器件結構定位成相對於一單一軸線直接地對置於該等第二圖案化器件結構。 The patterned device or patterned device pattern can include a product area and the product One of the perimeter regions of the region, and the first patterned device structures and the second patterned device structures may be located in the scribe region of the patterned device or patterned device pattern, or at a different patterning The scribe line area of the device or patterned device pattern. The first patterned device structure can be located at a first side of the scribe lane region, and the second patterned device structure can be located on a side opposite the first side of the product region such that the first The patterned device structure is positioned to directly face the second patterned device structures relative to a single axis.

亦揭示一種圖案化器件,該圖案化器件包含一產品區域及在該產品區域周邊之一切割道區域,該圖案化器件進一步包含第一圖案化器件結構,及位於該圖案化器件之該切割道區域內之第二圖案化器件結構;該等第一圖案化器件結構位於該切割道區域之一第一側處,且第二圖案化器件結構位於與該產品區域之第一側對置的一側上,使得該等第一圖案化器件結構定位成相對於一單一軸線直接地對置於該等第二圖案化器件結構。 Also disclosed is a patterned device comprising a product region and a scribe region at a periphery of the product region, the patterned device further comprising a first patterned device structure, and the scribe line located in the patterned device a second patterned device structure in the region; the first patterned device structure is located at a first side of the scribe region and the second patterned device structure is located opposite the first side of the product region On the side, the first patterned device structures are positioned to directly face the second patterned device structures relative to a single axis.

儘管實施例係關於EUV微影被描述,但本文中之實施例適用於使用處於其他(例如,較長)波長(例如,處於193奈米)之輻射之微影程序。 Although the embodiments are described with respect to EUV lithography, the embodiments herein are applicable to lithography procedures that use radiation at other (eg, longer) wavelengths (eg, at 193 nm).

儘管在本文中可特定地參考微影裝置在IC製造中之使用,但應理解,本文所描述之微影裝置可具有其他應用,諸如,製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文中對術語「晶圓」或「晶粒」之任何使用分別與更一般之術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢查工具中處理本文所提及之基板。適用時,可將本文中之揭示內容應用於此等及其他基板處理工 具。另外,可將基板處理一次以上,例如,以便產生多層IC,使得本文所使用之術語「基板」亦可指已經含有多個經處理層之基板。 Although reference may be made specifically to the use of lithography devices in IC fabrication herein, it should be understood that the lithographic devices described herein may have other applications, such as manufacturing integrated optical systems, for magnetic domain memory. Lead to detection patterns, flat panel displays, liquid crystal displays (LCDs), thin film heads, and more. Those skilled in the art should understand that in the context of the content of such alternative applications, any use of the terms "wafer" or "die" herein may be considered as the more general term "substrate" or "target portion". Synonymous. The substrates referred to herein may be processed before or after exposure, for example, in a coating development system (a tool that typically applies a resist layer to a substrate and develops a exposed resist), a metrology tool, and/or an inspection tool. . Where applicable, the disclosure herein may be applied to such and other substrate handlers With. Additionally, the substrate can be processed more than once, for example, to create a multilayer IC, such that the term "substrate" as used herein may also refer to a substrate that already contains multiple processed layers.

儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例之使用,但應瞭解,本發明之實施例可用於其他應用(例如,壓印微影)中,且在內容背景允許時不限於光學微影。在壓印微影中,圖案化器件中之構形界定產生於基板上之圖案。可將圖案化器件之構形壓入被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後,將圖案化器件移出抗蝕劑,從而在其中留下圖案。 Although the use of embodiments of the present invention in the context of the content of optical lithography may be specifically referenced above, it will be appreciated that embodiments of the present invention may be used in other applications (eg, imprint lithography), and in the context of content When allowed, it is not limited to optical lithography. In imprint lithography, the configuration in the patterned device defines the pattern produced on the substrate. The patterning device can be configured to be pressed into a resist layer that is supplied to the substrate where the resist is cured by application of electromagnetic radiation, heat, pressure, or a combination thereof. After the resist is cured, the patterned device is removed from the resist to leave a pattern therein.

本文所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有為或為約365奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在5奈米至20奈米之範圍內之波長),以及粒子束(諸如,離子束或電子束)。 As used herein, the terms "radiation" and "beam" encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (eg, having or being about 365 nm, 355 nm, 248 nm, 193 nm, 157). Nano or 126 nm wavelengths) and extreme ultraviolet (EUV) radiation (eg, having a wavelength in the range of 5 nm to 20 nm), and particle beams (such as ion beams or electron beams).

術語「透鏡」在內容背景允許時可指各種類型之光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。 The term "lens", as the context of the context allows, can refer to any or a combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic, and electrostatic optical components.

雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。舉例而言,本發明可採取如下形式:電腦程式,其含有描述如上文所揭示之方法的機器可讀指令之一或多個序列;或資料儲存媒體(例如,半導體記憶體、磁碟或光碟),其具有儲存於其中之此電腦程式。 Although the specific embodiments of the invention have been described above, it is understood that the invention may be practiced otherwise than as described. For example, the invention can take the form of a computer program containing one or more sequences of machine readable instructions describing a method as disclosed above; or a data storage medium (eg, a semiconductor memory, disk or optical disk) ), which has this computer program stored in it.

以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之本發明進行修改。 The above description is intended to be illustrative, and not restrictive. Therefore, it will be apparent to those skilled in the art that the present invention may be modified without departing from the scope of the appended claims.

810‧‧‧第一結構 810‧‧‧ first structure

810'‧‧‧第一結構 810'‧‧‧ first structure

850‧‧‧第二結構 850‧‧‧Second structure

850'‧‧‧第二結構 850'‧‧‧Second structure

850"‧‧‧第二結構 850"‧‧‧ second structure

860‧‧‧高解析度子結構 860‧‧‧High-resolution substructure

860'‧‧‧水平子結構 860'‧‧‧ horizontal substructure

860"‧‧‧子結構 860"‧‧‧substructure

870‧‧‧低解析度子結構 870‧‧‧Low-resolution substructure

880‧‧‧高解析度子結構 880‧‧‧High-resolution substructure

890‧‧‧低解析度子結構 890‧‧‧Low-resolution substructure

Claims (59)

一種獲得與一微影程序相關之焦點資訊之方法,該方法包含:照明一第一目標,該第一目標包含交替之第一結構及第二結構,該等第二結構之形式係焦點相依的,使得其形式相依於用以形成該第一目標之一經圖案化光束之焦點,且該等第一結構之形式不具有與該等第二結構之焦點相依性相同的焦點相依性;及偵測由該第一目標散射之輻射以針對彼第一目標獲得表示該第一目標之一整體不對稱性的一不對稱性量測,該不對稱性量測指示在形成該第一目標時的該經圖案化光束之該焦點,其中使用一圖案化器件以產生該經圖案化光束,該圖案化器件包含用以形成該等第一結構之第一結構特徵及用以形成該等第二結構之第二結構特徵,其中:該等第一結構特徵及該等第二結構特徵兩者包含用以形成低解析度子結構之一低解析度子結構特徵;且該等第二結構特徵及在該等第一結構之該形式係焦點相依的情況下之該等第一結構特徵包含用以形成高解析度子結構之一高解析度子結構特徵,使得該第一目標中之高解析度子結構之數目及/或大小相依於在形成該第一目標時的該經圖案化光束之該焦點,其中該等高解析度子結構包含高解析度子結構之一個二維陣列。 A method of obtaining focus information related to a lithography process, the method comprising: illuminating a first target, the first target comprising alternating first structures and second structures, the second structures being in a form of focus dependent Having its form dependent on the focus of the patterned beam used to form one of the first targets, and the forms of the first structures do not have the same focus dependence as the focus of the second structures; and detecting Radiation scattered by the first target to obtain an asymmetry measure indicative of an overall asymmetry of the first target for the first target, the asymmetry measurement indicating when the first target is formed The focus of the patterned beam, wherein a patterned device is used to generate the patterned beam, the patterned device including first structural features for forming the first structures and for forming the second structures a second structural feature, wherein: the first structural features and the second structural features comprise low resolution substructure features for forming a low resolution substructure; and the second structures Encourazing that the first structural feature in the case where the form of the first structure is in focus depends on forming a high-resolution sub-structure feature of the high-resolution sub-structure such that the first target is high The number and/or size of the resolution substructures is dependent on the focus of the patterned beam when the first target is formed, wherein the high resolution substructures comprise a two dimensional array of high resolution substructures. 如請求項1之方法,其中該等第一結構之該形式並不有意地相依於在形成該第一目標時的該經圖案化光束之該焦點。 The method of claim 1, wherein the form of the first structures does not intentionally depend on the focus of the patterned beam when the first target is formed. 如請求項1之方法,其中該等第一結構之該形式相依於在形成該 第一目標時的該經圖案化光束之該焦點,該焦點相依性不同於該等第二結構之焦點相依性。 The method of claim 1, wherein the form of the first structures is dependent on forming the The focus of the patterned beam at the first target is different from the focus dependence of the second structures. 如請求項3之方法,其中該焦點相依性針對該等第一結構及該等第二結構而不同,使得一焦點移位造成該等第一結構及該等第二結構之一重心移位,該等移位係在相反方向上。 The method of claim 3, wherein the focus dependency is different for the first structure and the second structures, such that a focus shift causes a shift in the center of gravity of the first structure and the second structures, These shifts are in the opposite direction. 如請求項1之方法,其中該等低解析度子結構之線寬比該等高解析度子結構之線寬大介於10奈米與50奈米之間。 The method of claim 1, wherein the line widths of the low resolution substructures are greater than the line widths of the high resolution substructures between 10 nm and 50 nm. 如請求項1之方法,其中該等高解析度子結構包含在垂直於該等低解析度子結構之方向的一方向上延伸之複數個狹長高解析度子結構。 The method of claim 1, wherein the high-resolution sub-structures comprise a plurality of narrow high-resolution sub-structures extending upward in a direction perpendicular to a direction of the low-resolution sub-structures. 如請求項1之方法,其中該等高解析度子結構包含平行於該等低解析度子結構而配置之複數個狹長高解析度子結構。 The method of claim 1, wherein the high resolution substructures comprise a plurality of narrow high resolution substructures configured parallel to the low resolution substructures. 如請求項1之方法,其中該等高解析度子結構包含具有不同線寬之高解析度子結構。 The method of claim 1, wherein the high resolution substructures comprise high resolution substructures having different line widths. 如請求項8之方法,其中該等高解析度子結構係以自該等低解析度子結構減低線寬之次序而配置。 The method of claim 8, wherein the high resolution substructures are arranged in an order that reduces line widths from the low resolution substructures. 如請求項1之方法,其中該等高解析度子結構各自具有小於50奈米之一線寬。 The method of claim 1, wherein the high resolution substructures each have a line width of less than 50 nm. 如請求項1之方法,其中該圖案化器件包含光罩效應特徵,該等光罩效應特徵造成一個三維光罩效應,使得該第一目標係以一最佳焦點而形成,該最佳焦點係自用於該圖案化器件上之產品特徵之一最佳焦點偏移。 The method of claim 1, wherein the patterned device includes a reticle effect feature that causes a three-dimensional mask effect such that the first target is formed with an optimal focus, the best focus The best focus offset from one of the product features used on the patterned device. 如請求項11之方法,其中該等光罩效應特徵包含該等高解析度子結構中之一或多者。 The method of claim 11, wherein the reticle effect characteristics comprise one or more of the high resolution substructures. 如請求項1之方法,其中該第一目標經組態成使得該不對稱性量測包含由該等第一結構與該等第二結構之間的一重心移位引起 的一第一不對稱性分量,及由該等第二結構之剖面之不對稱性引起的一第二不對稱性分量,且其中該第一目標係以一最佳焦點而形成,該最佳焦點係自用於該圖案化器件上之產品特徵之一最佳焦點偏移,該最佳焦點偏移係由該第二不對稱性分量引起。 The method of claim 1, wherein the first target is configured such that the asymmetry measurement comprises a center of gravity shift between the first structure and the second structures a first asymmetry component, and a second asymmetry component caused by the asymmetry of the profiles of the second structures, and wherein the first target is formed with an optimal focus, the best The focus is derived from one of the product features used on the patterned device, the best focus offset being caused by the second asymmetry component. 如請求項13之方法,其進一步包含經由該第二結構剖面之變化及該等第一結構與該等第二結構之相對置放而最佳化該最佳焦點偏移。 The method of claim 13, further comprising optimizing the optimal focus offset via a change in the second structural profile and the relative placement of the first structures and the second structures. 如請求項1至4中任一項之方法,其進一步包含照明至少一第二目標,該第二目標包含第三結構及第四結構,該等第四結構具有不同於該等第二結構的至少一個參數。 The method of any one of claims 1 to 4, further comprising illuminating at least one second target, the second target comprising a third structure and a fourth structure, the fourth structures having a different structure than the second structure At least one parameter. 如請求項15之方法,其中該第二目標中的該等第三結構相對於該等第四結構之置放相似於該第一目標中的該等第一結構相對於該等第二結構之置放。 The method of claim 15, wherein the placement of the third structures in the second target relative to the fourth structures is similar to the first structures in the first target relative to the second structures Place. 如請求項15之方法,其進一步包含:偵測由該第二目標散射之輻射以針對該第二目標獲得一第二不對稱性量測;判定該第二不對稱性量測與來自該第一目標之該不對稱性量測之間的差;及使用該差以判定一焦點判定之正負號。 The method of claim 15, further comprising: detecting radiation scattered by the second target to obtain a second asymmetry measure for the second target; determining the second asymmetry measurement from the first The difference between the asymmetry measurements of a target; and the difference is used to determine the sign of a focus decision. 如請求項15之方法,其進一步包含:建構該第一目標及該第二目標之一多變量焦點模型;及使用該模型以判定一焦點判定之正負號及/或值。 The method of claim 15, further comprising: constructing the multi-variable focus model of the first target and the second target; and using the model to determine the sign and/or value of a focus determination. 如請求項1之方法,其進一步包含在至少兩次曝光中形成該第一目標,該形成包含:對一基板執行一第一曝光,其中該第一曝光包含形成該等第 一結構或該等第二結構;及對該基板執行一第二曝光,該第二曝光鄰近於該第一曝光且使得在該基板上存在該第一曝光與該第二曝光之一重疊區,該重疊區包含該等所形成第一結構或該等所形成第二結構,其中該第二曝光包含在該基板上之該重疊區中形成該等第一結構或該等第二結構中之另一者,藉此形成該第一目標。 The method of claim 1, further comprising forming the first target in at least two exposures, the forming comprising: performing a first exposure on a substrate, wherein the first exposure comprises forming the first a structure or the second structure; and performing a second exposure on the substrate, the second exposure being adjacent to the first exposure and causing an overlap region of the first exposure and the second exposure on the substrate, The overlap region includes the formed first structure or the formed second structure, wherein the second exposure comprises forming the first structure or the other of the second structures in the overlap region on the substrate In one case, the first target is formed thereby. 如請求項19之方法,其中該等第一結構及該等第二結構包含光阻中之渠溝。 The method of claim 19, wherein the first structures and the second structures comprise trenches in the photoresist. 如請求項19之方法,其中該圖案化器件包含一產品區域及在該產品區域周邊之一切割道區域,且該等第一結構特徵及該等第二結構特徵位於該切割道區域中。 The method of claim 19, wherein the patterned device comprises a product region and a scribe lane region at a periphery of the product region, and the first structural features and the second structural features are located in the scribe lane region. 如請求項21之方法,其中該等第一結構特徵位於該切割道區域之一第一側處,且該等第二結構特徵位於與該產品區域之第一側對置的一側上,使得該等第一結構特徵定位成相對於一單一軸線直接地對置於該等第二結構特徵。 The method of claim 21, wherein the first structural features are located at a first side of the scribe lane region, and the second structural features are located on a side opposite the first side of the product region such that The first structural features are positioned to directly face the second structural features relative to a single axis. 如請求項1至4中任一項之方法,其進一步包含使用該不對稱性量測以判定用以形成該目標之該經圖案化光束之焦點。 The method of any one of claims 1 to 4, further comprising using the asymmetry measure to determine a focus of the patterned beam of light used to form the target. 如請求項1至4中任一項之方法,其進一步包含執行一校準程序及一監測與控制程序。 The method of any one of claims 1 to 4, further comprising performing a calibration procedure and a monitoring and control procedure. 如請求項24之方法,其包含:在該校準程序期間,使用該經圖案化光束以藉由使用焦點偏移與曝光偏移之多個組合來曝光一校準基板而形成該第一目標,其中對該校準基板執行該照明及偵測,且該照明及偵測包含依據焦點而獲得複數個該等不對稱性量測;且該方法進一步包含自該複數個該等不對稱性量測及對應焦點偏移來演算一焦點校準曲線。 The method of claim 24, comprising: during the calibration procedure, using the patterned beam to form the first target by exposing a calibration substrate using a plurality of combinations of focus offset and exposure offset, wherein Performing the illumination and detection on the calibration substrate, and the illuminating and detecting includes obtaining the plurality of the asymmetry measurements according to the focus; and the method further comprises measuring and corresponding to the plurality of the asymmetry measurements Focus offset to calculate a focus calibration curve. 如請求項25之方法,其包含:在該監測與控制程序期間,使用 該經圖案化光束以藉由曝光一監測基板而形成該第一目標,其中對該監測基板執行該照明及偵測;且該方法進一步包含使用該焦點校準曲線以將該不對稱性量測轉換至一焦點量測。 The method of claim 25, comprising: during use of the monitoring and control program The patterned beam forms the first target by exposing a monitoring substrate, wherein the illumination and detection are performed on the monitoring substrate; and the method further comprises using the focus calibration curve to convert the asymmetry measurement To a focus measurement. 如請求項26之方法,其中以一有意焦點偏移而曝光該監測基板,該有意焦點偏移係足夠的,使得所有該等焦點量測係在該焦點校準曲線之一峰值之一個側上;且該方法進一步包含將實際焦點量測演算為使用該焦點校準曲線及該有意焦點偏移而獲得的該等焦點量測之一差。 The method of claim 26, wherein the monitoring substrate is exposed with an intentional focus offset, the intentional focus offset being sufficient such that all of the focus measurements are on one side of one of the peaks of the focus calibration curve; And the method further includes calculating the actual focus measurement as one of the focus measurements obtained using the focus calibration curve and the intentional focus offset. 如請求項26之方法,其中該等焦點量測用以在後續微影程序期間最佳化焦點設定。 The method of claim 26, wherein the focus measurements are used to optimize focus settings during subsequent lithography procedures. 如請求項1至4中任一項之方法,其中該不對稱性量測包含演算經偵測之正高繞射階與負高繞射階之間的差。 The method of any one of claims 1 to 4, wherein the asymmetry measurement comprises calculating a difference between the detected positive high diffraction order and the negative high diffraction order. 如請求項1至4中任一項之方法,其中該經圖案化光束具有在5奈米至20奈米之範圍內之一波長。 The method of any one of claims 1 to 4, wherein the patterned beam has a wavelength in the range of 5 nm to 20 nm. 如請求項1至4中任一項之方法,其進一步包含:作為一微影程序之部分,使用一經圖案化光束以形成該目標。 The method of any of claims 1 to 4, further comprising: using a patterned beam to form the target as part of a lithography procedure. 一種圖案化器件,其包含用於圖案化一光束以形成一第一目標之一第一圖案,該第一目標包含交替之第一結構及第二結構,其中該圖案化器件包含:用以形成該等第一結構之第一結構特徵;及用以形成該等第二結構之第二結構特徵,其中該等第二結構特徵經組態成使得該等第二結構之形式係焦點相依的,使得其形式相依於在形成該第一目標時的該經圖案化光束之焦點,且該等第一結構特徵經組態成使得該等第一結構之形式不具有與該等第二結構之焦點相依性相同的焦點相依性, 其中:該等第一結構特徵及該等第二結構特徵兩者包含用於形成低解析度子結構之一低解析度子結構特徵;且該等第二結構特徵及在該等第一結構之該形式係焦點相依的情況下之該等第一結構特徵包含用於形成高解析度子結構之一高解析度子結構特徵,使得該第一目標中之高解析度子結構之數目及/或大小相依於在形成該第一目標時的該經圖案化光束之該焦點,其中該等高解析度子結構特徵包含高解析度子結構特徵之一個二維陣列。 A patterned device comprising a first pattern for patterning a light beam to form a first target, the first target comprising alternating first and second structures, wherein the patterned device comprises: for forming First structural features of the first structures; and second structural features for forming the second structures, wherein the second structural features are configured such that the forms of the second structures are in focus, Having its form dependent on the focus of the patterned beam when the first target is formed, and the first structural features are configured such that the forms of the first structures do not have the focus of the second structures Dependence of the same focus, Wherein: the first structural features and the second structural features comprise low resolution substructure features for forming a low resolution substructure; and the second structural features and the first structures are The first structural feature in the case where the form is in focus dependence comprises a high resolution substructure feature for forming a high resolution substructure such that the number of high resolution substructures in the first target is and/or The size is dependent on the focus of the patterned beam at the time the first target is formed, wherein the high resolution substructure features comprise a two dimensional array of high resolution substructure features. 如請求項32之圖案化器件,其中該等第一結構特徵經組態成使得該等第一結構之該形式並不有意地相依於在形成該第一目標時的該經圖案化光束之該焦點。 The patterned device of claim 32, wherein the first structural features are configured such that the form of the first structures does not intentionally depend on the patterned beam when the first target is formed focus. 如請求項32之圖案化器件,其中該等第一結構特徵經組態成使得該等第一結構之該形式相依於在形成該第一目標時的該經圖案化光束之該焦點,該焦點相依性不同於該等第二結構之焦點相依性。 The patterned device of claim 32, wherein the first structural features are configured such that the form of the first structures is dependent on the focus of the patterned beam when the first target is formed, the focus The dependency is different from the focus dependence of the second structures. 如請求項34之圖案化器件,其中該等第一結構特徵經組態成使得該焦點相依性針對該等第一結構及該等第二結構而不同,使得一焦點移位造成該等第一結構及該等第二結構之一重心移位,該等移位係在相反方向上。 The patterned device of claim 34, wherein the first structural features are configured such that the focus dependencies are different for the first structures and the second structures such that a focus shift causes the first The structure and one of the second structures are shifted in center of gravity, the shifts being in opposite directions. 如請求項32之圖案化器件,其中該等高解析度子結構特徵包含在垂直於該等低解析度子結構特徵之方向的一方向上延伸之複數個狹長高解析度子結構特徵。 The patterned device of claim 32, wherein the high resolution substructure features comprise a plurality of elongated high resolution substructure features extending in a direction perpendicular to a direction of the low resolution substructure features. 如請求項32之圖案化器件,其中該等高解析度子結構特徵包含平行於該等低解析度子結構特徵而配置之複數個狹長高解析度子結構特徵。 A patterned device as claimed in claim 32, wherein the high resolution substructure features comprise a plurality of narrow high resolution substructure features arranged parallel to the low resolution substructure features. 如請求項32之圖案化器件,其中該複數個高解析度子結構特徵包含具有不同線寬之高解析度子結構特徵。 The patterned device of claim 32, wherein the plurality of high resolution substructure features comprise high resolution substructure features having different line widths. 如請求項38之圖案化器件,其中該複數個高解析度子結構特徵係以自該等低解析度子結構特徵減低線寬之次序而配置。 A patterned device as claimed in claim 38, wherein the plurality of high resolution substructure features are arranged in an order decreasing the line widths from the low resolution substructure features. 如請求項32之圖案化器件,其中該等高解析度子結構特徵各自具有小於50奈米之一線寬。 The patterned device of claim 32, wherein the high resolution substructure features each have a line width of less than 50 nanometers. 如請求項32之圖案化器件,其包含產品特徵及光罩效應特徵,該等光罩效應特徵造成一個三維光罩效應,使得該第一目標係以一最佳焦點而形成,該最佳焦點係自用於該等產品特徵之一最佳焦點偏移。 The patterned device of claim 32, comprising a product feature and a reticle effect feature, the reticle effect feature causing a three-dimensional mask effect such that the first target is formed with a best focus, the best focus Is the best focus offset for one of these product features. 如請求項41之圖案化器件,其中該等光罩效應特徵包含該等高解析度子結構中之一或多者。 The patterned device of claim 41, wherein the reticle effect features comprise one or more of the high resolution substructures. 如請求項32之圖案化器件,其可操作以根據一微影程序而圖案化一光束以形成該第一目標。 A patterned device as claimed in claim 32, operable to pattern a beam of light to form the first target in accordance with a lithography procedure. 如請求項32之圖案化器件,其包含一產品區域及在該產品區域周邊之一切割道區域,其中該等第一結構特徵及該等第二結構特徵位於該切割道區域內,該等第一結構特徵位於該切割道區域之一第一側處,且該等第二結構特徵位於與該產品區域之第一側對置的一側上,使得該等第一結構特徵定位成相對於一單一軸線直接地對置於該等第二結構特徵。 The patterned device of claim 32, comprising a product area and a scribe line area at a periphery of the product area, wherein the first structural features and the second structural features are located within the scribe line area, the A structural feature is located at a first side of the scribe line region, and the second structural features are located on a side opposite the first side of the product region such that the first structural features are positioned relative to one A single axis is directly opposite the second structural feature. 如請求項44之圖案化器件,其中該圖案化器件包含用於圖案化一光束以形成一第二目標之一第二圖案,該第二圖案包含第三結構特徵及第四結構特徵,該等第四結構特徵具有不同於該等第二結構特徵的至少一個參數。 The patterned device of claim 44, wherein the patterned device includes a second pattern for patterning a light beam to form a second target, the second pattern comprising a third structural feature and a fourth structural feature, The fourth structural feature has at least one parameter that is different from the second structural features. 如請求項45之圖案化器件,其中該第二圖案中的該等第三結構特徵相對於該等第四結構特徵之置放相似於該第一圖案中的該 等第一結構特徵相對於該等第二結構特徵之置放。 The patterned device of claim 45, wherein the placement of the third structural features in the second pattern relative to the fourth structural features is similar to the one in the first pattern And placing the first structural feature relative to the second structural feature. 一種基板,其包含一第一目標,該第一目標具有交替之第一結構及第二結構,其中:該第一結構及該第二結構兩者包含一低解析度子結構;且至少該第二結構包含一高解析度子結構,該第一目標中之高解析度子結構之數目及/或大小已藉由用以形成該第一目標之一經圖案化光束之焦點予以判定,其中該等高解析度子結構包含高解析度子結構之一個二維陣列。 A substrate comprising a first target having alternating first and second structures, wherein: the first structure and the second structure both comprise a low resolution substructure; and at least the The second structure includes a high resolution substructure, the number and/or size of the high resolution substructures in the first target being determined by forming a focus of the patterned beam of one of the first targets, wherein The high resolution substructure contains a two dimensional array of high resolution substructures. 如請求項47之基板,其中該等高解析度子結構包含在垂直於該等低解析度子結構之方向的一方向上延伸之複數個狹長高解析度子結構。 The substrate of claim 47, wherein the high resolution substructures comprise a plurality of elongated high resolution substructures extending in a direction perpendicular to a direction perpendicular to the low resolution substructures. 如請求項47之基板,其中該等高解析度子結構包含平行於該等低解析度子結構而配置之複數個狹長高解析度子結構。 The substrate of claim 47, wherein the high resolution substructures comprise a plurality of narrow high resolution substructures configured parallel to the low resolution substructures. 如請求項47至49中任一項之基板,其中該等高解析度子結構包含具有不同線寬之高解析度子結構。 The substrate of any one of claims 47 to 49, wherein the high resolution substructures comprise high resolution substructures having different line widths. 如請求項50之基板,其中該等高解析度子結構係以自該低解析度子結構減低線寬之次序而配置。 The substrate of claim 50, wherein the high resolution substructures are arranged in an order decreasing the line width from the low resolution substructure. 如請求項47至49中任一項之基板,其中該等高解析度子結構各自具有小於50奈米之一線寬。 The substrate of any one of claims 47 to 49, wherein the high resolution substructures each have a line width of less than 50 nm. 如請求項47至49中任一項之基板,其中該第一目標係使用如請求項32至46中任一項之圖案化器件而形成。 The substrate of any one of claims 47 to 49, wherein the first target is formed using the patterned device of any one of claims 32 to 46. 如請求項47至49中任一項之基板,其包含用以監測該微影程序之一監測基板。 The substrate of any one of claims 47 to 49, comprising a monitoring substrate for monitoring one of the lithography procedures. 如請求項47至49中任一項之基板,其進一步包含至少一第二目標,該第二目標包含第三結構及第四結構,該等第四結構具有 不同於該等第二結構的至少一個參數。 The substrate of any one of claims 47 to 49, further comprising at least one second target, the second target comprising a third structure and a fourth structure, the fourth structure having Different from at least one parameter of the second structure. 如請求項55之基板,其中該第二目標中的該等第三結構相對於該等第四結構之置放相似於該第一目標中的該等第一結構相對於該等第二結構之置放。 The substrate of claim 55, wherein the third structures in the second target are placed relative to the fourth structures in a manner similar to the first structures in the first target relative to the second structures Place. 一種微影裝置,其可操作以執行如請求項1至31中任一項之方法。 A lithography apparatus operable to perform the method of any one of claims 1 to 31. 一種包含一機器可讀指令序列之電腦程式,該等機器可讀指令描述如請求項1至31中任一項之方法。 A computer program comprising a sequence of machine readable instructions, such as the method of any one of claims 1 to 31. 一種資料儲存媒體,其中儲存有一如請求項58之電腦程式。 A data storage medium storing a computer program such as claim 58.
TW103142907A 2013-12-17 2014-12-09 Inspection method, lithographic apparatus, mask and substrate TWI575334B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361917041P 2013-12-17 2013-12-17
US201461945656P 2014-02-27 2014-02-27

Publications (2)

Publication Number Publication Date
TW201527901A TW201527901A (en) 2015-07-16
TWI575334B true TWI575334B (en) 2017-03-21

Family

ID=51905115

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105140546A TWI645257B (en) 2013-12-17 2014-12-09 Inspection method, lithographic apparatus, mask and substrate
TW103142907A TWI575334B (en) 2013-12-17 2014-12-09 Inspection method, lithographic apparatus, mask and substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105140546A TWI645257B (en) 2013-12-17 2014-12-09 Inspection method, lithographic apparatus, mask and substrate

Country Status (8)

Country Link
US (2) US10001711B2 (en)
JP (2) JP6334708B2 (en)
KR (2) KR101865641B1 (en)
CN (2) CN105980932B (en)
IL (1) IL246161B (en)
NL (1) NL2013838A (en)
TW (2) TWI645257B (en)
WO (1) WO2015090839A1 (en)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104658942A (en) * 2015-03-13 2015-05-27 合肥京东方光电科技有限公司 Light source brightness adjusting system and light source brightness adjusting method for key size measurement equipment
IL256114B2 (en) 2015-06-12 2023-10-01 Asml Netherlands Bv Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
WO2017016903A1 (en) * 2015-07-30 2017-02-02 Asml Netherlands B.V. Inspection apparatus, inspection method and manufacturing method
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
WO2017055072A1 (en) * 2015-10-02 2017-04-06 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
NL2017739A (en) 2015-11-27 2017-06-07 Asml Netherlands Bv Metrology target, method and apparatus, computer program and lithographic system
EP3391150B1 (en) 2015-12-17 2023-05-10 ASML Netherlands B.V. Optical metrology of lithographic processes using asymmetric sub-resolution features to enhance measurement
CN108369389B (en) * 2015-12-21 2021-06-18 Asml荷兰有限公司 Method for measuring focus performance of lithographic apparatus, patterning device and apparatus, device manufacturing method
JP7117242B2 (en) * 2016-01-11 2022-08-12 ケーエルエー コーポレイション Hotspot and process window monitoring
EP3440511B1 (en) * 2016-04-04 2024-03-06 KLA-Tencor Corporation Process compatibility improvement by fill factor modulation
US10481504B2 (en) * 2016-06-10 2019-11-19 Imec Vzw Method and apparatus for semiconductor manufacturing
KR20210018967A (en) * 2016-07-11 2021-02-18 에이에스엠엘 네델란즈 비.브이. Method and apparatus for determining a fingerprint of a performance parameter
CN109564391A (en) * 2016-07-21 2019-04-02 Asml荷兰有限公司 Measure mesh calibration method, substrate, measurement equipment and lithographic equipment
KR102234406B1 (en) 2016-10-14 2021-03-31 케이엘에이 코포레이션 Diffraction-based focus metrology
DE102017201694A1 (en) * 2017-02-02 2017-11-23 Carl Zeiss Smt Gmbh Method and device for characterizing a wafer structured by at least one lithography step
EP3376290A1 (en) 2017-03-14 2018-09-19 ASML Netherlands B.V. Metrology method and method of device manufacture
US10656535B2 (en) * 2017-03-31 2020-05-19 Imec Vzw Metrology method for a semiconductor manufacturing process
US11131629B2 (en) * 2017-05-26 2021-09-28 Kla-Tencor Corporation Apparatus and methods for measuring phase and amplitude of light through a layer
EP3422102A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3454129A1 (en) * 2017-09-07 2019-03-13 ASML Netherlands B.V. Beat patterns for alignment on small metrology targets
EP3598235A1 (en) 2018-07-18 2020-01-22 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic relating to one or more structures on a substrate
EP3637187A1 (en) * 2018-10-12 2020-04-15 ASML Netherlands B.V. Method for measuring focus performance of a lithographic apparatus
KR102381168B1 (en) 2018-10-30 2022-03-30 케이엘에이 코포레이션 Estimation of asymmetric aberrations
EP3657256A1 (en) * 2018-11-20 2020-05-27 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US10990022B2 (en) * 2018-12-20 2021-04-27 Kla Corporation Field-to-field corrections using overlay targets
KR20220036133A (en) 2020-09-15 2022-03-22 삼성전자주식회사 Euv euv photomask and method of forming a mask pattern using the same
US11460783B2 (en) 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target
EP4202550A1 (en) * 2021-12-22 2023-06-28 ASML Netherlands B.V. Substrate comprising a target arrangement, associated patterning device, lithographic method and metrology method
WO2023183526A1 (en) * 2022-03-24 2023-09-28 Massachusetts Institute Of Technology Controlled delamination through surface engineering for nonplanar fabrication

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110109888A1 (en) * 2008-04-24 2011-05-12 Asml Netherlands B.V. Method and Apparatus for Measuring Line End Shortening, Substrate and Patterning Device
TW201428418A (en) * 2012-11-09 2014-07-16 Kla Tencor Corp Method and system for providing a target design displaying high sensitivity to scanner focus change

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6730444B2 (en) 2001-06-05 2004-05-04 Micron Technology, Inc. Needle comb reticle pattern for critical dimension and registration measurements using a registration tool and methods for using same
JP2006039148A (en) * 2004-07-26 2006-02-09 Toshiba Corp Photomask, focus measuring method using therefor, and manufacturing method of semiconductor device
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7352451B2 (en) * 2004-11-12 2008-04-01 Kla-Tencor Corporation System method and structure for determining focus accuracy
US7532307B2 (en) 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
KR100831680B1 (en) * 2006-12-28 2008-05-22 주식회사 하이닉스반도체 Mask of focus measuring pattern and method for measuring focus values of exposure process by using the same
JP4714162B2 (en) 2007-01-25 2011-06-29 エルピーダメモリ株式会社 Focus monitor mark, focus monitor method, and device manufacturing method
TWI383273B (en) * 2007-11-20 2013-01-21 Asml Netherlands Bv Method of measuring focus of a lithographic projection apparatus and method of calibrating a lithographic projection apparatus
JP2009130065A (en) 2007-11-22 2009-06-11 Canon Inc Exposure apparatus and device manufacturing method
NL1036647A1 (en) * 2008-04-16 2009-10-19 Asml Netherlands Bv A method of measuring a lithographic projection apparatus.
JP5400451B2 (en) * 2008-04-18 2014-01-29 パナソニック株式会社 Negative electrode for lithium primary battery and lithium primary battery
EP2131245A3 (en) 2008-06-02 2012-08-01 ASML Netherlands BV Lithographic apparatus and its focus determination method
US9182682B2 (en) 2008-12-30 2015-11-10 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2006002A (en) 2010-02-19 2011-08-22 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
CN103782238B (en) * 2011-08-31 2016-08-17 Asml荷兰有限公司 Determine the method for focal position correction, photoetching treatment unit and device making method
US9594299B2 (en) 2012-06-22 2017-03-14 Asml Netherlands B.V. Method of determining focus, inspection apparatus, patterning device, substrate and device manufacturing method
US9411223B2 (en) * 2012-09-10 2016-08-09 Globalfoundries Inc. On-product focus offset metrology for use in semiconductor chip manufacturing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110109888A1 (en) * 2008-04-24 2011-05-12 Asml Netherlands B.V. Method and Apparatus for Measuring Line End Shortening, Substrate and Patterning Device
TW201428418A (en) * 2012-11-09 2014-07-16 Kla Tencor Corp Method and system for providing a target design displaying high sensitivity to scanner focus change

Also Published As

Publication number Publication date
JP6560787B2 (en) 2019-08-14
CN108931891B (en) 2020-11-03
TWI645257B (en) 2018-12-21
US20160313656A1 (en) 2016-10-27
KR20180063366A (en) 2018-06-11
US20180253018A1 (en) 2018-09-06
US10001711B2 (en) 2018-06-19
CN108931891A (en) 2018-12-04
US10394137B2 (en) 2019-08-27
IL246161B (en) 2021-01-31
JP2018139005A (en) 2018-09-06
JP6334708B2 (en) 2018-05-30
JP2017502336A (en) 2017-01-19
NL2013838A (en) 2015-06-18
CN105980932B (en) 2018-08-03
KR20160097304A (en) 2016-08-17
TW201527901A (en) 2015-07-16
CN105980932A (en) 2016-09-28
IL246161A0 (en) 2016-07-31
TW201716883A (en) 2017-05-16
WO2015090839A1 (en) 2015-06-25
KR101865641B1 (en) 2018-06-08
KR101982642B1 (en) 2019-05-27

Similar Documents

Publication Publication Date Title
TWI575334B (en) Inspection method, lithographic apparatus, mask and substrate
KR102109059B1 (en) Metrology method, computer product and system
TWI470375B (en) Method and apparatus for determining an overlay error
JP5864752B2 (en) Method for determining focus correction, lithographic processing cell and device manufacturing method
JP5412528B2 (en) Inspection method, inspection system, substrate, and mask
JP6008851B2 (en) Method and apparatus for determining overlay error
TWI470373B (en) Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
JP4896092B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell, and device manufacturing method
TWI410756B (en) Method of determining overlay error and a device manufacturing method
JP5411986B2 (en) Inspection method for lithography
TWI536010B (en) Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
TW201535066A (en) Measuring a process parameter for a manufacturing process involving lithography
KR102109508B1 (en) Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
TWI768259B (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US20230305407A1 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees