TWI531921B - Timing analysis method for digital circuit design and system thereof - Google Patents

Timing analysis method for digital circuit design and system thereof Download PDF

Info

Publication number
TWI531921B
TWI531921B TW104126094A TW104126094A TWI531921B TW I531921 B TWI531921 B TW I531921B TW 104126094 A TW104126094 A TW 104126094A TW 104126094 A TW104126094 A TW 104126094A TW I531921 B TWI531921 B TW I531921B
Authority
TW
Taiwan
Prior art keywords
timing
wafer
information
variation
wafer variation
Prior art date
Application number
TW104126094A
Other languages
Chinese (zh)
Other versions
TW201706888A (en
Inventor
廖登楠
傅得栒
廖信雄
蔡振弘
蔡旻修
Original Assignee
創意電子股份有限公司
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 創意電子股份有限公司, 台灣積體電路製造股份有限公司 filed Critical 創意電子股份有限公司
Priority to TW104126094A priority Critical patent/TWI531921B/en
Application granted granted Critical
Publication of TWI531921B publication Critical patent/TWI531921B/en
Publication of TW201706888A publication Critical patent/TW201706888A/en

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

數位電路設計的時序分析方法及其系統 Timing analysis method and system for digital circuit design

本發明是有關於一種數位積體電路(Integrated circuit;IC)設計的分析及模擬技術,且特別是有關於一種數位電路設計的時序分析(timing analysis)方法及其系統。 The present invention relates to an analysis and simulation technique for a digital integrated circuit (IC) design, and more particularly to a timing analysis method and system for a digital circuit design.

為了簡化數位電路的設計複雜度,使用者可使用數位電路設計程式及其中內建的程式庫(library)模組(model)來設計所需的電路,並將其數位電路設計進行電路的功能驗證,藉以判斷數位電路設計是否能夠順利達到使用者的功能需求。由於電路結構的實現需要考慮相當多的電子電路及電磁特性,例如考量到電路中各個元件的擺放位置、線路長度對於訊號、時序以及電力傳遞的影響…等,因此數位電路會藉由佈線(Auto-Place-Route;APR)工具來進行後續電路相關的實現與驗證。 In order to simplify the design complexity of the digital circuit, the user can use the digital circuit design program and its built-in library model to design the required circuit, and the digital circuit design to verify the function of the circuit. In order to judge whether the digital circuit design can smoothly meet the functional requirements of the user. Since the implementation of the circuit structure requires consideration of a considerable number of electronic circuits and electromagnetic characteristics, such as considering the placement of various components in the circuit, the influence of the line length on the signal, timing, and power transmission, etc., the digital circuit is routed ( Auto-Place-Route; APR) tools for subsequent circuit-related implementation and verification.

為了針對每個數位電路設計進行時序分析,會在閘層級(gate-level)中對每個數位電路設計依照其電路結構以及變化性(variation)以訊號模擬的方式來獲得關於延遲(delay)及時序 驗證(timing checking)的時序參數,這些時序參數可以組成多個時序弧線(timing arc)。藉此,佈線工具便可僅藉由這些時序弧線來分析電路設計的時序模型,而不用得知整個電路架構及元件位置。這些特定的時序參數的集合信息被稱為是擷取時序模型(extracted timing model;ETM)。上述變異的來源可以包括製造變異、裝置疲勞、環境變異、鎖相迴路變異…等。然而,無論變異的分類為何,這些變異的來源顯然會使數位電路設計的分析及模擬更為困難,因此必須在時序分析期間將這些變異多加精確考慮。 In order to perform timing analysis for each digital circuit design, each digital circuit design is obtained in a gate-level manner according to its circuit structure and variation by signal simulation to obtain delay and timely. sequence Timing parameters of timing checking, which may constitute multiple timing arcs. In this way, the routing tool can analyze the timing model of the circuit design only by using these timing arcs, without knowing the entire circuit architecture and component position. The set information of these specific timing parameters is called an extracted timing model (ETM). Sources of such variations may include manufacturing variations, device fatigue, environmental variability, phase-locked loop variations, and the like. However, regardless of the classification of the mutations, the sources of these variations clearly make the analysis and simulation of digital circuit design more difficult, so these variations must be accurately considered during the time series analysis.

以往擷取時序模型(ETM)的產生流程是將每個電路設計(例如,單個智慧產權設計(IP design)元件)中的每個工作模式皆分別產生不同的ETM,並對每個ETM依照其晶片變異進行增補調校(derating),使得每個電路設計可能會對應到多個ETM。由於佈線工具必須在內建自我測試(built-in self-test,BIST)階段或功能(funciton)驗證階段考慮此電路設計的時序驗證是否符合使用者需求,因此必須將每個工作模式下的ETM提供給佈線工具以作為參考。然而,目前所知的佈線工具皆無法讀入單個電路設計中完整的所有ETM,並且僅能藉由第一個讀取到的ETM作為此電路設計的參考,而無法考慮到在其他ETM中的時序數據。換句話說,目前的佈線工具無法完全分析單個電路設計中所有ETM的時序數據。 The previous generation of timing model (ETM) generation process is to generate different ETMs for each working mode in each circuit design (for example, a single IP design component), and to follow each ETM according to its The wafer variations are derating, so that each circuit design may correspond to multiple ETMs. Since the routing tool must consider whether the timing verification of this circuit design meets the user requirements during the built-in self-test (BIST) phase or the funciton verification phase, ETM must be used for each operating mode. Provide the wiring tool for reference. However, currently known wiring tools cannot read all the complete ETMs in a single circuit design, and can only be used as a reference for this circuit design by the first read ETM, but cannot be considered in other ETMs. Time series data. In other words, current routing tools cannot fully analyze the timing data of all ETMs in a single circuit design.

因此,如何有效地讓佈線工具能順利地依照單個電路設 計在不同工作模式中所對應的多個ETM來進行電路分析,便是數位電路設計技術中一直存在的問題。 Therefore, how to effectively make the wiring tool smoothly follow a single circuit design Circuit analysis is performed by counting multiple ETMs corresponding to different operating modes, which is a problem that has always existed in digital circuit design techniques.

本發明提供一種數位電路設計的時序分析方法及系統,藉由整合單個電路設計中多個工作模式所對應的多個擷取時序模型,以大幅減少後端佈線工具所讀入的時序模型數量,增加佈線工具在進行靜態時序分析的效率及準確性。 The invention provides a timing analysis method and system for digital circuit design, which can reduce the number of time series models read by the back end wiring tool by integrating multiple capture timing models corresponding to multiple working modes in a single circuit design. Increase the efficiency and accuracy of the routing tool for static timing analysis.

本發明提出一種數位電路設計的時序分析方法,其包括下列步驟:獲得積體電路設計,其中此積體電路設計運作於多個工作模式;針對此積體電路設計的這些工作模式分別產生多個擷取時序模型,其中每個擷取時序模型包括非晶片變異部分以及晶片變異部分;將這些工作模式對應的這些擷取時序模型整合為非晶片變異時序模型以及晶片變異時序模型,其中在產生此非晶片變異時序模型時不考慮這些工作模式的晶片變異部分;以及,依據此非晶片變異時序模型以及此晶片變異時序模型來模擬此積體電路設計的時序驗證。 The invention provides a timing analysis method for digital circuit design, which comprises the following steps: obtaining an integrated circuit design, wherein the integrated circuit design operates in multiple working modes; and the working modes for the integrated circuit design respectively generate multiple Extracting a time series model, wherein each of the captured time series models includes a non-wafer variation portion and a wafer variation portion; integrating the capture timing models corresponding to the operation modes into a non-wafer variation timing model and a wafer variation timing model, wherein the generation The non-wafer variation timing model does not consider the wafer variation portion of these working modes; and, based on the non-wafer variation timing model and the wafer variation timing model, simulates the timing verification of the integrated circuit design.

在本發明的一實施例中,上述的非晶片變異部分包括邏輯閘延遲分析信息組(logic gate delay analysis information set)以及時序弧線檢驗信息組(timing arc verification information set)。上述的晶片變異部分包括晶片設定調校信息(chip setup derating information)以及晶片保持調校信息(chip hold derating information)。所述邏輯閘延遲分析信息組包括至少一個組合電路元件延遲信息(combinational cell delay message)、至少一個循序電路元件延遲信息(sequential cell delay message)以及時脈頻寬信息(pulse width message)。邏輯閘延遲分析信息組及時序弧線檢驗信息組不包括有關於晶片變異的信號設定邊界因子(signal setup margin factor)以及信號保持邊界因子(signal hold margin factor)。 In an embodiment of the invention, the non-wafer variation portion includes a logic gate delay analysis information set and a timing arc verification information set. The above-mentioned wafer variation portion includes chip setup derating information and chip hold derating information (chip hold derating) Information). The logic gate delay analysis information group includes at least one combined circuit element delay message, at least one sequential cell delay message, and a pulse width message. The logic gate delay analysis information group and the timing arc test information group do not include a signal setup margin factor and a signal hold margin factor regarding wafer variation.

在本發明的一實施例中,上述的晶片設定調校信息包括一晶片設定邊界信息(chip setup margin message)以考量晶片變異。晶片保持調校信息包括一晶片保持邊界信息(chip hold margin message)以考量晶片變異。晶片設定邊界信息與晶片保持邊界信息可分別使用不同的晶片變異調校因子(on-chip variation derating factors)來進行晶片變異的增補調校。 In an embodiment of the invention, the wafer setup adjustment information includes a chip setup margin message to consider wafer variation. The wafer hold calibration information includes a chip hold margin message to account for wafer variations. The wafer setting boundary information and the wafer holding boundary information can be supplemented and adjusted by using different on-chip variation derating factors, respectively.

在本發明的一實施例中,分別產生這些擷取時序模型可包括下列步驟:在產生所述非晶片變異時序模型時,不考慮所述晶片設定調校信息以及所述晶片保持調校信息。 In an embodiment of the invention, generating the capture timing models separately may include the step of disregarding the wafer setting adjustment information and the wafer retention calibration information when generating the non-wafer variation timing model.

在本發明的一實施例中,分別產生該些擷取時序模型可包括下列步驟:採用全域晶片變異增補調校技術(global on-chip variation supplement derating technique)以產生所述擷取時序模型。 In an embodiment of the invention, generating the capture timing models separately may include the step of using a global on-chip variation supplement derating technique to generate the capture timing model.

在本發明的一實施例中,模擬所述積體電路設計的時序驗證可包括下列步驟:將所述非晶片變異時序模型以及所述晶片 變異時序模型匯入佈線工具以進行靜態時序分析流程(static timing analysis)。 In an embodiment of the invention, simulating the timing verification of the integrated circuit design may include the steps of: the non-wafer variation timing model and the wafer The variant timing model is imported into the routing tool for static timing analysis.

在本發明的一實施例中,模擬所述積體電路設計的時序驗證更可包括下列步驟:將關於晶片變異的信號設定邊界因子以及信號保持邊界因子匯入所述佈線工具以進行靜態時序分析流程。 In an embodiment of the invention, simulating the timing verification of the integrated circuit design may further comprise the steps of: importing a signal setting boundary factor and a signal holding boundary factor for wafer variation into the wiring tool for static timing analysis. Process.

在本發明的一實施例中,上述的所有擷取時序模型皆採用相同的程式庫(library corner)來產生。 In an embodiment of the invention, all of the above-described capture timing models are generated using the same library corner.

從另一角度來看,本發明提出一種數位電路設計的時序分析系統,其適用於電腦裝置。此時序分析系統包括傳輸模組、時序擷取模組、時序模型整合模組以及時序分析模組。傳輸模組用以接收積體電路設計,其中所述積體電路設計運作於多個工作模式。時序擷取模組用以針對所述積體電路設計的這些工作模式分別產生多個擷取時序模型,其中每個擷取時序模型包括非晶片變異部分以及晶片變異部分。時序模型整合模組用以將這些工作模式對應的這些擷取時序模型整合為一非晶片變異時序模型以及一晶片變異時序模型,其中在產生此非晶片變異時序模型時不考慮這些工作模式的晶片變異部分。時序分析模組依據此非晶片變異時序模型以及此晶片變異時序模型來模擬此積體電路設計的時序驗證。 From another point of view, the present invention proposes a timing analysis system for digital circuit design that is suitable for use in a computer device. The timing analysis system includes a transmission module, a timing acquisition module, a timing model integration module, and a timing analysis module. The transmission module is configured to receive an integrated circuit design, wherein the integrated circuit is designed to operate in a plurality of operating modes. The timing capture module is configured to generate a plurality of capture timing models for each of the working modes of the integrated circuit design, wherein each of the captured timing models includes a non-wafer variation portion and a wafer variation portion. The timing model integration module is configured to integrate the captured timing models corresponding to the working modes into a non-wafer variation timing model and a wafer variation timing model, wherein the wafers of the working modes are not considered when generating the non-wafer variation timing model Variation part. The timing analysis module simulates the timing verification of the integrated circuit design based on the non-wafer variation timing model and the wafer variation timing model.

本數位電路設計的時序分析系統之其餘實施細節請參照上述說明,在此不加贅述。 Please refer to the above description for the remaining implementation details of the timing analysis system of this digital circuit design, and will not be described here.

從另一角度來看,本發明提出一種電腦可讀取儲存媒體,用以儲存電腦程式,此電腦程式用以載入至電腦系統中並且使得電腦系統執行如上述之數位電路設計的時序分析方法。 From another point of view, the present invention provides a computer readable storage medium for storing a computer program for loading into a computer system and causing the computer system to perform a timing analysis method such as the above-described digital circuit design. .

基於上述,本發明實施例將單個數位電路設計中多個工作模式所對應的多個擷取時序模型(ETM)進行整合以形成兩個特殊的擷取時序模型(亦即,非晶片變異時序模型(NOCV ETM)以及晶片變異時序模型(OCV ETM)),並將這兩個擷取時序模型匯入佈線工具以進行後續的靜態時序分析。特別的是,此NOCV ETM雖有考慮晶片訊號的設定信息,但並不考慮有關於晶片變異的邊界變異因子,使得此數位電路設計中每個工作模式的時序弧線(timing arc)能藉由NOCV ETM以及OCV ETM即可完整呈現在佈線工具的靜態時序分析中。換句話說,本案發明實施例可大幅減少後端佈線工具所讀入的時序模型數量,並增加佈線工具在進行靜態時序分析的效率。 Based on the above, embodiments of the present invention integrate multiple capture timing models (ETMs) corresponding to multiple working modes in a single digital circuit design to form two special acquisition timing models (ie, non-wafer variation timing models). (NOCV ETM) and the Chip Variation Timing Model (OCV ETM), and the two captured timing models are imported into the routing tool for subsequent static timing analysis. In particular, although the NOCV ETM considers the setting information of the chip signal, it does not consider the boundary variation factor of the wafer variation, so that the timing arc of each working mode in the digital circuit design can be controlled by NOCV. ETM and OCV ETM are fully presented in the static timing analysis of the routing tool. In other words, the inventive embodiment of the present invention can greatly reduce the number of timing models read by the backend routing tool and increase the efficiency of the routing tool in performing static timing analysis.

為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。 The above described features and advantages of the invention will be apparent from the following description.

FUNC‧‧‧功能模式 FUNC‧‧‧ functional mode

BIST‧‧‧自我測試模式 BIST‧‧‧ self-test mode

NOCV1、NOCV2‧‧‧非晶片變異時序模型 NOCV1, NOCV2‧‧‧ non-wafer variation time series model

OCV1、OCV2‧‧‧晶片變異時序模型 OCV1, OCV2‧‧‧ wafer variation timing model

ETM、ETM1、ETM2‧‧‧擷取時序模型 ETM, ETM1, ETM2‧‧‧撷 Time Series Model

210‧‧‧非晶片變異時序模型(NOCV ETM) 210‧‧‧Non-Chip Variant Timing Model (NOCV ETM)

220‧‧‧晶片變異時序模型(OCV ETM) 220‧‧‧ wafer variation timing model (OCV ETM)

300‧‧‧時序分析系統 300‧‧‧Time Analysis System

310‧‧‧傳輸模組 310‧‧‧Transmission module

320‧‧‧時序擷取模組 320‧‧‧Sequence capture module

330‧‧‧時序模型整合模組 330‧‧‧Time Series Model Integration Module

340‧‧‧時序分析模組 340‧‧‧Time Series Analysis Module

S410~S440‧‧‧步驟 S410~S440‧‧‧Steps

圖1是一種具備不同工作模式的數位電路設計以及相應ETM的示意圖。 Figure 1 is a schematic diagram of a digital circuit design with different operating modes and corresponding ETM.

圖2是依照本發明實施例所述之一種具備不同工作模式的數 位電路設計以及相應ETM的示意圖。 2 is a number of different working modes according to an embodiment of the invention. Bit circuit design and schematic diagram of the corresponding ETM.

圖3是依照本發明實施例所述之數位電路設計的時序分析系統的方塊圖。 3 is a block diagram of a timing analysis system for digital circuit design in accordance with an embodiment of the present invention.

圖4是依照本發明實施例所述之數位電路設計的時序分析方法的流程圖。 4 is a flow chart of a timing analysis method for a digital circuit design according to an embodiment of the invention.

圖5是依照本發明實施例所述之擷取時序模型中各個信息組的示意圖。 FIG. 5 is a schematic diagram of each information group in a captured time series model according to an embodiment of the invention.

時序擷取模組(Extracted timing model;ETM)是從晶片的閘層級(gate-level)電路圖(netlist)所產生的時序模型及自主文件(liberty file)。ETM具備與晶片的電路圖相同的時序行為,而ETM的資料大小遠小於電路圖的資料大小,且ETM可被用來代替階層式(hierarchical)時序分析中的電路圖。ETM的弧線延遲(arc delay)在ETM中具備各種弧線類型,且此些弧線延遲隨著電路圖的輸入轉換(input transition)及輸出負載(output load)而變化。ETM是利用STA分析工具依據區塊(block)的電路圖、第三方程式庫(third(3rd)party library)以及其他限制所產生,其中,STA分析工具僅擷取界面邏輯(interface logic)的時序。一般來說,電路圖通常具有循序電路(sequential circuit)以及組合電路(combinational circuit)。針對ETM,循序電路具有在輸入資料埠(input data port)與時脈腳位(clock pin)之間的時序驗證 (timing checking)(如,設定(setup)、保持(hold)、時脈閘控設定(clock gating setup)、時脈閘控保持(clock gating hold)、回復(recovery)以及移除(removal)),以及從時脈腳位到輸出資料埠的延遲(如,最小循序延遲(minimum sequential delay)以及最大循序延遲(maximum sequential delay))。針對ETM,組合電路具有從輸入埠到輸出埠的延遲(如,最小組合延遲(minimum combinational delay)以及最大組合延遲(maximum combinational delay))。 The Extracted Timing Model (ETM) is a timing model and a liberty file generated from a gate-level circuit map of the wafer. The ETM has the same timing behavior as the circuit diagram of the chip, and the ETM data size is much smaller than the data size of the circuit diagram, and the ETM can be used instead of the circuit diagram in the hierarchical timing analysis. ETM's arc delay has various arc types in the ETM, and these arc delays vary with the input transition and output load of the circuit diagram. ETM is generated by using STA analysis tools based on block diagrams, third-party libraries (third (3 rd ) party library), and other restrictions. The STA analysis tool only captures the timing of interface logic. . In general, circuit diagrams typically have a sequential circuit and a combinational circuit. For ETM, the sequential circuit has timing checking (eg, setup, hold, clock gating) between the input data port and the clock pin. Clock gating setup, clock gating hold, recovery, and removal, and delays from the clock pin to the output data (eg, minimum sequence delay (minimum) Sequential delay) and maximum sequential delay. For ETM, the combining circuit has a delay from input 埠 to output ( (eg, minimum combinational delay and maximum combinational delay).

由於以往在產生數位電路設計的ETM時,必須考量非晶片變異及晶片變異。針對晶片變異,設定與保持調校因子可以是不同的。因此每個工作模式會有至少三個ETM:非晶片變異ETM、晶片變異設定ETM以及晶片變異保持ETM。當工作模式增加,會產生更多的ETM。在佈線工具讀入所有ETM時,佈線工具無法完整地分析在單個電路設計中所有ETM的時序數據。 Non-wafer variations and wafer variations must be considered in the past when generating ETM for digital circuit design. The set and hold tuning factors can be different for wafer variations. Therefore, there are at least three ETMs for each mode of operation: non-wafer variation ETM, wafer variation setting ETM, and wafer variation retention ETM. When the working mode is increased, more ETM will be generated. When the routing tool reads in all ETMs, the routing tool cannot fully analyze the timing data of all ETMs in a single circuit design.

另一方面,目前的數位電路大部分都會因設計需求而具備多種工作模式。例如,圖1是一種具備不同工作模式的數位電路設計以及相應ETM的示意圖。數位電路通常會具備正常運作的功能模式FUNC以及在晶片測試階段或是驗證階段所需要的自我測試模式(built-in self-test;BIST)。於其他實施例中,數位電路也可依照其需求而具備更多個工作模式。上述這些工作模式的資料路徑因其功能的不同而互不相同,使得同一個數位電路設計在不同工作模式時的ETM大不相同。例如,第一擷取時序模型ETM1 是對應此數位電路的功能模式FUNC而產生,第一擷取時序模型ETM1則是由第一非晶片變異部分NOCV1及第一晶片變異部分OCV1所組成;第二擷取時序模型ETM2則是對應此數位電路的自我測試模式BIST而產生,第二擷取時序模型ETM2則是由第二非晶片變異部分NOCV2及第二晶片變異部分OCV2所組成。在進行時序分析時,佈線工具會將不同工作模式時的每個擷取時序模型ETM1及ETM2視作不同的數位電路來進行分析,導致目前的佈線工具無法讀入單個數位電路設計在不同工作模式下全部、完整的ETM。值得提及的是,此數位電路的功能模式FUNC以及自我測試模式僅為本發明實施例的範例。在本發明另一實施例中,ETM可藉由此數位電路的掃描模式、聯合測試工作群組(Joint Test Action Group;JTAG)模式及/或IP模式而產生。 On the other hand, most of today's digital circuits have multiple modes of operation due to design requirements. For example, Figure 1 is a schematic diagram of a digital circuit design with different operating modes and corresponding ETM. The digital circuit usually has a function mode FUNC that operates normally and a built-in self-test (BIST) that is required during the wafer test or verification phase. In other embodiments, the digital circuit can also have more modes of operation according to its needs. The data paths of these working modes are different from each other due to their different functions, so that the ETM of the same digital circuit design is different in different working modes. For example, the first capture timing model ETM1 Corresponding to the functional mode FUNC of the digital circuit, the first acquisition timing model ETM1 is composed of the first non-wafer variation portion NOCV1 and the first wafer variation portion OCV1; the second acquisition timing model ETM2 corresponds to this The self-test mode BIST of the digital circuit is generated, and the second acquisition timing model ETM2 is composed of the second non-wafer variation portion NOCV2 and the second wafer variation portion OCV2. During the timing analysis, the routing tool treats each of the captured timing models ETM1 and ETM2 in different operating modes as different digital circuits, resulting in the current routing tool not being able to read into a single digital circuit design in different operating modes. All the complete ETM. It is worth mentioning that the functional mode FUNC and the self-test mode of the digital circuit are only examples of the embodiments of the present invention. In another embodiment of the present invention, the ETM can be generated by a scan mode of the digital circuit, a Joint Test Action Group (JTAG) mode, and/or an IP mode.

本發明實施例便將單個數位電路設計中多個工作模式所對應的多個擷取時序模型(ETM)進行整合以形成兩個特殊的擷取時序模型(亦即,非晶片變異時序模型(NOCV ETM)210以及晶片變異時序模型(OCV ETM)220),並將這兩個擷取時序模型匯入佈線工具以進行後續的靜態時序分析。圖2是依照本發明實施例所述之一種具備不同工作模式的數位電路設計以及相應ETM的示意圖。圖1與圖2實施例的不同之處在於,本發明實施例會將第一非晶片變異部分NOCV1以及第二非晶片變異部分NOCV2進行整合以形成特殊的非晶片變異時序模型NOCV ETM 210;第一晶片變異部分OCV1以及第二晶片變異部分OCV2進行整合以 形成特殊的晶片變異時序模型OCV ETM 220。值得提及的是,此NOCV ETM 210雖有考慮晶片訊號的設定信息,但並不考慮有關於晶片變異的邊界變異因子。如此一來,此數位電路設計中每個工作模式的時序弧線(timing arc)便能藉由這兩個NOCV ETM 210以及OCV ETM 220而完整呈現在佈線工具的靜態時序分析中。另一方面,有關於晶片變異的邊界變異因子則可另外讓佈線工具讀入,以進行更為詳細且完整的靜態時序分析流程。藉此,便可減少具備多個工作模式的數位電路設計的ETM數量,亦可簡化靜態時序分析流程的操作。以下將詳細說明符合上述揭示的相應實施例。 Embodiments of the present invention integrate multiple capture timing models (ETMs) corresponding to multiple operating modes in a single digital circuit design to form two special acquisition timing models (ie, non-wafer variation timing models (NOCV) ETM) 210 and Chip Variation Timing Model (OCV ETM) 220), and the two captured timing models are imported into the routing tool for subsequent static timing analysis. 2 is a schematic diagram of a digital circuit design with different operating modes and corresponding ETMs in accordance with an embodiment of the invention. 1 is different from the embodiment of FIG. 2 in that the first non-wafer variation portion NOCV1 and the second non-wafer variation portion NOCV2 are integrated to form a special non-wafer variation timing model NOCV ETM 210; The wafer variation portion OCV1 and the second wafer variation portion OCV2 are integrated to A special wafer variation timing model OCV ETM 220 is formed. It is worth mentioning that although the NOCV ETM 210 considers the setting information of the wafer signal, it does not consider the boundary variation factor regarding the wafer variation. In this way, the timing arc of each working mode in the digital circuit design can be fully presented in the static timing analysis of the wiring tool by the two NOCV ETM 210 and OCV ETM 220. On the other hand, the boundary variation factor for wafer variation allows the routing tool to be read in for a more detailed and complete static timing analysis process. This reduces the number of ETMs in a digital circuit design with multiple operating modes and simplifies the operation of the static timing analysis process. Corresponding embodiments consistent with the above disclosure will be described in detail below.

圖3是依照本發明實施例所述之數位電路設計的時序分析系統300的方塊圖。圖4是依照本發明實施例所述之數位電路設計的時序分析方法的流程圖。本發明實施例所述之數位電路設計的時序分析方法及其系統主要適用於電腦裝置中。換句話說,數位電路設計的時序分析技術是藉由電腦裝置的核心處理器、記憶體以及相關硬體來實現。於本實施例中,時序分析系統300可包括傳輸模組310、時序擷取模組320、時序模型整合模組330以及時序分析模組340。上述這些模組310~340可以藉由由指令組成的軟體來實現,也可以藉由一或多個韌體或硬體處理器來相互架構而成。 3 is a block diagram of a timing analysis system 300 for digital circuit design in accordance with an embodiment of the present invention. 4 is a flow chart of a timing analysis method for a digital circuit design according to an embodiment of the invention. The timing analysis method and system for the digital circuit design described in the embodiments of the present invention are mainly applicable to a computer device. In other words, the timing analysis technology of digital circuit design is realized by the core processor, memory and related hardware of the computer device. In this embodiment, the timing analysis system 300 can include a transmission module 310, a timing acquisition module 320, a timing model integration module 330, and a timing analysis module 340. The modules 310-340 may be implemented by software consisting of instructions, or may be interconnected by one or more firmware or hardware processors.

請同時參照圖3及圖4,於步驟S410中,傳輸模組310用以接收一積體電路設計。此積體電路設計可運作於多個工作模 式。於本實施例中,此積體電路設計可以是用以描述各個邏輯閘擺設位置的電路圖(net-list)檔案。此積體電路設計也可以是電路或是由第三方智慧產權(IP)元件的電路元件所組成。於步驟S420中,時序擷取模組320可針對此積體電路設計的所有工作模式分別產生多個擷取時序模型。換句話說,時序擷取模組320將會針對此積體電路設計的各個工作模式來分別產生對應的擷取時序模型ETM。當積體電路設計的工作模式的數量越多的時候,擷取時序模型ETM的相應數量也會增加。於本實施例中,這些ETM皆採用相同的程式庫(library corner)來產生。 Referring to FIG. 3 and FIG. 4 simultaneously, in step S410, the transmission module 310 is configured to receive an integrated circuit design. This integrated circuit design can operate in multiple working modes formula. In this embodiment, the integrated circuit design may be a net-list file for describing the position of each logic gate. The integrated circuit design can also be a circuit or a circuit component made up of third party intellectual property (IP) components. In step S420, the timing capture module 320 can generate a plurality of capture timing models for all working modes of the integrated circuit design. In other words, the timing capture module 320 will generate corresponding capture timing models ETM for each of the operational modes of the integrated circuit design. When the number of working modes of the integrated circuit design is increased, the corresponding number of the timing model ETM is also increased. In this embodiment, these ETMs are all generated using the same library corner.

在此詳加說明擷取時序模型ETM以及其中的各個信息組,應用本實施例者應可從下述描述中得知擷取時序模型ETM的定義以及信息組的分類,但本發明實施例並不僅受限於此。圖5是依照本發明實施例所述之擷取時序模型ETM中各個信息組的示意圖。於本實施例中,每個擷取時序模型ETM皆包括非晶片變異部分510以及晶片變異部分520。非晶片變異部分510包括邏輯閘延遲分析信息組512、時序弧線檢驗信息組514以及最小週期限制(minimum period(MP)constraints)。邏輯閘延遲分析信息組512中的這些信息主要是基於邏輯閘的閘延遲而產生的信息,這些信息例如包括至少一個組合電路元件(combinational cell)延遲信息、至少一個循序電路元件(sequential cell)延遲信息以及一時脈頻寬(pulse_width)信息。至少一個組合電路元件延遲信息例如是用來描述組合電路的最大組合電路元件延遲 (max_comb_delay)信息以及最小組合電路元件延遲(min_comb_delay)信息。至少一個循序電路元件延遲信息例如是用來描述循序電路的最大循序電路元件延遲(max_seg_delay)信息以及最小循序電路元件延遲(min_seg_delay)信息。詳細來說,max_comb_delay是電路圖中從組合電路的輸入埠到組合電路的輸出埠的最大延遲弧線信息,min_comb_delay是電路圖中從組合電路的輸入埠到組合電路的輸出埠的最小延遲弧線信息。max_seg_delay是電路圖中從循序電路的時脈腳位到輸出埠的最大延遲弧線信息,min_seg_delay是從循序電路的時脈腳位到輸出埠的最小延遲弧線信息。最小週期限制也是為了擷取時序模型ETM的時脈腳位而定義。 The timing model ETM and the various information groups therein are described in detail herein. The application of the present embodiment should be able to know the definition of the acquisition timing model ETM and the classification of the information group from the following description, but the embodiment of the present invention Not only is this limited. FIG. 5 is a schematic diagram of each information group in a time series model ETM according to an embodiment of the invention. In the present embodiment, each of the captured timing models ETM includes a non-wafer variation portion 510 and a wafer variation portion 520. The non-wafer variation portion 510 includes a logic gate delay analysis information group 512, a timing arc inspection information group 514, and a minimum period (MP) constraint. The information in the logic gate delay analysis information set 512 is primarily information generated based on the gate delay of the logic gate, such information including, for example, at least one combined circuit element delay information, at least one sequential circuit delay Information and pulse_width information. At least one combined circuit element delay information is, for example, used to describe the maximum combined circuit element delay of the combined circuit (max_comb_delay) information and minimum combined circuit element delay (min_comb_delay) information. The at least one sequential circuit element delay information is, for example, information describing maximum sequential circuit element delay (max_seg_delay) and minimum sequential circuit element delay (min_seg_delay) information of the sequential circuit. In detail, max_comb_delay is the maximum delay arc information in the circuit diagram from the input 组合 of the combining circuit to the output 组合 of the combining circuit, and min_comb_delay is the minimum delay arc information in the circuit diagram from the input 组合 of the combining circuit to the output 埠 of the combining circuit. Max_seg_delay is the maximum delay arc information from the clock pin of the sequential circuit to the output port in the circuit diagram. min_seg_delay is the minimum delay arc information from the clock pin of the sequential circuit to the output port. The minimum period limit is also defined to capture the timing pin of the timing model ETM.

時序弧線檢驗信息組514中的信息包括設定弧線信息setup1、回復弧線信息recovery1、保持弧線信息hold1、移除弧線信息removal1、時脈閘控設定弧線信息clock_gating_setup1以及時脈閘控保持弧線信息clock_gating_hold1。設定弧線信息setup1、回復弧線信息recovery1、保持弧線信息hold1、移除弧線信息removal1、時脈閘控設定弧線信息clock_gating_setup1以及時脈閘控保持弧線信息clock_gating_hold1是為了電路圖中連接於循序電路的主要輸入埠與循序電路的時脈腳位之間的時序驗證而定義的。這些非晶片變異部分510中的信息並非由晶片變異所造成,而可能是由於其電路結構本身的邏輯閘所造成。 The information in the timing arc check information group 514 includes setting arc information setup1, return arc information recovery1, hold arc information hold1, removal arc information removal1, clock gating setting arc information clock_gating_setup1, and clock gating holding arc information clock_gating_hold1. Set arc information setup1, return arc information recovery1, hold arc information hold1, remove arc information remove1, clock gating set arc information clock_gating_setup1, and clock gating hold arc information clock_gating_hold1 for the main input connected to the sequential circuit in the circuit diagram埠Defined by timing verification between the clock pin of the sequential circuit. The information in these non-wafer variants 510 is not caused by wafer variations, but may be due to the logic gates of its circuit structure itself.

晶片變異部分520中的信息的產生則是由於在半導體製 程上的漂移而會對於部分信息有所影響。例如,晶片變異部分520包括晶片設定調校信息522以及晶片保持調校信息524。晶片設定調校信息522中的信息至少包括設定弧線信息setup2、回復弧線信息recovery2、時脈閘控設定弧線信息clock_gating_setup2。設定弧線信息setup2、回復弧線信息recovery2以及時脈閘控設定弧線信息clock_gating_setup2是為了電路圖中連接於循序電路的主要輸入埠與循序電路的時脈腳位之間的時序驗證而定義的。晶片保持調校信息524中的信息則至少包括保持弧線信息hold2、移除弧線信息removal2以及時脈閘控保持弧線信息clock_gating_hold2。保持弧線信息hold2、移除弧線信息removal2以及時脈閘控保持弧線信息clock_gating_hold2是為了電路圖中連接於循序電路的主要輸入埠與循序電路的時脈腳位之間的時序驗證而定義的。 The information in the wafer variation portion 520 is generated due to the semiconductor system. The drift of the process will affect some of the information. For example, the wafer variation portion 520 includes wafer setting adjustment information 522 and wafer retention adjustment information 524. The information in the wafer setting adjustment information 522 includes at least the set arc information setup2, the return arc information recovery2, and the clock gate setting arc information clock_gating_setup2. The arc information setup2, the return arc information recovery2, and the clock gating setting arc information clock_gating_setup2 are defined for timing verification between the main input port of the sequential circuit and the clock pin of the sequential circuit in the circuit diagram. The information in the wafer hold calibration information 524 includes at least the hold arc information hold2, the removal arc information removal2, and the clock gating hold arc information clock_gating_hold2. The hold arc information hold2, the remove arc information remove2, and the clock gating hold arc information clock_gating_hold2 are defined for timing verification between the main input port of the sequential circuit and the clock pin of the sequential circuit in the circuit diagram.

然而,本發明實施例為了使這些不同工作模式下的ETM能夠易於相互整合,因此本發明實施例的邏輯閘延遲分析信息組512及時序弧線檢驗信息組514可以不包括有關於晶片變異的信號設定邊界因子以及信號保持邊界因子。相對地,本實施例的晶片設定調校信息522則可包括上述的晶片設定邊界信息以考量晶片變異,且晶片保持調校信息524亦可包括上述晶片保持邊界信息以考量晶片變異。上述的晶片設定調校信息522與晶片保持調校信息524可以分別使用不同的晶片變異調校因子(on-chip variation derating factor)來進行晶片變異的增補調校(derating)。 However, in the embodiment of the present invention, the logic gate delay analysis information group 512 and the sequence arc check information group 514 of the embodiment of the present invention may not include signal setting regarding wafer variation, in order to enable ETMs in these different operation modes to be easily integrated with each other. The boundary factor and the signal maintain the boundary factor. In contrast, the wafer setting adjustment information 522 of the present embodiment may include the above-described wafer setting boundary information to consider the wafer variation, and the wafer retention adjustment information 524 may also include the above-described wafer holding boundary information to consider the wafer variation. The wafer setting adjustment information 522 and the wafer retention adjustment information 524 described above may be subjected to additional deraging of wafer variations using different on-chip variation derating factors.

請繼續參考圖4並配合圖5,於步驟S430中,圖3的時序模型整合模組330可將此數位電路設計中多個工作模式對應的這些擷取時序模型ETM及其中的信息組來整合為非晶片變異時序模型NOCV ETM 210以及晶片變異時序模型OCV ETM 220。特別提及的是,時序模型整合模組330在產生NOCV ETM 210時是不考慮這些工作模式的晶片變異部分520。換句話說,NOCV ETM 210的產生主要考慮非晶片變異部分510的邏輯閘延遲分析信息組512以及時序弧線檢驗信息組514,但不考慮晶片設定調校信息522、晶片保持調校信息組524、因晶片變異而產生的信號設定邊界因子以及信號保持邊界因子。 With reference to FIG. 4 and FIG. 5, in step S430, the timing model integration module 330 of FIG. 3 can integrate the captured timing models ETM corresponding to the multiple working modes in the digital circuit design and the information groups therein. The non-wafer variation timing model NOCV ETM 210 and the wafer variation timing model OCV ETM 220. It is specifically mentioned that the timing model integration module 330 is a wafer variation portion 520 that does not consider these operating modes when generating the NOCV ETM 210. In other words, the generation of the NOCV ETM 210 mainly considers the logic gate delay analysis information group 512 and the timing arc inspection information group 514 of the non-wafer variation portion 510, but does not consider the wafer setting adjustment information 522, the wafer retention adjustment information group 524, Signals due to wafer variations set boundary factors and signal retention boundary factors.

特別說明的是,於本實施例中,時序擷取模組320以及時序模型整合模組330可以使用全域型晶片變異增補調校技術來產生或整合這些ETM,而不是在靜態時序分析時才整合這些ETM。 In particular, in this embodiment, the timing capture module 320 and the timing model integration module 330 can use the global chip variation supplement adjustment technique to generate or integrate these ETMs instead of integrating them in static timing analysis. These ETMs.

於步驟S440中,圖3的時序分析模組340依據NOCV ETM 210以及OCV ETM 220來模擬此積體電路設計的時序驗證。詳細來說,時序分析模組340可以將NOCV ETM 210以及OCV ETM 220匯入佈線工具(ARP tool)以進行靜態時序分析流程。 In step S440, the timing analysis module 340 of FIG. 3 simulates the timing verification of the integrated circuit design according to the NOCV ETM 210 and the OCV ETM 220. In detail, the timing analysis module 340 can import the NOCV ETM 210 and the OCV ETM 220 into the routing tool (ARP tool) for the static timing analysis process.

為了使靜態時序分析流程能夠更為完整,本實施例可將關於晶片變異的邊界變異因子(亦即,閘延遲邊界因子、信號設定邊界因子以及信號保持邊界因子)匯入佈線工具,以在進行靜態時序分析流程時能夠考量到這些因子。 In order to make the static timing analysis process more complete, this embodiment can import the boundary variation factor (ie, the gate delay boundary factor, the signal setting boundary factor, and the signal retention boundary factor) about the wafer variation into the wiring tool to perform These factors can be considered in the static timing analysis process.

綜上所述,本發明實施例將單個數位電路設計中多個工 作模式所對應的多個擷取時序模型(ETM)進行整合以形成兩個特殊的擷取時序模型(亦即,非晶片變異時序模型(NOCV ETM)以及晶片變異時序模型(OCV ETM)),並將這兩個擷取時序模型匯入佈線工具以進行後續的靜態時序分析。特別的是,此NOCV ETM雖有考慮晶片訊號的設定信息,但並不考慮有關於晶片變異的邊界變異因子,使得此數位電路設計中每個工作模式的時序弧線(timing arc)能藉由NOCV ETM以及OCV ETM即可完整呈現在佈線工具的靜態時序分析中。換句話說,本案發明實施例可大幅減少後端佈線工具所讀入的時序模型數量,並增加佈線工具在進行靜態時序分析的效率。 In summary, the embodiment of the present invention has multiple work in a single digital circuit design. The multiple acquisition timing models (ETMs) corresponding to the pattern are integrated to form two special acquisition timing models (ie, non-wafer variation timing model (NOCV ETM) and wafer variation timing model (OCV ETM)), The two captured timing models are imported into the routing tool for subsequent static timing analysis. In particular, although the NOCV ETM considers the setting information of the chip signal, it does not consider the boundary variation factor of the wafer variation, so that the timing arc of each working mode in the digital circuit design can be controlled by NOCV. ETM and OCV ETM are fully presented in the static timing analysis of the routing tool. In other words, the inventive embodiment of the present invention can greatly reduce the number of timing models read by the backend routing tool and increase the efficiency of the routing tool in performing static timing analysis.

雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。 Although the present invention has been disclosed in the above embodiments, it is not intended to limit the present invention, and any one of ordinary skill in the art can make some changes and refinements without departing from the spirit and scope of the present invention. The scope of the invention is defined by the scope of the appended claims.

S410~S440‧‧‧步驟 S410~S440‧‧‧Steps

Claims (17)

一種數位電路設計的時序分析方法,包括:獲得一積體電路設計,其中該積體電路設計運作於多個工作模式;針對該積體電路設計的該些工作模式分別產生多個擷取時序模型,其中每個擷取時序模型包括一非晶片變異部分以及一晶片變異部分;將該些工作模式對應的該些擷取時序模型整合為一非晶片變異時序模型以及一晶片變異時序模型,其中在產生該非晶片變異時序模型時不考慮該些工作模式的該晶片變異部分;以及依據該非晶片變異時序模型以及該晶片變異時序模型來模擬該積體電路設計的時序驗證。 A timing analysis method for digital circuit design includes: obtaining an integrated circuit design, wherein the integrated circuit design operates in a plurality of working modes; and the working modes designed for the integrated circuit respectively generate a plurality of captured timing models Each of the capture timing models includes a non-wafer variation portion and a wafer variation portion; the capture timing models corresponding to the operation modes are integrated into a non-wafer variation timing model and a wafer variation timing model, wherein The non-wafer variation timing model is generated without considering the wafer variation portion of the operation modes; and the timing verification of the integrated circuit design is simulated according to the non-wafer variation timing model and the wafer variation timing model. 如申請專利範圍第1項所述的時序分析方法,其中該非晶片變異部分包括一邏輯閘延遲分析信息組以及一時序弧線檢驗信息組,該晶片變異部分包括一晶片設定調校信息以及一晶片保持調校信息,其中該邏輯閘延遲分析信息組包括至少一組合電路元件延遲信息、至少一循序電路元件延遲信息以及一時脈頻寬信息,且該邏輯閘延遲分析信息組及該時序弧線檢驗信息組不包括有關於一晶片變異的一信號設定邊界因子以及一信號保持邊界因子。 The timing analysis method according to claim 1, wherein the non-wafer variation portion includes a logic gate delay analysis information group and a timing arc inspection information group, the wafer variation portion includes a wafer setting adjustment information and a wafer retention Tuning information, wherein the logic gate delay analysis information group includes at least one combined circuit element delay information, at least one sequential circuit element delay information, and a clock width information, and the logic gate delay analysis information group and the time series arc inspection information group A signal setting boundary factor and a signal retention boundary factor for a wafer variation are not included. 如申請專利範圍第2項所述的時序分析方法,其中該晶片設定調校信息包括一晶片設定邊界信息以考量該晶片變異,該晶片保持調校信息包括一晶片保持邊界信息以考量該晶片變異,且 該晶片設定邊界信息與該晶片保持邊界信息分別使用不同的晶片變異調校因子來進行該晶片變異的增補調校。 The timing analysis method according to claim 2, wherein the wafer setting adjustment information includes a wafer setting boundary information to consider the wafer variation, and the wafer retention adjustment information includes a wafer holding boundary information to consider the wafer variation. And The wafer setting boundary information and the wafer holding boundary information respectively use different wafer variation adjustment factors to perform supplemental adjustment of the wafer variation. 如申請專利範圍第2項所述的時序分析方法,分別產生該些擷取時序模型包括下列步驟:在產生該非晶片變異時序模型時,不考慮該晶片設定調校信息以及該晶片保持調校信息。 For example, the timing analysis method described in claim 2, respectively, generating the capture timing models includes the following steps: when generating the non-wafer variation timing model, regardless of the wafer setting adjustment information and the wafer retention adjustment information . 如申請專利範圍第2項所述的時序分析方法,分別產生該些擷取時序模型包括下列步驟:採用一全域晶片變異增補調校技術以產生該些擷取時序模型。 For example, the timing analysis method described in claim 2, respectively, generating the captured timing models includes the following steps: using a global wafer variation supplemental tuning technique to generate the captured timing models. 如申請專利範圍第1項所述的時序分析方法,模擬該積體電路設計的時序驗證包括下列步驟:將該非晶片變異時序模型以及該晶片變異時序模型整合為一擷取時序模型檔案;以及將該非晶片變異時序模型以及該晶片變異時序模型匯入一佈線工具以進行一靜態時序分析流程。 As the timing analysis method described in claim 1 of the patent application, simulating the timing verification of the integrated circuit design includes the following steps: integrating the non-wafer variation timing model and the wafer variation timing model into a capture timing model file; The non-wafer variation timing model and the wafer variation timing model are imported into a routing tool to perform a static timing analysis process. 如申請專利範圍第6項所述的時序分析方法,模擬該積體電路設計的時序驗證更包括下列步驟:將關於該晶片變異的一信號設定邊界因子以及一信號保持邊界因子匯入該佈線工具以進行該靜態時序分析流程。 For the timing analysis method described in claim 6, the timing verification for simulating the integrated circuit design further includes the steps of: importing a signal setting boundary factor and a signal retention boundary factor for the wafer variation into the wiring tool. To perform this static timing analysis process. 如申請專利範圍第1項所述的時序分析方法,其中該些擷取時序模型採用相同的一程式庫來產生。 The timing analysis method according to claim 1, wherein the captured timing models are generated by using the same library. 一種數位電路設計的時序分析系統,適用於一電腦裝置, 其中該時序分析系統包括:一傳輸模組,用以接收一積體電路設計,其中該積體電路設計運作於多個工作模式;一時序擷取模組,用以針對該積體電路設計的該些工作模式分別產生多個擷取時序模型,其中每個擷取時序模型包括一非晶片變異部分以及一晶片變異部分;一時序模型整合模組,用以將該些工作模式對應的該些擷取時序模型整合為一非晶片變異時序模型以及一晶片變異時序模型,其中在產生該非晶片變異時序模型時不考慮該些工作模式的該晶片變異部分;以及一時序分析模組,依據該非晶片變異時序模型以及該晶片變異時序模型來模擬該積體電路設計的時序驗證。 A timing analysis system for digital circuit design, suitable for a computer device, The timing analysis system includes: a transmission module for receiving an integrated circuit design, wherein the integrated circuit is designed to operate in a plurality of working modes; and a timing capture module is configured for the integrated circuit The working modes respectively generate a plurality of capture timing models, wherein each of the captured timing models includes a non-wafer variation portion and a wafer variation portion; and a timing model integration module is configured to correspond to the operation modes The acquisition timing model is integrated into a non-wafer variation timing model and a wafer variation timing model, wherein the non-wafer variation timing model is generated without considering the wafer variation portion of the working modes; and a timing analysis module according to the non-wafer The variation timing model and the wafer variation timing model are used to simulate the timing verification of the integrated circuit design. 如申請專利範圍第9項所述的時序分析系統,其中該非晶片變異部分包括一邏輯閘延遲分析信息組以及一時序弧線檢驗信息組,該晶片變異部分包括一晶片設定調校信息以及一晶片保持調校信息,其中該邏輯閘延遲分析信息組包括至少一組合電路元件延遲信息、至少一循序電路元件延遲信息以及一時脈頻寬信息,且該邏輯閘延遲分析信息組及該時序弧線檢驗信息組不包括有關於一晶片變異的一信號設定邊界因子以及一信號保持邊界因子。 The timing analysis system of claim 9, wherein the non-wafer variation portion comprises a logic gate delay analysis information group and a timing arc inspection information group, the wafer variation portion includes a wafer setting adjustment information and a wafer retention Tuning information, wherein the logic gate delay analysis information group includes at least one combined circuit element delay information, at least one sequential circuit element delay information, and a clock width information, and the logic gate delay analysis information group and the time series arc inspection information group A signal setting boundary factor and a signal retention boundary factor for a wafer variation are not included. 如申請專利範圍第10項所述的時序分析系統,其中該晶片設定調校信息包括一晶片設定邊界信息以考量該晶片變異,該晶片保持調校信息包括一晶片保持邊界信息以考量該晶片變異, 且該晶片設定邊界信息與該晶片保持邊界信息分別使用不同的晶片變異調校因子。 The timing analysis system of claim 10, wherein the wafer setting adjustment information comprises a wafer setting boundary information to consider the wafer variation, the wafer maintaining calibration information including a wafer holding boundary information to consider the wafer variation , And the wafer setting boundary information and the wafer holding boundary information respectively use different wafer variation adjustment factors. 如申請專利範圍第10項所述的時序分析系統,其中該時序擷取模組在產生該非晶片變異時序模型時不考慮該晶片設定調校信息以及該晶片保持調校信息。 The timing analysis system of claim 10, wherein the timing capture module does not consider the wafer setting adjustment information and the wafer retention adjustment information when generating the non-wafer variation timing model. 如申請專利範圍第9項所述的時序分析系統,其中該時序擷取模組採用一全域晶片變異增補調校技術以產生該些擷取時序模型。 The timing analysis system of claim 9, wherein the timing acquisition module uses a global wafer variation supplement adjustment technique to generate the acquisition timing models. 如申請專利範圍第9項所述的時序分析系統,其中該時序分析模組將該非晶片變異時序模型以及該晶片變異時序模型匯入一佈線工具以進行一靜態時序分析流程。 The timing analysis system of claim 9, wherein the timing analysis module merges the non-wafer variation timing model and the wafer variation timing model into a routing tool to perform a static timing analysis process. 如申請專利範圍第14項所述的時序分析系統,其中該時序分析模組還將關於該晶片變異的一信號設定邊界因子以及一信號保持邊界因子匯入該佈線工具以進行該靜態時序分析流程。 The timing analysis system of claim 14, wherein the timing analysis module further imports a signal setting boundary factor and a signal retention boundary factor for the wafer variation into the wiring tool to perform the static timing analysis process. . 如申請專利範圍第9項所述的時序分析系統,其中該時序擷取模組採用相同的一程式庫來產生該些擷取時序模型。 The timing analysis system of claim 9, wherein the timing capture module uses the same library to generate the captured timing models. 一種電腦可讀取儲存媒體,用以儲存一電腦程式,該電腦程式用以載入至一電腦系統中並且使得該電腦系統執行如申請專利範圍第1至8項中任一者所述之數位電路設計的時序分析方法。 A computer readable storage medium for storing a computer program for loading into a computer system and causing the computer system to perform the digits as described in any one of claims 1 to 8. Timing analysis method for circuit design.
TW104126094A 2015-08-11 2015-08-11 Timing analysis method for digital circuit design and system thereof TWI531921B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW104126094A TWI531921B (en) 2015-08-11 2015-08-11 Timing analysis method for digital circuit design and system thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW104126094A TWI531921B (en) 2015-08-11 2015-08-11 Timing analysis method for digital circuit design and system thereof

Publications (2)

Publication Number Publication Date
TWI531921B true TWI531921B (en) 2016-05-01
TW201706888A TW201706888A (en) 2017-02-16

Family

ID=56509194

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104126094A TWI531921B (en) 2015-08-11 2015-08-11 Timing analysis method for digital circuit design and system thereof

Country Status (1)

Country Link
TW (1) TWI531921B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI632468B (en) * 2017-05-12 2018-08-11 創意電子股份有限公司 Model-building method and model-building system
TWI813401B (en) * 2022-07-27 2023-08-21 瑞昱半導體股份有限公司 Static timing analysis method and static timing analysis system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI632468B (en) * 2017-05-12 2018-08-11 創意電子股份有限公司 Model-building method and model-building system
TWI813401B (en) * 2022-07-27 2023-08-21 瑞昱半導體股份有限公司 Static timing analysis method and static timing analysis system

Also Published As

Publication number Publication date
TW201706888A (en) 2017-02-16

Similar Documents

Publication Publication Date Title
US9218440B2 (en) Timing verification of an integrated circuit
US10423742B2 (en) Method to perform full accuracy hierarchical block level timing analysis with parameterized chip level contexts
US7849430B2 (en) Reverse donut model
JP2005004268A (en) Method for analyzing operation of semiconductor integrated circuit device, analyzing device used for same, and optimized designing method using the same
TW201428521A (en) System, device and method for designing and emulating
Whatmough et al. Power integrity analysis of a 28 nm dual-core arm cortex-a57 cluster using an all-digital power delivery monitor
US7475367B2 (en) Memory power models related to access information and methods thereof
US8707234B1 (en) Circuit noise extraction using forced input noise waveform
US10417363B1 (en) Power and scan resource reduction in integrated circuit designs having shift registers
TWI521220B (en) Timing analysis method for integrated circuit and computer program product thereof
TWI531921B (en) Timing analysis method for digital circuit design and system thereof
CN113609804B (en) Case generation method and device, test method and testability design method
CN107784185B (en) Method and device for extracting pseudo path in gate-level netlist and terminal equipment
US9449127B1 (en) System for verifying timing constraints of IC design
US10963610B1 (en) Analyzing clock jitter using delay calculation engine
US9489478B2 (en) Simplifying modes of an electronic circuit by reducing constraints
US8341579B2 (en) Method, apparatus, and system for analyzing operation of semiconductor integrated circuits
US9710580B2 (en) Timing analysis method for digital circuit design and system thereof
CN106503278B (en) Time sequence analysis method and system for digital circuit design
US8350620B2 (en) Integrated circuit power consumption calculating apparatus and processing method
Garg Common path pessimism removal: An industry perspective: Special session: Common path pessimism removal
CN112861455B (en) FPGA modeling verification system and method
Maragos et al. Application performance improvement by exploiting process variability on FPGA devices
Nocua et al. A Hybrid Power Estimation Technique to improve IP power models quality
US20070258300A1 (en) Functional verification of synchronized signals using random delays