TWI524530B - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
TWI524530B
TWI524530B TW102144549A TW102144549A TWI524530B TW I524530 B TWI524530 B TW I524530B TW 102144549 A TW102144549 A TW 102144549A TW 102144549 A TW102144549 A TW 102144549A TW I524530 B TWI524530 B TW I524530B
Authority
TW
Taiwan
Prior art keywords
gate
disposed
substrate
dielectric layer
contact
Prior art date
Application number
TW102144549A
Other languages
Chinese (zh)
Other versions
TW201431082A (en
Inventor
莊學理
郭正誠
劉繼文
朱鳴
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/745,579 external-priority patent/US9536977B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201431082A publication Critical patent/TW201431082A/en
Application granted granted Critical
Publication of TWI524530B publication Critical patent/TWI524530B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66356Gated diodes, e.g. field controlled diodes [FCD], static induction thyristors [SITh], field controlled thyristors [FCTh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

半導體裝置及其製造方法 Semiconductor device and method of manufacturing same

本發明係有關於半導體裝置及其製造方法,特別係有關於直立式穿隧場效電晶體半導體裝置及其製造方法。 The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to an upright tunneling field effect transistor semiconductor device and a method of fabricating the same.

半導體積體電路工業在過去數十年間經歷了快速的成長。半導體材料與設計技術的進步使得電路越來越小也越來越複雜。由於相關製程技術的進步,使得上述材料與設計方面的進步得以實現。在半導體發展的歷程中,由於能夠可靠地製造出的最小元件的尺寸越來越小,所以單位面積上可互連的元件數量越來越多。 The semiconductor integrated circuit industry has experienced rapid growth over the past few decades. Advances in semiconductor materials and design techniques have made circuits smaller and more complex. Advances in the above materials and design have been achieved due to advances in related process technologies. In the course of semiconductor development, the number of components that can be interconnected per unit area is increasing due to the smaller and smaller size of the smallest components that can be reliably fabricated.

然而,當最小元件的尺寸縮小時,許多挑戰隨之而生。當元件變得更靠近時,漏電流變得更加顯著;信號變得更容易跨越;而功率的使用變得更加重要。半導體積體電路工業已做出了許多發展以致力於元件尺寸的縮小。其中一個有潛力的發展是以穿隧式場效電晶體代替或是補充傳統的金氧半場效電晶體。 However, as the size of the smallest components shrinks, many challenges arise. As the components get closer, the leakage current becomes more pronounced; the signal becomes easier to cross; and the use of power becomes more important. The semiconductor integrated circuit industry has made many developments in an effort to reduce the size of components. One of the potential developments is to replace or supplement the traditional gold oxide half field effect transistor with a tunneling field effect transistor.

穿隧式場效電晶體被認為是能夠更進一步降低電源供應電壓,而且不會大幅提升因sub-60mv/dec次臨界擺幅(subthreshold swing)產生的關閉狀態漏電流的有發展潛力的裝 置。然而,目前的穿隧式場效電晶體並非各方面皆令人滿意。 The tunneling field effect transistor is considered to be a potential device that can further reduce the power supply voltage and does not significantly increase the off-state leakage current due to the sub-resistance swing of the sub-60mv/dec sub-reshold swing. Set. However, current tunneling field effect transistors are not satisfactory in all respects.

本發明提供許多不同的場效電晶體裝置的實施例。相較於其它現有的方法,本發明中的場效電晶體提供一或多個改良。在一實施例中,此場效電晶體裝置包括:前驅物,此前驅物包括:基底;截頭圓錐形凸出結構,設於基底上並凸出基底的平面;閘極堆疊,設於基底上,此閘極堆疊具有平行於基底表面的平坦部份與包覆於截頭圓錐形凸出結構的中間部的閘極表面;隔離介電層,設於閘極堆疊的平坦部份與基底之間。此場效電晶體裝置也包括:源極區,設於截頭圓錐形凸出結構的頂部,此截頭圓錐形凸出結構的頂部包括與閘極堆疊的閘極表面的頂部重疊的部份;側壁間隔物,沿著源極區的側壁設置,且設於閘極表面上並連接隔離介電層;介電層,設於源極區上;以及源極接點,此源極接點具有實質上大於源極區寬度的關鍵尺寸且形成於源極區上並延伸至側壁間隔物。 The present invention provides embodiments of many different field effect transistor devices. The field effect transistor of the present invention provides one or more improvements over other prior methods. In one embodiment, the field effect transistor device includes: a precursor, the precursor includes: a substrate; a frustoconical protruding structure disposed on the substrate and protruding from the plane of the substrate; the gate stack is disposed on the substrate The gate stack has a flat portion parallel to the surface of the substrate and a gate surface encased in an intermediate portion of the frustoconical projecting structure; an isolation dielectric layer disposed on the flat portion and the substrate of the gate stack between. The field effect transistor device also includes a source region disposed at the top of the frustoconical bulging structure, the top portion of the frustoconical bulging structure including a portion overlapping the top of the gate surface of the gate stack a sidewall spacer disposed along a sidewall of the source region and disposed on the surface of the gate and connected to the isolation dielectric layer; a dielectric layer disposed on the source region; and a source contact, the source contact A critical dimension having a width substantially greater than the source region and formed on the source region and extending to the sidewall spacer.

在另一實施例中,直立式穿隧場效電晶體包括:半導體基底;截頭圓錐形凸出結構,設於基底上並凸出半導體基底的平面;具有第一寬度的源極區,設於截頭圓錐形凸出結構的頂部;高介電常數/金屬閘極,設於半導體基底上,此高介電常數/金屬閘極具有平行於半導體基底表面的平坦部份與包覆於截頭圓錐形凸出結構的中間部的閘極表面,此截頭圓錐形凸出結構的中間部包括與源極區重疊的部份。此場效電晶體裝置也包括:側壁間隔物,沿著源極區設置,且設於閘極表面上;汲極區,設於半導體基底上並鄰接截頭圓錐形凸出結構,並且延 伸至截頭圓錐形凸出結構的底部成為隆起汲極區;隔離介電層,設於高介電常數/金屬閘極的平坦部份與汲極區之間,且設於源極區及汲極區上;及具有第二寬度的源極接點,設於源極區及側壁間隔物,其中第二寬度實質上大於第一寬度。 In another embodiment, the vertical tunneling field effect transistor comprises: a semiconductor substrate; a frustoconical convex structure, a plane disposed on the substrate and protruding from the semiconductor substrate; and a source region having a first width a top portion of the frustoconical embossed structure; a high dielectric constant/metal gate disposed on the semiconductor substrate, the high dielectric constant/metal gate having a flat portion parallel to the surface of the semiconductor substrate and cladding The gate surface of the intermediate portion of the conical convex structure, the intermediate portion of the frustoconical projection structure includes a portion overlapping the source region. The field effect transistor device also includes: a sidewall spacer disposed along the source region and disposed on the gate surface; and a drain region disposed on the semiconductor substrate adjacent to the frustoconical protruding structure and extending Extending to the bottom of the frustoconical bulging structure becomes a raised bungee region; the isolation dielectric layer is disposed between the flat portion of the high dielectric constant/metal gate and the drain region, and is disposed in the source region and And a source contact having a second width disposed at the source region and the sidewall spacer, wherein the second width is substantially greater than the first width.

在另一實施例中,製造半導體裝置的方法包括:提供前驅物,此前驅物包括:基底;截頭圓錐形凸出結構,設於基底上並凸出基底的平面;汲極區,設於基底上並鄰接截頭圓錐形凸出結構,並且延伸至截頭圓錐形凸出結構的底部成為隆起汲極區;隔離元件,設於各汲極區之間;閘極堆疊,設於基底上,其中此閘極堆疊具有平行於基底表面的平坦部份與包覆於截頭圓錐形凸出結構的中間部的閘極表面,此截頭圓錐形凸出結構的中間部包括與汲極區重疊的部份;及隔離介電層,設於閘極堆疊的平坦部份與汲極區之間。此方法也包括:形成側壁間隔物,此側壁間隔物沿著截頭圓錐形凸出結構的頂部側壁設置,且設於截頭圓錐形凸出結構的閘極表面上並連接隔離介電層;形成源極區於凸出結構的頂部,其中此源極區的摻雜型態與汲極區不同;沉積介電層於源極區、閘極堆疊及汲極區上;以及一併對該介電層及該隔離介電層作選擇性蝕刻,以形成源極接點於源極區及側壁間隔物,閘極接點於閘極堆疊的平坦部份及汲極接點於汲極區;形成的源極接點的關鍵尺寸實質上大於源極區的寬度。 In another embodiment, a method of fabricating a semiconductor device includes: providing a precursor, the precursor comprising: a substrate; a frustoconical bulging structure disposed on the substrate and projecting a plane of the substrate; and a drain region disposed at a base and a frustoconical protruding structure, and extending to the bottom of the frustoconical protruding structure to form a raised bungee region; an isolating element disposed between each of the drain regions; and a gate stack disposed on the substrate Wherein the gate stack has a flat portion parallel to the surface of the substrate and a gate surface encased in the intermediate portion of the frustoconical projecting structure, the intermediate portion of the frustoconical projecting structure including the bungee region The overlapping portions; and the isolation dielectric layer are disposed between the flat portion of the gate stack and the drain region. The method also includes forming a sidewall spacer disposed along a top sidewall of the frustoconical bulge structure and disposed on a gate surface of the frustoconical bulge structure and connecting the isolation dielectric layer; Forming a source region on top of the protruding structure, wherein the doping profile of the source region is different from the drain region; depositing a dielectric layer on the source region, the gate stack, and the drain region; The dielectric layer and the isolation dielectric layer are selectively etched to form a source contact between the source region and the sidewall spacer, the gate contact is at a flat portion of the gate stack and the drain contact is at the drain region The key dimension of the formed source contact is substantially larger than the width of the source region.

100‧‧‧製造半導體裝置的方法 100‧‧‧Methods for manufacturing semiconductor devices

102~110‧‧‧製造半導體裝置的製程步驟 102~110‧‧‧Processing steps for manufacturing semiconductor devices

200‧‧‧前驅物 200‧‧‧Precursors

210‧‧‧基底 210‧‧‧Base

215‧‧‧硬罩幕層 215‧‧‧ Hard mask layer

220‧‧‧截頭圓錐型凸出結構 220‧‧‧Frustum-conical protruding structure

230‧‧‧隔離元件 230‧‧‧Isolation components

240‧‧‧汲極區 240‧‧‧Bungee Area

250‧‧‧閘極堆疊 250‧‧‧gate stacking

255‧‧‧閘極介電層 255‧‧‧gate dielectric layer

256‧‧‧金屬閘極 256‧‧‧Metal gate

260‧‧‧隔離介電層 260‧‧‧Isolated dielectric layer

310‧‧‧側壁間隔物 310‧‧‧ sidewall spacers

410‧‧‧源極區 410‧‧‧ source area

505‧‧‧介電層 505‧‧‧ dielectric layer

510‧‧‧源極接點 510‧‧‧ source contact

520‧‧‧閘極接點 520‧‧‧gate contacts

530‧‧‧汲極接點 530‧‧‧汲pole contacts

600‧‧‧穿隧式場效電晶體裝置 600‧‧‧ Tunneling field effect transistor device

w1、w2、w3、w4、w5、w6、w7‧‧‧寬度 W1, w2, w3, w4, w5, w6, w7‧‧‧ width

h1、h2、h3‧‧‧高度 H1, h2, h3‧‧‧ height

第1圖係根據本發明所繪製的半導體裝置製造方法流程圖; 第2圖係根據第1圖之方法,於製造步驟中的前驅物剖面圖;第3~5圖係根據第1圖之方法,於各製程步驟中的半導體裝置剖面圖。 1 is a flow chart of a method of fabricating a semiconductor device according to the present invention; Fig. 2 is a cross-sectional view of a precursor in a manufacturing step according to the method of Fig. 1; and Figs. 3 to 5 are cross-sectional views of the semiconductor device in each process step according to the method of Fig. 1.

應了解的是,以下提供許多實施例或是例子來實施本發明的不同特徵。以下描述具體的元件與其排列的例子以闡明本發明。當然這些只是例子且不該以此限定本發明的範圍。此外,在說明中所描述的第一個步驟與第二個步驟可以包括:第二個步驟緊接著第一個步驟實施之實施例與第一個步驟與第二個步驟間還有其它步驟之實施例。不同的元件可能會以不同的比例繪製以達到簡明的目的。此外,在描述中提及第一個元件形成於第二個元件上時,其可以包括第一個元件與第二個元件直接接觸的實施例,也可以包括有其它元件形成於第一個與第二個元件之間的實施例,其中第一個元件與第二個元件並未直接接觸。 It will be appreciated that many embodiments or examples are provided below to implement different features of the invention. Examples of specific elements and their arrangement are described below to clarify the invention. Of course, these are only examples and should not limit the scope of the invention. Furthermore, the first and second steps described in the description may include: the second step is followed by the first step of the embodiment and the first and second steps. Example. Different components may be drawn at different scales for concise purposes. Furthermore, when the first element is referred to in the description as being formed on the second element, it may include an embodiment in which the first element is in direct contact with the second element, and may include other elements formed in the first An embodiment between the second elements, wherein the first element is not in direct contact with the second element.

第1圖係使用方法100的實施例的流程圖,方法100係依據本發明揭露之特徵來製造一或多個穿隧式場效電晶體裝置的方法。方法100將於下面詳細討論,且可參見第2~5圖中的穿隧式場效電晶體裝置前驅物200及穿隧式場效電晶體裝置600作為例子。 1 is a flow diagram of an embodiment of a method 100 for fabricating one or more tunneling field effect transistor devices in accordance with features disclosed herein. The method 100 will be discussed in detail below, and reference can be made to the tunneling field effect transistor device precursor 200 and the tunneling field effect transistor device 600 in FIGS. 2-5 as an example.

參見第1及2圖,方法100始於步驟102,此步驟提供場效電晶體裝置600的前驅物200。前驅物200包括基底210。此基底210包括矽。在另一實施例中,基底210可以包括鍺、鍺化矽、砷化鎵、碳化矽、砷化銦、磷化銦、磷砷化鎵、銦化鎵 或其它適合的半導體材料。在某些實施例中,基底210可以具有覆蓋於主體半導體上的磊晶層。此外,可以施與應變於基底210上以提升其性能。例如,磊晶層可以包括不同於主體半導體的半導體材料。例如鍺化矽層覆蓋主體矽或是矽層覆蓋主體鍺化矽。磊晶層是由包括選擇性磊晶成長(SEG)的製程形成。此外,基底210可以包括絕緣層上覆矽(SOI)結構,例如內埋的介電層。另外,基底210可以包括內埋的介電層,例如內埋的氧化層(BOX),此氧化層係藉由氧氣植入分離技術、晶圓結合、選擇性磊晶成長或其它合適的方法形成。事實上各實施例可以包括任何形式的基底結構與材料。基底210也可以包括各種P型摻雜區及/或N型摻雜區,其是以離子植入及/或擴散製程來實施。這些摻雜區包括N井和P井。 Referring to Figures 1 and 2, method 100 begins at step 102, which provides precursor 200 of field effect transistor device 600. The precursor 200 includes a substrate 210. This substrate 210 includes ruthenium. In another embodiment, the substrate 210 may include tantalum, niobium, gallium arsenide, tantalum carbide, indium arsenide, indium phosphide, gallium arsenide, gallium indium hydride. Or other suitable semiconductor materials. In some embodiments, substrate 210 can have an epitaxial layer overlying the bulk semiconductor. In addition, strain can be applied to the substrate 210 to enhance its performance. For example, the epitaxial layer can include a semiconductor material that is different from the bulk semiconductor. For example, the bismuth layer covers the main body 矽 or the 矽 layer covers the main body 锗. The epitaxial layer is formed by a process including selective epitaxial growth (SEG). Additionally, substrate 210 can include an insulating layer overlying cerium (SOI) structure, such as a buried dielectric layer. In addition, the substrate 210 may include a buried dielectric layer, such as a buried oxide layer (BOX), which is formed by oxygen implantation separation techniques, wafer bonding, selective epitaxial growth, or other suitable methods. . In fact, embodiments may include any form of substrate structure and materials. Substrate 210 may also include various P-type doped regions and/or N-type doped regions that are implemented by ion implantation and/or diffusion processes. These doped regions include the N and P wells.

前驅物200也包括具有第一寬度(w1)的截頭圓錐型凸出結構220,此截頭圓錐型凸出結構220凸出基底210的平面並具有第一高度(h1)。截頭圓錐型凸出結構220被稱為核心結構。此核心結構220可以使用微影及蝕刻製程來形成。在一實施例中,第一個步驟是將硬罩幕層215設於基底210上。硬罩幕層215包括氧化矽、氮化矽、氮氧化矽或其它適合的介電材料。硬罩幕層215可以藉由微影及蝕刻製程來圖案化並定義具有第一寬度(w1)的核心結構220。接著,在蝕刻過程中,圖案化的硬罩幕層215被當作是蝕刻罩幕,而基底210被蝕刻並且形成核心結構220。此蝕刻製程可以包括溼蝕刻、乾蝕刻或其組合。核心結構220具有側壁,此側壁與基底210的平面之間具有夾角α,此夾角α為約45度至約90度。 The precursor 200 also includes a frustoconical bulging structure 220 having a first width (w1) that protrudes from the plane of the substrate 210 and has a first height (h1). The frustoconical bulging structure 220 is referred to as a core structure. This core structure 220 can be formed using a lithography and etching process. In one embodiment, the first step is to place the hard mask layer 215 on the substrate 210. Hard mask layer 215 includes hafnium oxide, tantalum nitride, hafnium oxynitride or other suitable dielectric materials. The hard mask layer 215 can be patterned and defined by a lithography and etching process to define a core structure 220 having a first width (w1). Next, during the etching process, the patterned hard mask layer 215 is treated as an etch mask while the substrate 210 is etched and forms the core structure 220. This etching process can include wet etching, dry etching, or a combination thereof. The core structure 220 has a side wall having an angle a with the plane of the substrate 210, the angle a being from about 45 degrees to about 90 degrees.

在一實施例中,核心結構220為圓筒狀。另外,核心結構220也可為正方形柱狀結構、橢圓形圓筒狀結構、矩形柱狀結構、六角形柱狀結構或是其它多邊形柱狀結構。 In an embodiment, the core structure 220 is cylindrical. In addition, the core structure 220 may also be a square columnar structure, an elliptical cylindrical structure, a rectangular columnar structure, a hexagonal columnar structure or other polygonal columnar structures.

前驅物200也包括隔離元件230,此隔離元件230形成於包括各核心結構220之間的基底210上。隔離元件230包括使用不同製程技術形成的不同結構。在一實施例中,隔離元件230係淺溝槽隔離元件(STI)。形成淺溝槽隔離元件的製程可以包括於基底210蝕刻溝槽,並填入隔離材料於溝槽中。隔離材料包括氧化矽、氮化矽或氮氧化矽。經填滿的溝槽可具有多層結構,例如具有氮化矽填充溝槽的熱氧化襯層。 The precursor 200 also includes a spacer element 230 formed on the substrate 210 between the core structures 220. Isolation element 230 includes different structures formed using different process technologies. In an embodiment, the isolation element 230 is a shallow trench isolation element (STI). The process of forming the shallow trench isolation features can include etching the trenches in the substrate 210 and filling the isolation material in the trenches. The insulating material includes cerium oxide, cerium nitride or cerium oxynitride. The filled trench can have a multilayer structure, such as a thermal oxide liner with a tantalum nitride filled trench.

前驅物200也包括具有第二寬度(w2)之汲極區240於基底210上,第二寬度(w2)實質上大於第一寬度(w1)。在一實施例中,汲極區240與核心結構220具有共同的圓心。汲極區240可以用摻雜及退火製程形成。在本實施例中,汲極區240鄰接核心結構220,且延伸至核心結構220的底部並具有第二高度(h2),成為隆起汲極區240。對於P型穿隧式場效電晶體,汲極區240可以使用P型掺質摻雜,例如硼或氟化硼。對於N型穿隧式場效電晶體,汲極區240可以使用N型掺質摻雜,例如磷、砷或其組合。在佈植之後,會執行一或多個退火製程來活化掺質。 The precursor 200 also includes a drain region 240 having a second width (w2) on the substrate 210, the second width (w2) being substantially greater than the first width (w1). In an embodiment, the drain region 240 and the core structure 220 have a common center. The drain region 240 can be formed using a doping and annealing process. In the present embodiment, the drain region 240 is adjacent to the core structure 220 and extends to the bottom of the core structure 220 and has a second height (h2) to become the raised bungee region 240. For P-type tunneling field effect transistors, the drain region 240 can be doped with a P-type dopant, such as boron or boron fluoride. For N-type tunneling field effect transistors, the drain region 240 can be doped with an N-type dopant, such as phosphorus, arsenic, or a combination thereof. After implantation, one or more annealing processes are performed to activate the dopant.

前驅物200也包括閘極堆疊250。閘極堆疊250包括平行於基底210的表面的平坦部份與包覆於核心結構220的中間部的閘極表面。此平坦部份與核心結構220可以不對稱。在本實施例中,具有第三高度(h3)的核心結構220的頂部未被閘極表面包覆。在一實施例中,此凸出平面的閘極堆疊250的閘極 表面與部份的隆起汲極區240重疊。閘極表面具有第三寬度(w3),而閘極堆疊250具有總寬度,此總寬度係第四寬度(w4)。此第四寬度(w4)實質上大於核心結構220的第一寬度(w1),且第四寬度實質上小於汲極區240的第二寬度(w2)。 The precursor 200 also includes a gate stack 250. The gate stack 250 includes a flat portion parallel to the surface of the substrate 210 and a gate surface overlying the intermediate portion of the core structure 220. This flat portion can be asymmetrical to the core structure 220. In the present embodiment, the top of the core structure 220 having the third height (h3) is not covered by the gate surface. In an embodiment, the gate of the raised plane gate stack 250 The surface overlaps with a portion of the raised bungee region 240. The gate surface has a third width (w3) and the gate stack 250 has a total width which is a fourth width (w4). This fourth width (w4) is substantially greater than the first width (w1) of the core structure 220, and the fourth width is substantially smaller than the second width (w2) of the drain region 240.

閘極堆疊250可以由包括沉積、光微影圖案化及蝕刻的製程來形成。沉積製程包括化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、有機金屬化學氣相沉積(MOCVD)、其它適合的方法及/或其組合。光微影圖案化製程包括光阻塗佈(例如旋轉塗佈)、軟烘烤、罩幕對準、曝光、曝光後烘烤、光阻顯影、潤洗、烘乾(例如硬烘烤)、其它適合的製程及/或其組合。蝕刻製程包括乾蝕刻、溼蝕刻或其組合。 The gate stack 250 can be formed by a process including deposition, photolithographic patterning, and etching. The deposition process includes chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), organometallic chemical vapor deposition (MOCVD), other suitable methods, and/or combinations thereof. The photolithography patterning process includes photoresist coating (such as spin coating), soft baking, mask alignment, exposure, post-exposure baking, photoresist development, rinsing, drying (such as hard baking), Other suitable processes and/or combinations thereof. The etching process includes dry etching, wet etching, or a combination thereof.

在一實施例中,閘極堆疊250係高介電常數(HK)/金屬閘極(MG)。此高介電常數/金屬閘極包括閘極介電層255和金屬閘極256。閘極介電層255可以包括界面層(IL)和高介電常數介電層。界面層(IL)包括氧化物、HfSiO及氮氧化物。高介電常數介電層可包括LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化矽(SiON)及其它適合的材料。金屬閘極256可以包括單層或多層結構,例如金屬層、襯層、溼潤層和附著層。金屬閘極256可包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W及其它適合的材料。 In one embodiment, the gate stack 250 is a high dielectric constant (HK) / metal gate (MG). The high dielectric constant/metal gate includes a gate dielectric layer 255 and a metal gate 256. The gate dielectric layer 255 can include an interfacial layer (IL) and a high-k dielectric layer. The interfacial layer (IL) includes oxides, HfSiO, and nitrogen oxides. The high-k dielectric layer may include LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO. , HfTaO, HfTiO, (Ba, Sr)TiO 3 (BST), Al 2 O 3 , Si 3 N 4 , cerium oxynitride (SiON) and other suitable materials. The metal gate 256 may comprise a single layer or a multilayer structure such as a metal layer, a liner layer, a wetting layer, and an adhesion layer. Metal gate 256 may comprise Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, and other suitable materials.

在另一實施例中,閘極堆疊250係多晶矽閘極堆 疊。多晶矽閘極堆疊可包括閘極介電層和設於閘極介電層上的多晶矽層。閘極介電層包括氧化矽、氮化矽或其它任何適合的材料。 In another embodiment, the gate stack 250 is a polysilicon gate stack Stack. The polysilicon gate stack can include a gate dielectric layer and a polysilicon layer disposed on the gate dielectric layer. The gate dielectric layer includes tantalum oxide, tantalum nitride or any other suitable material.

前驅物200也包括隔離介電層260,此隔離介電層260設於包括閘極堆疊250的平坦部份與汲極區240之間的基底210上。隔離介電層260包括氧化矽、氮化矽、碳化矽、氮氧化矽或其它適合的材料。隔離介電層260包括多層結構。隔離介電層260可以使用沉積及凹蝕製程形成。 The precursor 200 also includes an isolation dielectric layer 260 disposed on the substrate 210 including the flat portion of the gate stack 250 and the drain region 240. The isolating dielectric layer 260 includes tantalum oxide, tantalum nitride, tantalum carbide, niobium oxynitride or other suitable materials. The isolation dielectric layer 260 includes a multilayer structure. The isolation dielectric layer 260 can be formed using a deposition and etch process.

參見第1及3圖,得到前驅物200後,方法100進行至步驟104,形成具有第五寬度(w5)側壁間隔物310,此側壁間隔物310沿著核心結構220的頂部側壁設置,此核心結構220的頂部包括核心結構220的閘極表面及隔離介電層260以上的部份。在一實施例中,第五寬度實質上大於第三寬度。側壁間隔物310可以包括介電材料,例如氮化矽。另外,側壁間隔物310可以包括氧化矽、碳化矽、氮氧化矽或其組合。在一實施例中,側壁間隔物310的材料係選擇在之後的接點蝕刻中相對於隔離介電層260實質上具有蝕刻選擇性的材料,此接點蝕刻將於之後詳細描述。側壁間隔物310可以使用沉積及蝕刻製程形成。例如,於沉積製程後實施非等向性乾蝕刻以形成側壁間隔物310。 Referring to Figures 1 and 3, after the precursor 200 is obtained, the method 100 proceeds to step 104 to form a sidewall spacer 310 having a fifth width (w5) disposed along the top sidewall of the core structure 220. The top of the structure 220 includes a gate surface of the core structure 220 and a portion above the isolation dielectric layer 260. In an embodiment, the fifth width is substantially greater than the third width. The sidewall spacers 310 can include a dielectric material such as tantalum nitride. Additionally, the sidewall spacers 310 may include hafnium oxide, tantalum carbide, niobium oxynitride, or a combination thereof. In one embodiment, the material of the sidewall spacers 310 is selected to have substantially etch selective material with respect to the isolation dielectric layer 260 in subsequent contact etches, which will be described in detail later. The sidewall spacers 310 can be formed using deposition and etching processes. For example, an anisotropic dry etch is performed after the deposition process to form sidewall spacers 310.

參見第1及4圖,方法100進行至步驟106,形成具有第六寬度(w6)的源極區410於核心結構的頂部,此核心結構的頂部包括與閘極堆疊250的閘極表面重疊的部份。源極區410的摻雜型態與汲極區240不同。在一實施例中,於硬罩幕移除 後,源極區410由光微影圖案化、佈植及退火製程形成,在此實施例中,第六寬度係約等同於第一寬度。在另一實施例中,於硬罩幕215移除後,先凹蝕核心結構220,接著由光微影圖案化、佈植及退火製程形成源極區410於經凹蝕的核心結構220的頂部。在另一實施例中,半導體材料磊晶成長於經凹蝕的核心結構220。在此實施例中,第六寬度可以與第一寬度不同。半導體材料層包括元素半導體材料,例如鍺(Ge)或矽(Si);或是化合物半導體材料,例如砷化鎵(GaAs)、砷化鋁鎵(AlGaAs);或是半導體合金,例如鍺化矽(SiGe)、磷砷化鎵(GaAsP)。磊晶製程包括化學氣相沉積技術(例如氣相磊晶法(VPE)及/或超高真空氣相磊晶法(UHV-VPE))、分子束磊晶法及/或其它適合的製程。源極區410可以在磊晶過程中臨場摻雜。在一實施例中,源極區410並沒有以臨場摻雜,而是以佈植製程(例如接面佈植製程)來摻雜源極區410。 Referring to FIGS. 1 and 4, the method 100 proceeds to step 106 to form a source region 410 having a sixth width (w6) at the top of the core structure, the top portion of the core structure including the gate surface overlapping the gate stack 250. Part. The doping profile of the source region 410 is different from the drain region 240. In an embodiment, the hard mask is removed Thereafter, the source region 410 is formed by photolithography patterning, implantation, and annealing processes. In this embodiment, the sixth width is approximately equal to the first width. In another embodiment, after the hard mask 215 is removed, the core structure 220 is etched, and then the source region 410 is formed by the photolithography patterning, implantation, and annealing process on the etched core structure 220. top. In another embodiment, the semiconductor material is epitaxially grown on the etched core structure 220. In this embodiment, the sixth width may be different from the first width. The semiconductor material layer includes an elemental semiconductor material such as germanium (Ge) or germanium (Si); or a compound semiconductor material such as gallium arsenide (GaAs) or aluminum gallium arsenide (AlGaAs); or a semiconductor alloy such as germanium telluride (SiGe), phosphorus gallium arsenide (GaAsP). The epitaxial process includes chemical vapor deposition techniques (eg, vapor phase epitaxy (VPE) and/or ultra high vacuum vapor phase epitaxy (UHV-VPE)), molecular beam epitaxy, and/or other suitable processes. The source region 410 can be field doped during the epitaxial process. In an embodiment, the source region 410 is not doped in the field, but is doped with a source process region (eg, a bonding process).

參見第1及5圖,方法100進行至步驟108,形成源極接點510、閘極接點520及汲極接點530。介電層505設於隔離介電層260上,包括源極區410以上的部份。介電層505在許多方面與上述第2圖的隔離介電層260相似。在本實施例中,介電層505係選擇在接點蝕刻中相對於側壁間隔物310具有蝕刻選擇性,此外,介電層505的上表面由化學機械研磨製程磨平。 Referring to FIGS. 1 and 5, method 100 proceeds to step 108 to form source contact 510, gate contact 520, and drain contact 530. The dielectric layer 505 is disposed on the isolation dielectric layer 260, including a portion above the source region 410. Dielectric layer 505 is similar in many respects to isolation dielectric layer 260 of Figure 2 above. In the present embodiment, the dielectric layer 505 is selected to have an etch selectivity with respect to the sidewall spacers 310 in the contact etch. Further, the upper surface of the dielectric layer 505 is smoothed by a chemical mechanical polishing process.

接點510、520及530可以使用微影圖案化及蝕刻製程形成。光微影圖案化製程包括光阻塗佈(例如旋轉塗佈)、軟烘烤、罩幕對準、曝光、曝光後烘烤、光阻顯影、潤洗、烘乾(例如硬烘烤)、其它適合的製程及/或其組合。在本實施例中, 源極接點510被圖案化並具有放大的關鍵尺寸,此關鍵尺寸係第七寬度(w7)。此第七寬度(w7)實質上大於源極區410的第六寬度(w6)。例如,第七寬度被設計為第六寬度加上數倍接點光微影的重疊極限。放大的源極接點關鍵尺寸不僅可放寬接點微影技術的限制,也可提供類似對準偏移免疫(misalignment-immune-like)的源極接點。 Contacts 510, 520, and 530 can be formed using a lithography patterning and etching process. The photolithography patterning process includes photoresist coating (such as spin coating), soft baking, mask alignment, exposure, post-exposure baking, photoresist development, rinsing, drying (such as hard baking), Other suitable processes and/or combinations thereof. In this embodiment, Source contact 510 is patterned and has an enlarged critical dimension that is a seventh width (w7). This seventh width (w7) is substantially greater than the sixth width (w6) of the source region 410. For example, the seventh width is designed to be the sixth width plus a multiple of the overlap limit of the contact photo lithography. The enlarged source contact critical dimensions not only relax the limitations of the contact lithography technology, but also provide a misalignment-immune-like source contact.

蝕刻製程包括乾蝕刻、溼蝕刻或其組合。乾蝕刻製程可以使用含氟氣體(例如CF4、SF6、CH2F2、CHF3及/或C2F6)、含氯氣體(例如Cl2、CHCl3、CCl4及/或BCl3)、含溴氣體(例如HBr及/或CHBr3)、含碘氣體、其它適合的氣體及/或電漿及/或其組合。蝕刻製程可以包括多步驟製程以達到蝕刻的選擇性、靈活性並得到所需的蝕刻輪廓。在本實施例中,配合隔離介電層260、側壁間隔物310及介電層505材料的選擇,接點蝕刻對於側壁間隔物310具有適合的選擇性。因此,於接點蝕刻中,源極接點510具有類似自動對準蝕刻的特性,此特性可以增進防止過度蝕刻造成的源極-閘極短路的製程能力。在一實施例中,閘極接點520形成於閘極堆疊250的平坦部份。 The etching process includes dry etching, wet etching, or a combination thereof. The dry etching process may use a fluorine-containing gas (for example, CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ), a chlorine-containing gas (for example, Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ). ), a bromine containing gas (eg, HBr and/or CHBr 3 ), an iodine containing gas, other suitable gases and/or plasmas, and/or combinations thereof. The etch process can include a multi-step process to achieve etch selectivity, flexibility, and to achieve the desired etch profile. In this embodiment, the contact etch has a suitable selectivity for sidewall spacers 310 in connection with the selection of the isolation dielectric layer 260, sidewall spacers 310, and dielectric layer 505 materials. Therefore, in the contact etch, the source contact 510 has a characteristic similar to the auto-alignment etch, which can improve the process capability of preventing the source-gate short circuit caused by over-etching. In an embodiment, the gate contact 520 is formed on a flat portion of the gate stack 250.

穿隧式場效電晶體裝置600可由更進一步的互補金氧半或金氧半技術形成各種已知的元件及區域。例如,後續的製程可以在基底210上形成各種導孔/線和多層互連元件(例如金屬層和層間介電層)來連結穿隧式場效電晶體裝置600的各種元件或結構。例如,多層互連包括垂直互連,例如傳統的導孔和接點;水平互連,例如金屬線。不同的互連元件可以使用各種導電材料包括銅、鎢及/或金屬矽化物。 The tunneling field effect transistor device 600 can be formed into various known components and regions by further complementary MOS or MOS technology. For example, subsequent processes may form various vias/lines and multilayer interconnect elements (eg, metal layers and interlayer dielectric layers) on substrate 210 to bond the various components or structures of tunneling field effect transistor device 600. For example, multilayer interconnects include vertical interconnects such as conventional vias and contacts; horizontal interconnects such as metal lines. Different interconnecting elements can use various conductive materials including copper, tungsten, and/or metal telluride.

在方法100之前、之中或之後可以加入額外的製程。一些上述的製程可以置換、省略或移動以配合其它方法100的實施例。 Additional processes may be added before, during or after method 100. Some of the above described processes may be replaced, omitted, or moved to accommodate embodiments of other methods 100.

根據以上所述,本發明提供具有放大且類似自動對準的源極接點的直立式穿隧場效電晶體裝置及其製造方法。放大且類似自動對準的源極接點提供彈性的接點光微影製程、類似對準偏移免疫(misalignment-immune-like)的源極接點抵抗性,並改良對於源極-閘極短路的製程寬裕度。 In light of the above, the present invention provides an upright tunneling field effect transistor device having a source contact that is amplified and similar to self-alignment and a method of fabricating the same. Amplified and similarly self-aligned source contacts provide an elastic contact photolithography process, misalignment-immune-like source contact resistance, and improved source-gate Short circuit process margin.

前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以更佳的了解本發明的各個方面。本技術領域中具有通常知識者應該可理解,他們可以很容易的以本發明為基礎來設計或修飾其它製程及結構,並以此達到相同的目的及/或達到與本發明介紹的實施例相同的優點。例如,根據電晶體實際的用途與電路設計,且在適當的製程步驟修飾或互換下,源極與汲極區常常互換。因此,在上述條件下,源極與汲極的用詞被認為是可以互換的。本技術領域中具有通常知識者也應該了解這些相等的結構並不會背離本發明的發明精神與範圍。本發明可以作各種改變、置換、修改而不會背離本發明的發明精神與範圍。 The foregoing text sets forth the features of the various embodiments of the invention, and the various aspects of the invention may be better understood by those of ordinary skill in the art. It should be understood by those of ordinary skill in the art that they can readily design or modify other processes and structures based on the present invention and achieve the same objectives and/or achieve the same embodiments as the presently described embodiments. The advantages. For example, source and drain regions are often interchanged depending on the actual application and circuit design of the transistor, and modified or interchanged by appropriate process steps. Therefore, under the above conditions, the terms of source and drain are considered interchangeable. Those of ordinary skill in the art should also understand that such equivalent structures do not depart from the spirit and scope of the invention. The invention may be varied, substituted, and modified without departing from the spirit and scope of the invention.

雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。 While the invention has been described above in terms of several preferred embodiments, it is not intended to limit the scope of the present invention, and any one of ordinary skill in the art can make any changes without departing from the spirit and scope of the invention. And the scope of the present invention is defined by the scope of the appended claims.

210‧‧‧基底 210‧‧‧Base

220‧‧‧截頭圓錐型凸出結構 220‧‧‧Frustum-conical protruding structure

230‧‧‧隔離元件 230‧‧‧Isolation components

240‧‧‧汲極區 240‧‧‧Bungee Area

250‧‧‧閘極堆疊 250‧‧‧gate stacking

255‧‧‧閘極介電層 255‧‧‧gate dielectric layer

256‧‧‧金屬閘極 256‧‧‧Metal gate

260‧‧‧隔離介電層 260‧‧‧Isolated dielectric layer

310‧‧‧側壁間隔物 310‧‧‧ sidewall spacers

410‧‧‧源極區 410‧‧‧ source area

505‧‧‧介電層 505‧‧‧ dielectric layer

510‧‧‧源極接點 510‧‧‧ source contact

520‧‧‧閘極接點 520‧‧‧gate contacts

530‧‧‧汲極接點 530‧‧‧汲pole contacts

600‧‧‧穿隧式場效電晶體裝置 600‧‧‧ Tunneling field effect transistor device

w1、w2、w3、w4、w5、w6、w7‧‧‧寬度 W1, w2, w3, w4, w5, w6, w7‧‧‧ width

h1、h2‧‧‧高度 H1, h2‧‧‧ height

Claims (10)

一種半導體裝置,包括:一前驅物,該前驅物包括:一基底;一截頭圓錐形凸出結構,設於該基底上並凸出該基底的平面;一閘極堆疊,設於該基底上,其中該閘極堆疊具有一平行於該基底表面的平坦部份與一包覆於該截頭圓錐形凸出結構的中間部的閘極表面;及一隔離介電層,設於該閘極堆疊的平坦部份與該基底之間;一源極區,設於該截頭圓錐形凸出結構的頂部,該截頭圓錐形凸出結構的頂部包括與該閘極堆疊的閘極表面的頂部重疊的部份;一側壁間隔物,沿著該源極區的側壁設置,且設於該閘極表面上並連接該隔離介電層;一介電層,設於該源極區上;一源極接點,該源極接點具有一實質上大於該源極區寬度的關鍵尺寸且形成於該源極區上並延伸至該側壁間隔物;以及一閘極接點,設於該閘極堆疊的平坦部份,其中該閘極接點穿過該隔離介電層且直接接觸該閘極堆疊。 A semiconductor device comprising: a precursor, the precursor comprising: a substrate; a frustoconical protruding structure disposed on the substrate and protruding from a plane of the substrate; a gate stack disposed on the substrate The gate stack has a flat portion parallel to the surface of the substrate and a gate surface encased in an intermediate portion of the frustoconical projecting structure; and an isolation dielectric layer disposed on the gate Between the flat portion of the stack and the substrate; a source region disposed on top of the frustoconical projecting structure, the top portion of the frustoconical projecting structure including the gate surface stacked with the gate electrode a portion of the sidewall portion is disposed along the sidewall of the source region and is disposed on the surface of the gate and connected to the isolation dielectric layer; a dielectric layer is disposed on the source region; a source contact having a critical dimension substantially larger than a width of the source region and formed on the source region and extending to the sidewall spacer; and a gate contact disposed at the gate contact a flat portion of the gate stack, wherein the gate contact passes through the isolation interface Layer and directly contacts the gate stack. 如申請專利範圍第1項所述之半導體裝置,其中該側壁間隔物的材料於該源極接點形成時具有一適當的抗蝕刻 性,其中該側壁間隔物包括氮化矽,而該介電層及該隔離介電層包括氧化矽。 The semiconductor device of claim 1, wherein the material of the sidewall spacer has a suitable anti-etching when the source contact is formed. And wherein the sidewall spacer comprises tantalum nitride, and the dielectric layer and the isolation dielectric layer comprise tantalum oxide. 如申請專利範圍第1項所述之半導體裝置,其中該源極接點的關鍵尺寸係等同於該源極區的寬度加一接點微影的重疊極限。 The semiconductor device of claim 1, wherein the critical dimension of the source contact is equivalent to the width of the source region plus the overlap limit of a contact lithography. 如申請專利範圍第1項所述之半導體裝置,該前驅物更包括:一汲極區,設於該基底上並鄰接該截頭圓錐形凸出結構,並且延伸至該截頭圓錐形凸出結構的底部成為一隆起汲極區;及一隔離元件,設於各汲極區之間。 The semiconductor device of claim 1, wherein the precursor further comprises: a drain region disposed on the substrate adjacent to the frustoconical projecting structure and extending to the frustoconical projection The bottom of the structure becomes a raised bungee region; and an isolation element is disposed between the respective drain regions. 如申請專利範圍第4項所述之半導體裝置,其中該閘極堆疊的閘極表面與該隆起汲極區重疊。 The semiconductor device of claim 4, wherein the gate surface of the gate stack overlaps the bumped drain region. 如申請專利範圍第1項所述之半導體裝置,其中該閘極堆疊包括一多晶矽閘極,或一高介電常數/金屬閘極。 The semiconductor device of claim 1, wherein the gate stack comprises a polysilicon gate or a high dielectric constant/metal gate. 如申請專利範圍第1項所述之半導體裝置,其中該截頭圓錐形凸出結構包括:圓筒狀結構;正方形柱狀結構;橢圓形圓筒狀結構;或六邊形柱狀結構。 The semiconductor device according to claim 1, wherein the frustoconical convex structure comprises: a cylindrical structure; a square columnar structure; an elliptical cylindrical structure; or a hexagonal columnar structure. 如申請專利範圍第1項所述之半導體裝置,更包括:一汲極接點,設於該汲極區。 The semiconductor device of claim 1, further comprising: a drain contact disposed in the drain region. 一種半導體裝置之製造方法,包括: 提供一前驅物,該前驅物包括:一基底;一截頭圓錐形凸出結構,設於該基底上並凸出該基底的平面;一汲極區,設於該基底上並鄰接該截頭圓錐形凸出結構,並且延伸至該截頭圓錐形凸出結構的底部成為一隆起汲極區;一隔離元件,設於各汲極區之間;一閘極堆疊,設於該基底上,其中該閘極堆疊具有一平行於該基底表面的平坦部份與一包覆於該截頭圓錐形凸出結構的中間部的閘極表面,該截頭圓錐形凸出結構的中間部包括與該汲極區重疊的部份;及一隔離介電層,設於該閘極堆疊的平坦部份與該汲極區之間;形成一側壁間隔物,該側壁間隔物沿著該截頭圓錐形凸出結構的頂部側壁設置,且設於該閘極堆疊的閘極表面上並連接該隔離介電層;形成一源極區於該凸出結構的頂部,其中該源極區的摻雜型態與該汲極區不同;沉積一介電層於該源極區、該閘極堆疊及該汲極區上;以及一併對該介電層及該隔離介電層作選擇性蝕刻,以形成一源極接點於該源極區及該側壁間隔物,一閘極接點於該閘極堆疊的平坦部份及一汲極接點於該汲極區,其中 該源極接點的關鍵尺寸實質上大於該源極區的寬度,其中該閘極接點穿過該隔離介電層且直接接觸該閘極堆疊。 A method of fabricating a semiconductor device, comprising: Providing a precursor, the precursor comprising: a substrate; a frustoconical protruding structure disposed on the substrate and protruding from a plane of the substrate; a drain region disposed on the substrate and adjacent to the truncation a conical protruding structure extending to the bottom of the frustoconical protruding structure to form a raised bungee region; an isolating member disposed between each of the drain regions; and a gate stack disposed on the substrate Wherein the gate stack has a flat portion parallel to the surface of the substrate and a gate surface encased in an intermediate portion of the frustoconical projecting structure, the intermediate portion of the frustoconical projecting structure including a portion of the drain region overlapping; and an isolation dielectric layer disposed between the flat portion of the gate stack and the drain region; forming a sidewall spacer along the frustoconical a top sidewall of the protruding structure is disposed on the gate surface of the gate stack and connected to the isolation dielectric layer; forming a source region on top of the protruding structure, wherein the source region is doped The type is different from the drain region; depositing a dielectric layer in the source region The gate stack and the drain region; and selectively etching the dielectric layer and the isolation dielectric layer to form a source contact in the source region and the sidewall spacer, a gate a pole contact is formed on the flat portion of the gate stack and a drain contact is in the drain region, wherein The critical dimension of the source contact is substantially greater than the width of the source region, wherein the gate contact passes through the isolation dielectric layer and directly contacts the gate stack. 如申請專利範圍第9項所述之半導體裝置之製造方法,其中該側壁間隔物的材料於接點蝕刻時具有一適當的抗蝕刻性。 The method of fabricating a semiconductor device according to claim 9, wherein the material of the sidewall spacer has a suitable etch resistance when the contact is etched.
TW102144549A 2013-01-18 2013-12-05 Semiconductor device and method of manufacturing the same TWI524530B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/745,579 US9536977B2 (en) 2013-01-18 2013-01-18 Vertical tunneling field-effect transistor cell and fabricating the same

Publications (2)

Publication Number Publication Date
TW201431082A TW201431082A (en) 2014-08-01
TWI524530B true TWI524530B (en) 2016-03-01

Family

ID=51207063

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102144549A TWI524530B (en) 2013-01-18 2013-12-05 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
TW (1) TWI524530B (en)

Also Published As

Publication number Publication date
TW201431082A (en) 2014-08-01

Similar Documents

Publication Publication Date Title
US11990531B2 (en) Vertical tunneling field-effect transistor cell
KR101629146B1 (en) A vertical tunneling field-effect transistor cell and fabricating the same
US10490654B2 (en) Vertical tunneling field-effect transistor cell and fabricating the same
US9153581B2 (en) Vertical tunneling field-effect transistor cell and fabricating the same
TWI559550B (en) Semiconductor device structure, fin-like field-effect transistor and method for manufacturing the same
TWI567981B (en) Structure of fin feature and method of making same
TWI697995B (en) Semiconductor device structure and method for forming the same
TWI555067B (en) Integrated circuit device and method for fabricating the same
US20220359701A1 (en) Method for forming semiconductor device structure with hard mask layer over fin structure
TWI767686B (en) Contact plug
US20220271124A1 (en) Semiconductor device structure and method for forming the same
TWI524530B (en) Semiconductor device and method of manufacturing the same
US11935954B2 (en) Semiconductor device structure and method for forming the same
US20230117889A1 (en) Semiconductor device structure and method for forming the same
US20230395721A1 (en) Source/drain contact landing
US20230361176A1 (en) Source/drain leakage prevention
TW202234703A (en) Semiconductor device structure and method for forming the same